21.08.2013 Views

Mikro Bilgisayarlı Sistem Tasarımı - Fırat Üniversitesi

Mikro Bilgisayarlı Sistem Tasarımı - Fırat Üniversitesi

Mikro Bilgisayarlı Sistem Tasarımı - Fırat Üniversitesi

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Bit 2-7: Bu bitler kullanılmaz, 0 okunur.<br />

Bit 1 POR: Power-On Reset durum biti<br />

0 = POR oluştu (POR oluştuktan sonra yazılımla set edilmeli).<br />

1 = POR oluşmadı.<br />

Bit 0 BOR: BOR durum biti<br />

0 = BOR durumu var (BOR oluştuktan sonra yazılımda set edilmeli).<br />

1 = BOR durumu yok.<br />

5.15. PCL ve PCLATH Adres Kayıtçıları<br />

Program Counter-PC olarak adlandırılan adresleme kayıtçısının 13 bit genişlikte olduğunu<br />

söylemiştik. Bunun düşük öncelikli byte ‟ı PCL kayıtçısından gelir. Üstteki bitler ise, PC <br />

arasındaki 5 bittir, bunlar PCLATH kayıtçısından alınır. PCL okunabilir ve yazılabilir bir<br />

kayıtçıdır. Ancak üst bitleri (PCH) doğrudan okunamaz. Dolaylı olarak PCLATH yoluyla<br />

yazılabilir veya okunabilir. RESET durumunda üst bitler temizlenir. Aşağıda şekilde PC<br />

kayıtçısının değişik durumlarda nasıl yüklendiği gösterilmiştir.<br />

Bunlardan ilki PC yazmacının düşük öncelikli (low) byte ve yüksek öncelikli (high)<br />

byte‟larının nereden ve nasıl yüklendiğini, ikincisi ise GOTO komutunda nasıl yüklendiğini açıklar.<br />

CALL komutunda ise PCL, PCH ve PCLATH ilişkisini gösterdiği gibi, yığınla PC ilişkisini de<br />

vurgulanmaktadır. CALL komutu, yığının her zaman en tepesine, PCL yazmacının içindeki adres<br />

değerini yazar.<br />

RETURN, RETFIE ve RETLW komutları ise yığının en tepesindeki elemanın içeriğini PCL<br />

‟ye aktarır. Sayfa (bank) numaralarının PCLATH kayıtçısından PC ‟ye aktarılabildiğini program<br />

yazarken de unutmamalıyız.<br />

İbrahim Türkoğlu, <strong>Fırat</strong> <strong>Üniversitesi</strong> - Elektronik ve Bilgisayar Eğitimi Bölümü, Elazığ- 2010. 62

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!