12.07.2015 Views

Kompendium - Datorteknik

Kompendium - Datorteknik

Kompendium - Datorteknik

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

signal styr_minne : std_logic_vector(4 downto 0);begin-- Styrenhetenstyrenhet0 : styrenhet port map(clk,rst,dbus,styr_alu,styr_areg,styr_mi-- ALUalu0 : alu port map(clk,rst,dbus,styr_alu, inport, utport, cnz);-- Adressenhetenareg0 : areg port map(clk,rst,dbus,abus,cnz);-- I/O-enhetenio0 : io port map(clk,rst,dbus,styr_io);-- Programminnerom0 : rom port map(abus,dbus,styrminne(0),styrminne(1));clk

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!