12.07.2015 Views

Kompendium - Datorteknik

Kompendium - Datorteknik

Kompendium - Datorteknik

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

F.4.5I/O-enhetenFör att hantera I/O-enheten måste vi hitta på minst fyra nya instruktioner, nämligen:• IN. Kopiera IN till AR.• UT. Kopiera AR till UT.• RX. Vänta på att ett tecken har mottagits och kopiera sedan UART till AR.• TX. Vänta på att ett tecken har sänts och kopiera sedan AR till UART.För att implementera UART-instruktionerna behövs också en smärre ombyggnadav styrenheten. Lämpligtvis införs villkorlig inkrementering av mikroprogramräknarenMPC. Vi går inte närmare in på detaljerna här, utan gissar att fem styrsignalerräcker för I/O-enheten. Vi landar i så fall på 18 styrsignaler, drygt hälften mot förut.F.5 SimuleringDet är jätteviktigt att simulera hela datorn, allt i figur F.11, innan den byggs. Härska vi därför visa hur det går till. Vi ska tillverka en testbänk, låt oss kalla denhelakonkarongen.vhd, i vilken vi gör följande:• instantierar styrenhet.• instantierar ALU.• instantierar adressenhet.• instantierar I/O-enhet.• instantierar simuleringsmodellen ROM, i vilken vi har lagt in ett trevligt program.• drar igång klockan och gör en resetpuls.entity helakonkarongen isend helakonkarongen;architecture behavior of helakonkarongen_vhd is-- Enheterna måste deklareras som component!component styrenhetport(clk,rst : in std_logic;dbus : in std_logic_vector(7 downto 0);styr_alu,styr_areg : out std_logic_vector(3 downto 0);styr_minne,styr_io : out std_logic_vector(4 downto 0););82

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!