12.07.2015 Views

Kompendium - Datorteknik

Kompendium - Datorteknik

Kompendium - Datorteknik

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

u : OUT std_logic_vector(3 downto 0));END COMPONENT;SIGNAL clk : std_logic;SIGNAL rst : std_logic;SIGNAL kb : std_logic_vector(4 downto 0);SIGNAL u : std_logic_vector(3 downto 0);BEGINuut: add PORT MAP(clk => clk,rst => rst,stb => kb(4),kb => kb(3 downto 0),u => u);-- *** Test Bench - User Defined Section ***-- clk 1 Mhztb : PROCESSBEGINclk

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!