12.07.2015 Views

Kompendium - Datorteknik

Kompendium - Datorteknik

Kompendium - Datorteknik

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

3.5 SimuleringVi avslutar detta exempel med en simulering av personnummermaskinen, se figur3.2. Du hittar komplett VHDL-kod för personnummermaskinen i bilaga B.1och en testbänk i bilaga B.2. I figuren 3.3 beskrivs hur plotten i figur 3.2 byggsFigur 3.2: Simulering av personnummermaskinen. Så här snygg går det alltså attfå plotten. Vi simulerar naturligtvis alla intressanta signaler. Det går att sätta egnanamn på signalerna.upp.Vid simuleringen vill du naturligtvis titta på alla signalerna i blockschemat ifiguren 3.1. Det är ju med detta blockschema vi har tänkt fram vår lösning ochvi har en föreställning om vad signalerna bör vara efter varje knapptryckning. Ifigurtextexterna till figur 3.2 och 3.3 finns en förklaring på hur det går till.Glöm inte att spara utseendet på wave-fönstret med File->Save Format.... Dukan köra en simulering med kommandot run 50 us på kommandoraden Modelsimshuvudfönster, se figur 3.3. Omstart, utan frågefönster, sker med restart -f.3.6 Programmering av CPLD 95721. Resultatet av syntetisering blir en fil med suffixet .jed. Flytta den filen till enbrännar-PC.2. Montera en 9572-a i hållaren, som visas i figur 3.4.3. Se till att nätaggregatet är påslaget.42

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!