12.07.2015 Views

Kompendium - Datorteknik

Kompendium - Datorteknik

Kompendium - Datorteknik

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Tryck därefter next och sedan på Finish. Har allt gått som det ska, ser vi då dettafönster:Som synes har ISE gett oss ett kodskelett. Vi fyller i VHDL-koden för en enkelräknare. Vi nöjer oss med följande minimala ARCHITECTURE.architecture Behavioral of counter isbeginprocess(clk)beginif rising_edge(clk) thenif count=’1’ thenq

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!