12.07.2015 Views

Kompendium - Datorteknik

Kompendium - Datorteknik

Kompendium - Datorteknik

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Här anges alltså vilken typ av PLD, som vi ska använda. Dessutom måste vi talaom att det är ett VHDL-projekt vi tänker satsa på.Nu är det dags att fylla på lite VHDL-kod. Välj Project->New Source.Vi väljer VHDL module och ett namn på File name. Tryck därefter next. Här väljervi in- och utgångar.27

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!