12.07.2015 Views

Kompendium - Datorteknik

Kompendium - Datorteknik

Kompendium - Datorteknik

SHOW MORE
SHOW LESS
  • No tags were found...

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

TYPE controlword IS RECORDalu: std_logic_vector(3 DOWNTO 0);tobus: std_logic_vector(2 DOWNTO 0);halt: std_logic;END RECORD;SIGNAL styr1, styr2: controlword;Tilldelningar går till så här:styr1.halt

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!