10.11.2012 Views

Circuitos Práticos - Saber Eletrônica

Circuitos Práticos - Saber Eletrônica

Circuitos Práticos - Saber Eletrônica

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

detectar a transição de um sinal assíncrono).<br />

Esse tipo de representação não é tão<br />

clara quando descrita em forma de texto.<br />

Contudo, circuitos com plexos como as<br />

máquinas de estado, tratamento de barramentos<br />

ou que en volvam processamento<br />

de dados são melhor representados e<br />

enten didos quando descritos empregan -<br />

do-se HDL.<br />

As modernas ferramentas para lógica<br />

programável permitem o uso de ambos os<br />

recursos de forma integrada, facilitando a<br />

vida do projetista. Normalmente, porém,<br />

procura-se usar apenas HDL pelo simples<br />

motivo de manter a portabilidade do projeto.<br />

Descrições feitas em texto ASCII podem<br />

ser transportadas para qualquer computador,<br />

sistema operacional ou ferramenta,<br />

enquanto que arquivos contendo diagramas<br />

esquemáticos dependerão sempre da<br />

existência de um programa compatível<br />

para serem aproveitados.<br />

Na próxima parte serão abordados os<br />

componentes e a hierarquia nos projetos,<br />

além de um rápido treinamento, a utilização<br />

da ferramenta ISE WebPACK (da<br />

Xilinx), e a construção de um cabo para<br />

interface JTAG.<br />

E<br />

library IEEE;<br />

use IEEE.STD_LOGIC_1164.ALL;<br />

use IEEE.STD_LOGIC_ARITH.ALL;<br />

use IEEE.STD_LOGIC_UNSIGNED.ALL;<br />

entity decoder is<br />

Port ( adr : in std_logic_vector (23 downto 0);<br />

csout : out std_logic_vector (7 downto 0));<br />

end decoder;<br />

architecture Behavioral of decoder is<br />

begin<br />

process (adr)<br />

begin<br />

case adr is<br />

when “010000000000000000000000” => csout csout csout csout csout csout csout csout csout

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!