10.11.2012 Views

Circuitos Práticos - Saber Eletrônica

Circuitos Práticos - Saber Eletrônica

Circuitos Práticos - Saber Eletrônica

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

If & Case<br />

Os testes condicionais IF e CASE podOs<br />

testes condicionais IF e CASE podem ser<br />

usados apenas dentro de um processo. Cada<br />

teste vai resultar em pelo menos um nível de<br />

lógica, ou seja, nos CPLDs um IF ocupará<br />

uma ou mais macrocélulas e nos FPGAs<br />

ocupará um ou mais logic cells (conjunto<br />

de LUT + flip-flop). Essa característica deve<br />

ser lembrada quando estiver projetando um<br />

circuito, pois incorre em maior ou menor<br />

consumo de recursos do dispositivo.<br />

A sintaxe do uso do IF pode ser vista<br />

no quadro 1. Os IFs podem ser concate-<br />

library IEEE;<br />

use IEEE.STD_LOGIC_1164.ALL;<br />

use IEEE.STD_LOGIC_ARITH.ALL;<br />

use IEEE.STD_LOGIC_UNSIGNED.ALL;<br />

entity qualquer is<br />

Port ( clk,reset,en : in std_logic;<br />

saida : inout std_logic_vector(7 downto 0));<br />

end qualquer;<br />

architecture RTL of qualquer is<br />

signal div : std_logic_vector (7 downto 0);<br />

begin<br />

saida =255) then<br />

conta:=0;<br />

elsif rising_edge(clk) then<br />

conta := conta + 1;<br />

yy := yy + 3;<br />

if conta=3 then<br />

div

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!