01.04.2015 Views

Systeemtheorie

Systeemtheorie

Systeemtheorie

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Systeemtheorie</strong><br />

De Brabanter Jos


Deel I<br />

Inleiding<br />

1


Hoofdstuk 1<br />

Signalen en Systemen<br />

1.1 Signalen en classificatie van signalen<br />

Een signaal wordt mathematisch voorgesteld als een functie van een onafhankelijke variabele<br />

t.In deze curcus wordt een signaal aangeduid door x (t) .<br />

(i) Continue tijdssignalen en discrete tijdssignalen: Een signaal x (t) is een continu<br />

tijdssignaal als t ∈ R. Als t ∈ Z, dan is x (t) een discreet tijdssignaal. Een<br />

discreet tijdssignaal wordt dikwijls voorgesteld als een rij van getallen, genoteerd<br />

als x[n], waarbij n ∈ Z. Een illustratie van een continu tijdssignaal x(t) en een<br />

discreet tijdssignaal x[n] wordt weergegeven in Figuur 1.1<br />

Figuur 1.1: Grafische voorstelling van (a) een continu tijdssignaal en (b) een discreet<br />

tijdssignaal<br />

(ii) Analoog- en digitale signalen: indien een continu tijdssignaal x(t) elke waarde<br />

in het interval (−∞, ∞) kan aannemen, dan noemen we het signaal x(t) analoog.<br />

Indien een discreet tijdssignaal x[n] enkel een eindig aantal verschillende waarden<br />

kan aannemen, noemen we het een digitaal signaal.<br />

(iii) Deterministische- en willekeurige signalen: Deterministische signalen zijn signalen<br />

waarvan de waarden compleet gespecifieerd zijn voor eender welk tijdstip.<br />

Dus, een deterministisch signaal kan gemodelleerd worden door een gekende functie<br />

van tijd t. Willekeurige signalen zijn signalen waarvan de waarden willekeurig zijn<br />

bij eender welke tijd en moeten statistisch gekarakteriseerd worden. Willekeurige<br />

signalen behoren niet tot het doel van deze cursus.<br />

2


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 3<br />

(iv) Even- en oneven signalen: x(t) of x[n] is een even signaal als<br />

x(−t) = x(t)<br />

x[−n] = x[n] (1.1)<br />

Een signaal x(t) of x[n] is een oneven signaal als<br />

x(−t) = −x(t)<br />

x[−n] = −x[n] (1.2)<br />

Voorbeelden van even en oneven signalen worden getoond in Figuur 1.2<br />

Figuur 1.2: Voorbeelden van even signalen (a,b) en oneven signalen (c,d)<br />

(v) Periodische- en niet-periodische signalen: Een continu tijdssignaal x(t) wordt<br />

periodisch genoemd met periode T als er een T ∈ R + 0 bestaat waarvoor<br />

x(t + T ) = x(t) ∀t (1.3)<br />

Een voorbeeld van een periodisch signaal wordt voorgesteld in Figuur 1.3(a).<br />

Uit (1.3) of Figuur 1.3(a) volgt dat<br />

x(t + mT ) = x(t) (1.4)<br />

∀t en m ∈ N. Elk continu signaal welke niet periodiek is, wordt niet-periodisch of<br />

aperiodiek genoemd.<br />

Periodieke discrete signalen worden op analoge manier gedefinieerd. Een discreet<br />

signaal x[n] wordt periodiek genoemd met periode N indien er een natuurlijk getal<br />

N bestaat waarvoor geldt dat<br />

x[n + N] = x[n] ∀n. (1.5)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 4<br />

Figuur 1.3: Voorbeelden van periodieke signalen<br />

Een voorbeeld van een periodiek discreet signaal is weergegeven in Figuur 1.3(b).<br />

Uit (1.5) en Figuur 1.3(b) volgt dat<br />

x[n + mN] = x[n]<br />

voor alle n en elk natuurlijk getal m. De fundamentele periode N 0 van x[n] is het<br />

kleinste positieve geheel getal N uit (1.5). Elk discreet signaal welke niet periodiek<br />

is, wordt niet-periodiek of aperiodisch genoemd.<br />

(vi) Energie- en vermogensignalen: Zij v(t) het voltage over een weerstand R en<br />

i(t) de stroom door de weerstand. Het ogenblikkelijke vermogen p(t) per ohm is<br />

gedefinieerd als<br />

p(t) = v(t)i(t) = i 2 (t) (1.6)<br />

R<br />

De totale energie E en het gemiddeld vermogen P op een per-ohm basis zijn<br />

E =<br />

∫ ∞<br />

−∞<br />

1<br />

P = lim<br />

T →∞ T<br />

i 2 (t) dt joules (1.7)<br />

∫ T/2<br />

−T/2<br />

i 2 (t) dt watt (1.8)<br />

Voor een willekeurig continu tijdssignaal x(t), wordt de genormaliseerde energie<br />

hoeveelheid E van x(t) gedefinieerd als<br />

E =<br />

∫ ∞<br />

−∞<br />

|x(t)| 2 dt (1.9)<br />

Het genormaliseerd gemiddeld vermogen P van x(t) wordt gedefinieerd als<br />

1<br />

P = lim<br />

T →∞ T<br />

∫ T/2<br />

−T/2<br />

|x(t)| 2 dt (1.10)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 5<br />

Voor een discreet tijdssignaal x[n] wordt de genormaliseerde energie hoeveelheid E<br />

van x[n] gedefinieerd als<br />

∞∑<br />

E = |x[n]| 2 (1.11)<br />

n=−∞<br />

Het genormaliseerd gemiddeld vermogen P van x[n] wordt gedefinieerd als<br />

1<br />

P = lim<br />

N→∞ 2N + 1<br />

N∑<br />

n=−N<br />

|x[n]| 2 . (1.12)<br />

Gebaseerd op de definities (1.9) tot (1.12), kunnen we volgende klassen van signalen<br />

definiëren<br />

1. x(t) (of x[n]) is een energie-signaal als en slechts als 0 < E < ∞ en dus P = 0<br />

2. x(t) (of x[n]) is een vermogen-signaal als en slechts 0 < P < ∞ en hieruit volgt<br />

dat E = ∞<br />

3. Signalen welke niet voldoen aan voorgaande eigenschappen worden noch energiesignalen<br />

noch vermogen-signalen genoemd<br />

Merk wel op dat een periodiek signaal een vermogen-signaal is als de energie-inhoud<br />

per periode eindig is, en het gemiddelde vermogen van dit signaal moet enkel worden<br />

berekend over een periode.<br />

1.2 Continue signalen<br />

1.2.1 De stapfunctie<br />

De stapfunctie u(t) of Heaviside unit functie, is gedefinieerd als<br />

u(t) =<br />

{ 1, t > 0;<br />

0, t < 0.<br />

(1.13)<br />

welke wordt voorgesteld in Figuur 1.4(a). Merk op dat de stapfunctie discontinu is bij<br />

t = 0 en dat de waarde bij t = 0 ongedefinieerd is. Gelijkaardig wordt de verschoven<br />

stapfunctie gedefinieerd als<br />

u(t − t 0 ) =<br />

{ 1, t > t0 ;<br />

0, t < t 0 .<br />

(1.14)<br />

welke wordt voorgesteld in Figuur 1.4(b)<br />

1.2.2 Hellingsfunctie<br />

De hellingsfunctie r(t) wordt gedefinieerd als<br />

r(t) =<br />

{ t, t ≥ 0;<br />

0, t < 0.<br />

(1.15)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 6<br />

Figuur 1.4: (a) stapfunctie; (b) verschoven stapfunctie<br />

welke wordt voorgesteld in Figuur 1.5. Merk op dat voor t ≥ 0 de richtingscoëfficiënt<br />

1 is. De hellingsfunctie r(t) is gelijk aan de integraal van de stapfunctie<br />

u(t)<br />

r(t) =<br />

∫ t<br />

−∞<br />

u(λ) dλ<br />

Omgekeerd is de eerste afgeleide van r(t) naar de tijd gelijk aan u(t), behalve bij t = 0,<br />

waar de afgeleide van r(t) niet gedefinieerd is.<br />

Figuur 1.5: hellingsfunctie<br />

1.2.3 De impulsfunctie<br />

De eenheidimpulsfunctie of Dirac functie, is een mathematische onregelmatigheid. Dirac<br />

(1930), gebruikte de impulsfunctie eerst in zijn werk over quantum mechanica. Hij<br />

definieerde de delta functie δ (t) door volgende vergelijkingen<br />

∫ ∞<br />

−∞<br />

welke is voorgesteld in Figuur 1.6.<br />

De belangrijkste eigenschap van de delta functie is<br />

δ (t) dt = 1 (1.16)<br />

∫ ∞<br />

−∞<br />

δ (t) = 0 voor t ≠ 0. (1.17)<br />

f (t) δ (t) dt = f (0) (1.18)<br />

met f (t) een reguliere functie die continu is in t = 0. Merk op dat (1.18) een symbolische<br />

uitdrukking is en moet niet beschouwd worden als een gewone Riemann integraal. In deze<br />

context, δ (t) wordt dikwijls een gegeneraliseerde functie genoemd en f (t) is gekend als


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 7<br />

Figuur 1.6:<br />

een testfunctie. Dirac noemde de delta functie een oneigelijke functie omdat in die tijd<br />

geen rigoreuze mathematische bewijsvorming bestond. In 1950 publiseerde Schwarts The<br />

theory of distributions, welke een mathematische basis bevatte voor de delta functie.<br />

Op gelijkaardige wijze wordt de verschoven delta functie δ(t − t 0 ) gedefinieerd door<br />

∫ ∞<br />

−∞<br />

f (t) δ (t − t 0 ) dt = f (t 0 ) (1.19)<br />

met f (t) een reguliere functie die continu is in t = t 0 . De functies δ (t) en δ (t − t 0 ) worden<br />

grafisch weergegeven in Figuur 1.7.<br />

Figuur 1.7: (a) éénheidsimpulsfunctie;(b) verschoven éénheidsimpulsfunctie<br />

Enkele eigenschappen van δ (t) zijn<br />

δ (at) = 1 δ (t) (1.20)<br />

|a|<br />

als x (t) continu is in t = 0.<br />

δ (−t) = δ (t) (1.21)<br />

x (t) δ (t) = x (0) δ (t) (1.22)<br />

x (t) δ (t − t 0 ) = x (t 0 ) δ (t − t 0 ) (1.23)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 8<br />

als x (t) continu is in t = t 0 . Gebruikmakend van (1.18) en (1.21), elk continu tijdsignaal<br />

x (t) kan geschreven worden als<br />

x (t) =<br />

1.2.4 Sinusoïdale signalen<br />

∫ ∞<br />

−∞<br />

x (τ) δ (t − τ) dτ. (1.24)<br />

Een continu sinussignaal kan worden geschreven als<br />

x(t) = A cos(ω 0 t + θ) (1.25)<br />

met A de amplitude, ω 0 de hoekfrequentie en θ de fasehoek. Het sinusoïdaal signaal wordt<br />

voorgesteld in Figuur 1.8. Een sinusoïdaal signaal is een voorbeeld van een periodiek<br />

signaal.<br />

Figuur 1.8: Continu sinusoïdaal tijdssignaal<br />

1.3 Discrete basissignalen<br />

1.3.1 De éénheidstapsequentie<br />

De éénheidstapsequentie u[n] is gedefinieerd als<br />

u[n] =<br />

{ 1 n ≥ 0<br />

0 n < 0<br />

(1.26)<br />

welke getoond wordt in Figuur 1.9(a).<br />

Merk op dat de waarde van u[n] bij n = 0 gedefinieerd is (de continue stapfunctie u(t)<br />

is niet gedefinieerd bijt = 0) en gelijk is aan de éénheid. Op een gelijkaardige wijze wordt<br />

de verschoven éénheidstapsequentie u[n − k] gedefinieerd als<br />

u[n − k] =<br />

{ 1 n ≥ k<br />

0 n < k<br />

(1.27)<br />

welke wordt voorgesteld in Figuur 1.9(b).


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 9<br />

Figuur 1.9: (a) Eenheidstapsequentie; (b) verschoven éénheidstapsequentie<br />

1.3.2 De éénheidimpulssequentie<br />

De éénheidimpulssequentie δ[n] is gedefinieerd als<br />

δ[n] =<br />

{ 1 n = 0<br />

0 n ≠ 0<br />

(1.28)<br />

welke wordt voorgesteld in Figuur 1.10(a). Op een gelijkaardige wijze wordt de verschoven<br />

Figuur 1.10: (a) Eenheidimpulssequentie; (b) verschoven éénheidsimpulssequentie<br />

éénheidimpulssequentie δ[n − k] gedefinieerd als<br />

δ[n − k] =<br />

{ 1 n = k<br />

0 n ≠ k<br />

(1.29)<br />

Dit wordt geïllustreerd in Figuur 1.10(b). Anders dan bij de continue impulsfunctie δ(t),<br />

is δ[n] gedefinieerd zonder mathematische moeilijkheden. De eigenschappen van δ[n] zijn<br />

analoog met (1.20) tot (1.23).<br />

1.4 Systemen en classificatie van systemen<br />

Gegeven een ingangsignaal en een uitgangsignaal x en y. Een systeem is een mathematisch<br />

model van een fysisch proces dat y in functie van x weergeeft. Het systeem kan worden<br />

opgevat als een mapping en wordt voorgesteld door<br />

y = T [x] (1.30)<br />

waarbij T een operator is. Relatie (1.30) is weergegeven in Figuur 1.11. Meervoudige<br />

ingangsignalen en/of uitgangsignalen zijn mogelijk en worden weergegeven in Figuur 1.11.


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 10<br />

Figuur 1.11: Systeem met enkelvoudige of meervoudige ingang-uitgangsignalen<br />

Systemen kunnen worden ingedeeld op verschillende manieren, nl:<br />

(a) Lineaire- en niet-lineaire systemen<br />

Als de operator T in (1.30) voldoet aan de volgende twee voorwaarden, dan is T<br />

een lineaire operator en het systeem voorgesteld door de lineaire operator wordt dan<br />

een lineair systeem genoemd.<br />

(1) Additiviteit: Gegeven dat y 1 = T [x 1 ] en y 2 = T [x 2 ] , dan<br />

voor alle signalen x 1 en x 2 .<br />

(2) Homogeniciteit (schaling):<br />

y 1 + y 2 = T [x 1 + x 2 ] (1.31)<br />

αy = T [αx] , α ∈ R (1.32)<br />

voor alle signalen x 1 en x 2 .<br />

Elk systeem dat niet voldoet aan (1.31) en (1.32) wordt geclassificieerd als<br />

niet-lineair systeem. Vergelijkingen (1.31) en (1.32)<br />

kunnen geschreven worden als een voorwaarde, zoals<br />

α 1 y 1 + α 2 y 2 = T [α 1 x 1 + α 2 x 2 ] , α 1 , α 2 ∈ R. (1.33)<br />

Vergelijking (1.33) is gekend als de superpositie eigenschap. Een ander belangrijke<br />

eigenschap van een lineair systeem is dat een zero ingang een zero<br />

respons geeft (α = 0 in (1.32)).<br />

(b) Continue- en discrete tijdssystemen<br />

Als x, y ∈ R, dan wordt het systeem een continu systeem genoemd, zie Figuur 1.12<br />

(a).<br />

Figuur 1.12: (a) continu tijdsysteem; (b) discreet tijdsysteem<br />

Als x, y ∈ Z, dan wordt het systeem een discreet systeem genoemd, Figuur 1.12 (b).


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 11<br />

(c) Causale en niet-causale systemen<br />

Een systeem wordt causaal genoemd als voor elke tijd t 0 de uitgangrespons y (t 0 ) ,<br />

gegenereerd door ingang x (t) , niet afhankelijk is van de ingang x (t) voor t > t 0 .<br />

Dus in een causaal systeem is het niet mogelijk een uitgang te bekomen voordat<br />

er een ingang wordt aangelegd aan het systeem (verondersteld dat er geen initiële<br />

energie aanwezig is). Een systeem wordt niet-causaal genoemd als het niet causaal<br />

is.<br />

(d) Systemen met geheugen en zonder geheugen<br />

Een causaal systeem wordt geheugenloos genoemd als voor elke tijd t 0 de uitgang<br />

bij t 0 enkel afhankelijk is van de ingang bij tijd t 0 .<br />

(e) Tijdsinvariante en tijdsveranderlijke systemen<br />

Een systeem wordt tijdsinvariant genoemd als een tijdsverschuiving in het ingangsignaal<br />

dezelfde tijdsvertraging veroorzaakt in het uitgangsignaal. Voor een continu<br />

systeem, het systeem is tijdsinvariant als<br />

y (t − τ) = T [x (t − τ)] , τ ∈ R. (1.34)<br />

Voor een discreet systeem, het systeem is tijdsinvariant als<br />

y [n − k] = T [x [n − k]] , k ∈ Z. (1.35)<br />

(f) Lineaire tijdsinvariante systemen<br />

Als het systeem lineair en tijdsinvariant is, dan wordt het een lineair tijdsinvariant<br />

(LTI) syteem genoemd.<br />

(g) Stabiele systemen<br />

Een systeen is begrensd-ingang/begrensd-uitgang (BIBO) stabiel als voor elk begrensde<br />

ingang |x| ≤ k 1 de overeenstemmende uitgang y ook begrensd is ( |y| ≤<br />

k 2 ),waarbij k 1 , k 2 ∈ R. Merk op dat er vele andere definities van stabiliteit zijn (zie<br />

volgende hoofdstukken).<br />

(h) Teruggekoppelde systemen<br />

Een teruggekoppeld systeem wordt voorgested in Figuur 1.13.<br />

Figuur 1.13: Teruggekoppeld systeem<br />

Het uitgangsignaal wordt teruggekoppeld en opgeteld bij het ingangsignaal van het<br />

systeem.


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 12<br />

1.5 Voorbeelden van continue systemen<br />

Auto op horizontaal vlak<br />

Beschouw een auto op een horizontaal vlak voorgesteld in Figuur 1.14.<br />

Figuur 1.14: Auto met voorwaartse- of remkracht x(t)<br />

Zoals aangegeven, de uitgang y(t) is de positie van de auto in functie van tijd t t.o.v.<br />

een bepaalde referentie en de ingang x(t) is de kracht toegepast op de auto op tijdstip t.<br />

Volgens Newton’s tweede wet van beweging, zijn y(t) en x(t) gerelateerd door volgende<br />

tweede orde vergelijking<br />

M d2 y(t)<br />

dt 2<br />

+ k w<br />

dy(t)<br />

dt<br />

= x(t) (1.36)<br />

waarbij M de massa van de auto en k w de wrijvingscoëfficiënt voorstellen.<br />

RC-netwerk<br />

Gegeven een RC-netwerk, Figuur 1.15. De RC kring kan worden voorgesteld als een<br />

ingang-uitgang continu systeem met ingang x(t) gelijk aan de stroom i(t) en met uitgang<br />

y(t) gelijk aan de spanning v C (t) over de capaciteit.<br />

Figuur 1.15: RC circuit<br />

Door toepassing van Kirchoff’s stroomwet<br />

De spanning-stroom relatie voor de capaciteit is<br />

i C (t) + i R (t) = i(t) (1.37)<br />

i C (t) = C dv C(t)<br />

dt<br />

(1.38)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 13<br />

en voor de weerstand<br />

i R (t) = 1 R v C(t) (1.39)<br />

Substitutie van (1.38) en (1.39) in (1.37) geeft de volgende lineaire differentiaalvergelijking<br />

C dy(t)<br />

dt<br />

+ 1 y(t) = x(t) (1.40)<br />

R<br />

Mathematische slinger<br />

Beschouw een slinger van lengte L en massa M, zie Figuur (1.16). De ingang x(t) is<br />

de toegepaste kracht op de massa M rakend aan de bewegingsrichting van de massa, en<br />

Mg sin θ(t) is de kracht t.g.v. de gravitatie rakend aan de bewegingsrichting. De uitgang<br />

y(t) wordt gedefinieerd als de hoek θ(t) tussen de slinger en de verticale positie.<br />

Figuur 1.16: Mathematische slinger<br />

Volgens de wetten van de mechanica, de ingang en de uitgang zijn gerelateerd door<br />

volgende tweede orde differentiaalvergelijking<br />

I d2 θ(t)<br />

dt 2 + MgL sin θ(t) = Lx(t) (1.41)<br />

waarbij g de gravitatieconstante en I het traagheidsmoment is gegeven door I = ML 2 .<br />

Door de aanwezigheid van de term sin θ(t) is de ingangs-uitgangs differentiaalvergelijking<br />

(1.41) een niet lineaire differentiaalvergelijking. Door deze niet lineariteit kan de differentiaalvergelijking<br />

niet in een expliciete uitdrukking y(t) in functie van x(t) worden bepaald.<br />

y(t) kan nu wel numeriek benaderd worden door numerieke technieken voor het oplossen<br />

van niet lineaire<br />

differentiaalvergelijkingen.<br />

Indien de grootte |θ(t)| van de hoek θ(t) klein is, kan de sin θ(t) worden benaderd door<br />

θ(t) zelf, de niet lineaire differentiaalvergelijking (1.41) wordt dan<br />

I d2 θ(t)<br />

dt 2 + MgLθ(t) = Lx(t) (1.42)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 14<br />

1.6 Voorbeelden van discrete systemen<br />

Terugbetaling banklening<br />

De terugbetaling van een banklening kan behandeld worden als een discreet tijdssysteem<br />

op volgende manier: Met n = 0, 1, 2, . . . , de ingang x[n] is de terugbetaling van de lening<br />

in de n-de maand, en de uitgang y[n] is de balans van de lening na de n-de maand. Hier is<br />

n de tijdsindex welke de maand voorstelt, de ingang x[n] en de uitgang y[n] zijn discrete<br />

tijdssignalen welk functie zijn van n. De beginvoorwaarde y[0] is het bedrag van de lening.<br />

Normaal zijn de terugbetalingen x[n] constant; dit is, x[n] = c, n = 0, 1, 2, . . ., waarbij<br />

c een constante is. In dit voorbeeld is x[n] toegelaten te variëren van maand tot maand<br />

(bv. de terugbetalingen kunnen niet gelijk zijn). De terugbetaling van de lening wordt<br />

beschreven door volgende verschilvergelijking<br />

(<br />

y[n] − 1 + I )<br />

y[n − 1] = −x[n], n = 0, 1, 2, . . . (1.43)<br />

12<br />

waarbij I het jaarlijkse intrestpercentage bedraagt in decimale vorm. Stel dat het jaarlijkse<br />

intrestpercentage 10% bedraagt dan is I = 0.1. Vergelijking (1.43) is een eerste orde<br />

lineaire verschilvergelijking.<br />

Discrete tijdsbehandelig van analoge signalen<br />

De meeste discrete tijdssignalen worden monsters te nemen van continue tijdssignalen<br />

zoals spraak- en audiosignalen,... Het proces welke deze signalen converteert in een digitale<br />

vorm wordt analog-to-digital (A/D) conversie genoemd. Het omgekeerd proces dat<br />

het analoog signaal reconstrueert via zijn monsters is gekend als digital-to-analog (D/A)<br />

conversie. Figuur 1.17 bestaat uit een opeenvolging van een A/D convertor, een discreet<br />

tijdssysteem en een D/A convertor.<br />

Figuur 1.17: Behandelen van een analoog signaal gebruikmakend van een discreet tijdsysteem.<br />

C/D=continu/discreet en D/C=discreet/continu<br />

Het ingangsignaal x a (t) en het uitgangsignaal y a (t) zijn analoge signalen, T s is de bemonsteringsperiode,<br />

x[n] en y[n] zijn de respectievelijke discrete ingang- en uitgangsignaal<br />

en H(e (jω) ) is de systeemfunctie van het discreet systeem.


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 15<br />

1.7 Matlab en Signaal Analyse<br />

Een continu signaal x (t) gegeven in een analytische vorm kan gedefinieerd en afgebeeld<br />

worden gebruikmakend van het software pakket Matlab. Om het gebruik te illustreren<br />

beschouwen we het volgende signaal<br />

( ) 2<br />

x (t) = exp (−0.1t) sin<br />

3 t . (1.44)<br />

Een plot van x (t) versus t voor verschillende waarden van t kan gegenereerd worden met<br />

Matlab. Bijvoorbeeld, voor t variërend van 0 tot 30 in stappen van 0.1 seconden, de<br />

Matlab commando’s voor het genereren van x (t) zijn<br />

Algoritme 1.1 Een continu signaal x (t) .<br />

t = 0:0.1:30;<br />

x = exp(-0.1*t).*sin(2/3*t);<br />

plot(t,x)<br />

axis([0 30 -1 1]);<br />

grid<br />

ylabel(’x(t)’)<br />

xlabel(’Time (sec)’)<br />

De resulterende plot van x (t) wordt getoond in Figuur(1.18). In contrast met een continu<br />

1<br />

0.8<br />

0.6<br />

0.4<br />

0.2<br />

x(t)<br />

0<br />

−0.2<br />

−0.4<br />

−0.6<br />

−0.8<br />

−1<br />

0 5 10 15 20 25 30<br />

Time (sec)<br />

Figuur 1.18: Matlab plot van het signaal x (t) = exp (−0, 1t) sin ( 2<br />

3 t) .<br />

signaal x (t), het discreet signaal voorgesteld door x [n] wordt in Matlab afgebeeld in een


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 16<br />

stem plot. De waarden van x [n] worden gemerkt in de plot door gesloten cirkels welke met<br />

lijnen verbonden zijn met de tijd-as. Bijvoorbeeld, veronderstel dat het discreet signaal<br />

x [n] wordt gegeven door<br />

x [−2] = 0, x [−1] = 0, x [0] = 1, x [1] = 2, x [2] = 1, x [3] = 0, (1.45)<br />

x [4] = −1, x [5] = 0, x [6] = 0<br />

De stem plot van x [n] is weergegeven in Figuur (1.19). Een plot van dit signaal kan<br />

worden gegenereerd gebruikmakend van volgende Matlab commando’s<br />

Algoritme 1.2 Een discreet signaal x [n] .<br />

n = -2:6;<br />

x=[0 0 1 2 1 0 -1 0 0];<br />

stem(n,x);<br />

xlabel(’n’)<br />

ylabel(’x[n]’)<br />

2<br />

1.5<br />

1<br />

x[n]<br />

0.5<br />

0<br />

−0.5<br />

−1<br />

−2 −1 0 1 2 3 4 5 6<br />

n<br />

Figuur 1.19: Matlab plot voor x [n] .


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 17<br />

Verschillende elementaire functies (eenheidstapfunctie, hellingfunctie en impulsfunctie)<br />

worden gedefinieerd in Matlab als volgt<br />

function u = step_function(t) u = 0.5*(sign(t + eps) + 1);<br />

% Functie voor het genereren van de hellingfunctie<br />

%<br />

function r = ramp_function(t) r = 0.5*t.*(sign(t) + 1);<br />

%Functie voor het genereren van de eenheidimpuls<br />

%<br />

function impuls = impuls_function(t, delta) impuls = (<br />

step_function(t+delta/2) - (step_function(t-delta/2))/delta;<br />

Om het gebruik van deze functies te demonstreren genereren we volgende functies. Het<br />

resultaat (zie Figuur 1.20) en de programma’s worden hieronder weergegeven.<br />

1. een stapfunctie met beginpunt t = 2 naar rechts gaande.<br />

2. Een hellingsfunctie met helling 2 vertrekkende vanaf t = 4.<br />

3. Een éénheidimpuls voortkomend bij t = −3.5.<br />

Algoritme 1.3 Construeren van een Stap-, helling- en impulsfunctie<br />

t = -10 : 0.005 : 10; x = step_function(t-2); y =<br />

2*ramp_function(4+t); z = impuls_function(t+3.5, 0.05);<br />

subplot(3,1,1) plot(t,x) axis([-10 10 0 1.5]) xlabel(’t’)<br />

ylabel(’u(t-2)’) subplot(3,1,2) plot(t,y) xlabel(’t’)<br />

ylabel(’2r(4+t)’) subplot(3,1,3) plot(t,z) axis([-10 10 0 25])<br />

xlabel(’t’) ylabel(’delta(t+3)’)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 18<br />

1.5<br />

u(t−2)<br />

1<br />

0.5<br />

0<br />

−10 −8 −6 −4 −2 0 2 4 6 8 10<br />

t<br />

30<br />

2r(4+t)<br />

20<br />

10<br />

delta(t+3)<br />

0<br />

−10 −8 −6 −4 −2 0 2 4 6 8 10<br />

t<br />

25<br />

20<br />

15<br />

10<br />

5<br />

0<br />

−10 −8 −6 −4 −2 0 2 4 6 8 10<br />

t<br />

Figuur 1.20: Verschillende elementaire signalen bepaald en geplot met Matlab.<br />

Verdere voorbeelden van elementaire signalen en het bouwen van meer complexe signalen<br />

worden nu besproken. Bijvoorbeeld, het construeren van<br />

s (t) = 4u (t − 1) + (−4)u(t − 2). (1.46)<br />

De plot van s (t) is weergegeven in Figuur (1.21). Een plot van dit signaal kan worden<br />

gegenereerd gebruikmakend van volgende Matlab commando’s<br />

Algoritme 1.4 Construeren van een rechthoekige puls<br />

t = -10 : 0.005 : 10; x<br />

=4*step_function(t-1)+(-4)*step_function(t-2); plot(t,x)<br />

axis([0 5 -5 5])<br />

xlabel(’t’)<br />

ylabel(’s(t)’)<br />

Een eenvoudige manier om een rechthoekige pulstrein te construeren is gebruikmaken van<br />

de eigenschap dat de stapfunctie gelijk is aan 0 wanneer zijn argument negatief is. De<br />

functie<br />

( ( )) πt<br />

s (t) = u sin<br />

(1.47)<br />

T<br />

is nul wanneer sin ( )<br />

πt<br />

T negatief is. De plot is weergegeven in Figuur (1.22). Een plot van<br />

dit signaal kan worden gegenereerd gebruikmakend van volgende Matlab commando’s


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 19<br />

5<br />

4<br />

3<br />

2<br />

1<br />

s(t)<br />

0<br />

−1<br />

−2<br />

−3<br />

−4<br />

−5<br />

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5<br />

t<br />

Figuur 1.21: Constructie van een rechthoekige puls met een stapfunctie.<br />

Algoritme 1.5 Construeren van een rechthoekige puls trein.<br />

t = -0.2 : 0.005 : 6; T=1; x = step_function(sin(pi*t/T)); plot(t,x)<br />

axis([-0.2 5.8 -1 2])<br />

xlabel(’t’)<br />

ylabel(’s(t)’)<br />

Als laatste voorbeeld, beschouw een puls zoals voorgesteld in Figuur(blz 33, Network analyse<br />

and synthese). Deze puls kan met de elementaire functies als volgt worden geschreven:<br />

1. Voor dalende t, de eerste van nul verschillende component is de functie 2 (t − 1) u (t − 1) .<br />

2. Bij t = 2, het stijgen van de rechte lijn wordt gestopt met de term −2 (t − 2) u (t − 2)<br />

3. Het niveau wordt op nul gebracht met −2u (t − 2) .<br />

Dit wordt samengevat in Figuur (blz 33, Network analyse and synthese). De plot is<br />

weergegeven in Figuur (1.23). Een plot van dit signaal kan worden gegenereerd gebruikmakend<br />

van volgende Matlab commando’s


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 20<br />

2<br />

1.5<br />

1<br />

s(t)<br />

0.5<br />

0<br />

−0.5<br />

−1<br />

0 1 2 3 4 5<br />

t<br />

Figuur 1.22: Het signaal u ( sin ( ))<br />

πt<br />

T met Matlab.<br />

Algoritme 1.6 Construeren van een driehoekpuls.<br />

t = 0: 0.005 : 5;<br />

x=2*ramp_function(t-1).*step_function(t-1)-2*ramp_function(t-2).*<br />

step_function(t-2)-2*step_function(t-2);<br />

plot(t,x)<br />

axis([-5 6 -1 6])<br />

xlabel(’t’)<br />

ylabel(’s(t)’)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 21<br />

3<br />

2.5<br />

2<br />

1.5<br />

s(t)<br />

1<br />

0.5<br />

0<br />

−0.5<br />

−1<br />

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5<br />

t<br />

1.8 Oefeningen<br />

Signalen en classificatie van signalen<br />

Figuur 1.23: Driehoekpuls met Matlab.<br />

Oefening 1.1<br />

Gegeven een continu tijdssignaal x(t), zie Figuur 1.24. Teken elk van de volgende signalen<br />

(a) x(t − 2), Figuur 1.25(a)<br />

(b) x(2t), Figuur 1.25(b)<br />

(c) x( t ), Figuur 1.25(c)<br />

2<br />

(d) x(−t), Figuur 1.25(d)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 22<br />

Figuur 1.24:<br />

Oplossing<br />

Figuur 1.25:


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 23<br />

Oefening 1.2<br />

Gegeven twee discrete tijdssignalen x 1 [n] en x 2 [n], zie Figuur 1.26. Stel volgende signalen<br />

op een grafiek voor<br />

(a) y 1 [n] = x 1 [n] + x 2 [n], Figuur 1.27(a)<br />

(b) y 2 [n] = 2x 1 [n], Figuur 1.27(b)<br />

(c) y 3 [n] = x 1 [n]x 2 [n], Figuur 1.27(c)<br />

Oplossing<br />

Figuur 1.26:<br />

Figuur 1.27:


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 24<br />

Oefening 1.3<br />

Gegeven een continu tijdssignaal x(t), Figuur 1.28. Teken de volgende signalen<br />

(a) x(t)u(1 − t)<br />

(b) x(t)[u(t) − u(t − 1)]<br />

(c) x(t)δ(t − 3 2 ) Figuur 1.28:<br />

Oplossing<br />

(a) Volgens de definitie van de stapfunctie geldt<br />

u(1 − t) =<br />

{ 1, t < 1;<br />

0, t > 1.<br />

en x(t)u(1 − t) is voorgesteld in Figuur 1.29(a)<br />

(b) Volgens de definitie van de stap<br />

u(t) − u(t − 1) =<br />

{ 1, 0 < t ≤ 1;<br />

0, anders.<br />

en x(t)[u(t) − u(t − 1)] is voorgesteld in Figuur 1.29(b)<br />

(c) Volgens (1.23)<br />

(<br />

x(t)δ t − 3 ) ( ( 3<br />

= x δ t −<br />

2 2)<br />

3 ) (<br />

= 2δ t − 3 )<br />

2<br />

2<br />

wordt voorgesteld in Figuur 1.29(c)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 25<br />

Figuur 1.29:<br />

Oefening 1.4<br />

Bereken volgende integralen<br />

(a) ∫ 1<br />

−1 (3t2 + 1)δ(t) dt<br />

(b) ∫ 2<br />

1 (3t2 + 1)δ(t) dt<br />

(c) ∫ ∞<br />

−∞ (t2 + cos πt)δ(t − 1) dt<br />

(d) ∫ ∞<br />

−∞ e−t δ(2t − 2) dt<br />

Oplossing<br />

(a) Volgens vergelijking (1.18), met a = −1 en b = 1 geldt<br />

∫ 1<br />

−1<br />

(3t 2 + 1)δ(t) dt = (3t 2 + 1) ∣ ∣<br />

t=0<br />

= 1<br />

(b) Volgens vergelijking (1.18), met a = 1 en b = 2 geldt<br />

(c) Volgens vergelijking (1.19)<br />

∫ ∞<br />

−∞<br />

∫ 2<br />

1<br />

(3t 2 + 1)δ(t) dt = 0<br />

(t 2 + cos πt)δ(t − 1) dt = (t 2 + cos πt) ∣ ∣<br />

t=1<br />

= 1 + cos π = 1 − 1 = 0


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 26<br />

(d) Gebruikmakend van vergelijkingen (1.19) en (1.20)<br />

∫ ∞<br />

−∞<br />

e −t δ(2t − 2) dt =<br />

=<br />

∫ ∞<br />

−∞<br />

∫ ∞<br />

−∞<br />

e −t δ[2(t − 1)] dt<br />

e −t 1 δ(t − 1) dt<br />

|2|<br />

= 1 2 e−t∣ ∣<br />

t=1<br />

= 1 2e


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 27<br />

Oefening 1.5<br />

Beschouw de condensator in Figuur 1.30<br />

(a) Bepaal de ingang-uitgang relatie<br />

(b) Bepaal of het systeem (i) geheugenloos, (ii) causaal, (iii) lineair of (iv) tijdsinvariant<br />

is.<br />

Figuur 1.30:<br />

Oplossing<br />

(a) Indien we aannemen dat de capaciteit C constant is, dan bestaat er volgend verband<br />

tussen het uitgangsvoltage y(t) over de condensator en de ingangsstroom x(t)<br />

y(t) = T {x(t)} = 1 C<br />

∫ t<br />

−∞<br />

x(τ) dτ (1.48)<br />

(b)<br />

(i) Uit vergelijking (1.48) kunnen we zien dat de uitgang y(t) van vorige en huidige<br />

waarde van de ingang afhangt. Dus het systeem is niet geheugenloos<br />

(ii) aangezien de uitgang y(t) dus niet afhankelijk is van toekomstige waarden van<br />

de ingang is het systeem causaal<br />

(iii) Stel x(t) = α 1 x 1 (t) + α 2 x 2 (t). Dan is<br />

∫ t<br />

y(t) = T {x(t)} = 1 [α 1 x 1 (τ) + α 2 x 2 (τ)] dτ<br />

C −∞<br />

[ ∫ 1 t<br />

] [ ∫ 1 t<br />

]<br />

= α 1 x 1 (τ) dτ + α 2 x 2 (τ) dτ<br />

C<br />

C<br />

−∞<br />

= α 1 y 1 (t) + α 2 y 2 (t)<br />

of m.a.w. het systeem is lineair<br />

(iv) Stel y 1 (t) is de uitgang afkomstig van de verschoven ingangsstroom in de tijd<br />

x 1 (t) = x(t − t 0 ). Er geldt<br />

y 1 (t) = T {x(t − t 0 )} = 1 C<br />

= 1 C<br />

∫ t−t0<br />

−∞<br />

Het systeem is dus tijdsinvariant<br />

∫ t<br />

−∞<br />

x(λ) dλ = y(t − t 0 )<br />

−∞<br />

x(τ − t 0 ) dτ


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 28<br />

Oefening 1.6<br />

Het discrete tijdssysteem, Figuur 1.31, is bekend als The Unit Delay Element. Bepaal of<br />

het systeem (a) geheugenloos, (b) causaal, (c) lineair of (d) tijdsinvariant is.<br />

Figuur 1.31: Unit Delay element<br />

Oplossing<br />

(a) De ingang-uitgangsrelatie wordt gegeven door<br />

y[n] = T {x[n]} = x[n − 1] (1.49)<br />

Aangezien de uitgangswaarden bij n afhankelijk zijn van de ingangswaarden bij<br />

n − 1, zal het systeem niet geheugenloos zijn.<br />

(b) Aangezien de uitgang niet afhangt van toekomstige ingangswaarden, zal het systeem<br />

causaal zijn.<br />

(c) Stel x[n] = α 1 x 1 [n] + α 2 x 2 [n]. Dan geldt<br />

y[n] = T {α 1 x 1 [n] + α 2 x 2 [n]} = α 1 x 1 [n − 1] + α 2 x 2 [n − 1]<br />

Het systeem is dus lineair<br />

= α 1 y 1 [n] + α 2 y 2 [n]<br />

(d) Stel y 1 [n] is de respons op x 1 [n] = x[n − n 0 ]. Bijgevolg zal<br />

y 1 [n] = T {x 1 [n]} = x 1 [n − 1] = x[n − 1 − n 0 ]<br />

en<br />

y[n − n 0 ] = x[n − n 0 − 1] = x[n − 1 − n 0 ] = y 1 [n]<br />

Het systeem is tijdsinvariant.


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 29<br />

Oefening 1.7<br />

Gegeven de ingang-uitgang relatie y = T [x] = x 2 . Toon aan dat het systeem niet-lineair<br />

is.<br />

oplossing<br />

T [x 1 + x 2 ] = (x 1 + x 2 ) 2 = x 2 1 + 2x 1 x 2 + x 2 2 (1.50)<br />

T [x 1 ] + T [x 2 ] = x 2 1 + x 2 2 (1.51)<br />

(1.50) ≠ (1.51), dus het systeem is niet-lineair.<br />

Oefening 1.8<br />

Gegeven de ingang-uitgang relatie y = T [x] = ax + b. Toon aan dat het systeem nietlineair<br />

is<br />

oplossing<br />

T [x 1 + x 2 ] = a (x 1 + x 2 ) + b (1.52)<br />

T [x 1 ] + T [x 2 ] = ax 1 + b + ax 2 + b<br />

= a (x 1 + x 2 ) + 2b (1.53)<br />

(1.52) ≠ (1.53), dus het systeem is niet-lineair.<br />

Oefening 1.9<br />

Laat T een continu LTI systeem voorstellen. Toon aan dat T [exp (st)] = λ exp (st) , s is<br />

een complexe variabele en λ ∈ C.<br />

bewijs<br />

Laat y (t) de uitgang van het systeem zijn met als ingang x (t) = exp (st) . Dan<br />

Daar het systeem tijdsinvariant is, hebben we<br />

T [exp (st)] = y (t) . (1.54)<br />

T [exp (s (t + t 0 ))] = y (t + t 0 ) (1.55)<br />

voor een willekeurige t 0 . Het systeem is lineair, en we kunnen (1.55) schrijven als<br />

T [exp (s (t + t 0 ))] = T [exp (st) exp (st 0 )]<br />

= exp (st 0 ) T [exp (st)]<br />

= exp (st 0 ) y (t) . (1.56)<br />

Uit (1.54) en (1.56)<br />

Stel t = 0 en we verkrijgen<br />

y (t + t 0 ) = exp (st 0 ) y (t) . (1.57)<br />

y (t 0 ) = exp (st 0 ) y (0) . (1.58)


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 30<br />

Daar t 0 is willekeurig, vervangen van t 0 door t, kunnen we (1.58) herschrijven als<br />

y (t) = exp (st) y (0)<br />

= λ exp (st) (1.59)<br />

of<br />

T [exp (st)] = λ exp (st) , (1.60)<br />

waarbij λ = y (0) . Een functie x (·) dat voldoet aan de vergelijking<br />

T [x (·)] = λx (·) (1.61)<br />

wordt een eigenfunctie (of karakteristieke functie) van de operator T genoemd, en de<br />

constante λ is de eigenwaarde.


HOOFDSTUK 1. SIGNALEN EN SYSTEMEN 31<br />

Oefening 1.10<br />

Bepaal de ingang-uitgang relatie van het teruggekoppelde systeem voorgesteld in Figuur<br />

1.32.<br />

Figuur 1.32:<br />

oplossing<br />

De ingang van de eenheid vertragingselement is x [n] − y [n] . Dus de uitgang y [n] van het<br />

vertragingselement is<br />

y [n] = x [n − 1] − y [n − 1] . (1.62)<br />

Herschikken van (1.62) geeft<br />

y [n] + y [n − 1] = x [n − 1] . (1.63)<br />

De ingang-uitgang relatie van het systeem kan geschreven worden als een eerste-orde<br />

verschilvergelijking met constante coëfficiënten.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!