02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

R<br />

サン プル周期<br />

ブラック ボックスの出力ポート、 クロック、 クロック イネーブルは、 コンフィギュレーショ ン M<br />

関数のサンプル周期で割 り 当て る必要があ り ます。 サンプル周期がダ イ ナ ミ ッ ク であ る か、 ブ ラ ッ<br />

ク ボ ッ ク ス でレー ト をチェ ッ クする必要があ る場合、 こ の関数で入力ポー ト のサンプル周期を取得<br />

する 必要があ り ます。 ブラッ ク ボックスのサンプル周期は、 <strong>System</strong> <strong>Generator</strong> のマス タ ブロック<br />

の [Simulink system period] フ ィ ール ド で指定し たシ ス テム レー ト の整数倍で記述し ます。 たとえ<br />

ば、 [Simulink system period] が 1/8 の場合、 ブ ラ ッ ク ボックスの入力ポートはそのシステム レー<br />

ト (1/8) で実行され、コ ンフ ィ ギュ レーシ ョ ン M 関数でポートのレート としてレポートされた 1 が<br />

認識されます。 同様に、 [Simulink system period] が pi の場合、 出力ポー ト はそのシ ス テム レート<br />

の 4 倍の速さ (4*pi) にする必要があ るので、 コ ンフ ィ ギ ュ レーシ ョ ン M 関数で出力ポー ト のレー<br />

トを 4 に設定する必要があ り ます。 定数ポー ト に最適な レー ト は Inf です。<br />

66 japan.xilinx.com <strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> ガイ ド<br />

リリース 9.2.00 2007 年 8 月

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!