02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Black Box<br />

レート を生成するために、 1 つのク ロ ッ ク に対し て複数の ク ロ ッ ク イネーブル (1 つのレー ト に 1<br />

つのイ ネーブル) が使用されます。 このクロック イネーブルが、 最適なタイ ミングでハードウェア<br />

の異な る部分をそれぞれ有効に し ます。 各クロッ ク イネーブルのレートは、Simulink のサンプル周<br />

期によ って異な り ます。 クロックの必要な <strong>System</strong> <strong>Generator</strong> ブロッ クには、 HDL に少な く と も ク<br />

ロックとクロック イネーブル ポー ト が 1 つずつ記述 さ れます。 クロック レート が複数あるブロ ッ<br />

ク の場合は、 さ ら に多 く の ク ロ ッ ク およびク ロ ッ ク イネーブル ポー ト が含まれます。<br />

ブラック ボッ ク スのク ロ ッ クは、 ほかの <strong>System</strong> <strong>Generator</strong> ブロックのクロッ ク と同じよ うに動作<br />

します。 ブラック ボックスの HDL には、 Simulink のサンプル レー ト ごとに別々のク ロ ッ ク ポー<br />

トとクロック イネーブルを含める必要があ り ます。 ブラック ボックスの HDL のクロック ポー ト<br />

とクロック イネーブル ポー ト は、 次の よ う に記述し ます。<br />

• クロックとクロック イ ネーブルはペアで記述する必要があ り ます。 つま り 、 ク ロ ッ ク ご と に対<br />

応する ク ロ ッ ク イ ネーブルが必要です。 ブラック ボックスにクロック ポー ト が 1 つ以上含ま<br />

れるこ と もあり ますが、 各クロッ ク ポー ト を駆動するために使用される ク ロ ッ ク ソースは 1<br />

つだけです。 異なるのは、 ク ロ ッ ク イネーブルのレートだけです。<br />

• クロック名には clk を、 ク ロ ッ ク イネーブル名には ce を含めます。<br />

• クロック イ ネーブルの名前は、 ク ロ ッ ク と 同じ にする必要があ り ますが、clk の部分は ce にし<br />

ます。 たとえば、クロック名が src_clk_1 の場合、 ク ロ ッ ク イネーブルは src_ce_1 にする必要<br />

があ り ます。<br />

クロック ポー ト と ク ロ ッ ク イネーブル ポー ト は、 Black Box ブロッ クのアイコンには表示されま<br />

せん。 最上位レベルの HDL のクロック イネーブル ポー ト を <strong>System</strong> <strong>Generator</strong> で表示させるには、<br />

別のイ ネーブル ポー ト を最上位レベルの HDL に追加し、 こ の信号と ク ロ ッ ク イネーブル信号が<br />

AND ゲート を通るよ うに設定します。<br />

<strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> <strong>ガイド</strong> japan.xilinx.com 63<br />

リリース 9.2.00 2007 年 8 月<br />

R

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!