02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

ブロックセッ ト ラ イ ブ ラ リ の構造<br />

表 6 : Index ブロッ ク<br />

Index ブロック 説明<br />

FFT v3_2 ザイ リ ンクスの FFT v3_2 ブロックは、 Virtex4、 Virtex-II、 Virtex-II<br />

Pro、 Spartan-3 デバイ スでのみサポー ト さ れます。 この FFT では、 離<br />

散フーリ エ変換 (DFT) を計算する効率的なアルゴ リ ズムが イ ンプ リ<br />

メントされます。<br />

FFT v4_1 ザイ リ ンクスの FFT v4_1 ブロックは、 Virtex-5、 Virtex4、 Virtex-II、<br />

Virtex-II Pro、 Spartan-3、 Spartan-3E、 Spartan-3A デバ イ ス でのみサ<br />

ポー ト されます。 この FFT では、 離散フー リ エ変換 (DFT) を計算す<br />

る効率的なアルゴ リ ズムが イ ンプ リ メ ン ト さ れます。<br />

FIFO ザイ リ ンクスの FIFO ブロックは、 FIFO メモリ キューをインプリ メ<br />

ントします。<br />

FIR Compiler v1_0 ザイ リ ンクス FIR Compiler v1_0 ブロックは、 高速 MAC ベース の<br />

FIR フィルタをインプリメントします。 こ のブ ロ ッ ク は、 入力データ<br />

のス ト リームを受信し、 フ ィルタのコンフ ィギュレーシ ョ ンに応じて<br />

フ ィ ルタ処理し た結果を、 固定の遅延で出力し ます。<br />

FIR Compiler v2_0 ザイ リ ンクス FIR Compiler v2_0 ブロックは、 高速 MAC ベース の<br />

FIR フィルタをインプリメントします。 こ のブ ロ ッ ク は、 入力データ<br />

のス ト リームを受信し、 フ ィルタのコンフ ィギュレーシ ョ ンに応じて<br />

フ ィ ルタ処理し た結果を、 固定の遅延で出力し ます。<br />

FIR Compiler v3_0 ザイ リ ンクス FIR Compiler v3_0 ブロックは、 高速 MAC ベース の<br />

FIR フィルタをインプリメントします。 こ のブ ロ ッ ク は、 入力データ<br />

のス ト リームを受信し、 フ ィルタのコンフ ィギュレーシ ョ ンに応じて<br />

フ ィ ルタ処理し た結果を、 固定の遅延で出力し ます。<br />

FIR Compiler v3_1 ザイ リ ンクス FIR Compiler v3_1 ブロックは、 高速 MAC ベース の<br />

FIR フィルタをインプリメントします。 こ のブ ロ ッ ク は、 入力データ<br />

のス ト リームを受信し、 フ ィルタのコンフ ィギュレーシ ョ ンに応じて<br />

フ ィ ルタ処理し た結果を、 固定の遅延で出力し ます。<br />

From FIFO ザイ リ ンクスの FIFO ブロックは、 FIFO メモリ キューをインプリ メ<br />

ントします。<br />

From Register ザイ リ ンクス From Register ブロッ クは、 D フリ ップフロップ ベース<br />

のレ ジ ス タ の後半分を イ ンプ リ メ ン ト し ます。 物理的なレジスタは、<br />

2 つのデザイ ンまたは同じデザイ ンの 2 箇所で共有で き ます。<br />

Gateway In ザイ リ ンクスの Gateway In ブロッ クは、 Simulink デザイ ンのザイ リ<br />

ン ク ス部分への入力に使用し ます。 このブロックは、 Simulink の整<br />

数、 ダブル データ、 固定小数点な どのデータ型を <strong>System</strong> <strong>Generator</strong><br />

の固定小数点型に変換し ます。 各ブロ ッ クでは、 <strong>System</strong> <strong>Generator</strong> で<br />

生成さ れた HDL デザ イ ンの最上位入力ポー ト を定義し ます。<br />

Gateway Out ザイ リ ンクスの Gateway Out ブロッ クは、 Simulink デザイ ンのザイ<br />

リ ン ク ス部か ら の出力に使用し ます。 このブロックでは、 <strong>System</strong><br />

<strong>Generator</strong> の固定小数点型のデータ が Simulink のダブル データ型に<br />

変換さ れます。<br />

<strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> <strong>ガイド</strong> japan.xilinx.com 35<br />

リリース 9.2.00 2007 年 8 月<br />

R

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!