02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

To FIFO<br />

R<br />

このブロックは、 [<strong>Xilinx</strong> Blockset] の [Index] ライブラリにリストされています。<br />

ザイ リンクス To FIFO ブロッ クは、 First-In First-Out (FIFO) のメ<br />

モリ キ ューの前半分を イ ンプ リ メ ン ト し ます。<br />

書き込みイネーブル (we) 入力が 1 になる と、 このモジュールの<br />

データ ポー ト の値が次の使用可能な空の メ モ リ ロケーションに書<br />

き込まれます。 FIFO がフルの場合は、 full 出力ポー ト がアサー ト<br />

されます。 出力ポー ト percent_full には、 フルの FIFO のパーセン ト がユーザー指定の精度で表示<br />

されます。<br />

To FIFO は、FIFO <strong>Generator</strong> v2.1 コアを使用してハードウェアにインプリ メント されます。 <strong>System</strong><br />

<strong>Generator</strong> のハード ウ ェア協調シ ミ ュ レーシ ョ ン インターフェイスでは、To FIFO ブロックを<br />

FPGA ハー ド ウ ェ アに コ ンパイ ルし、 協調シ ミ ュ レーシ ョ ンで き ます。 共有 FIFO を <strong>System</strong><br />

<strong>Generator</strong> 協調シ ミ ュ レーシ ョ ン ハー ド ウ ェ アで使用する と 、 ホ ス ト PC と FPGA 間でデータ を高<br />

速に転送で き、 リ アルタ イ ム ハー ド ウ ェ ア協調シ ミ ュ レーシ ョ ン機能が強化されます。<br />

9.2 リ リ ース から は、 同じ 名前の From FIFO ブロ ッ ク と To FIFO ブロックがペアになり、ネット リ<br />

スト で 1 つの BRAM ベース の FIFO ブロ ッ ク になっています。 From FIFO または To FIFO ブロ ッ<br />

ク が別のブロ ッ ク と ペアになら ない場合は、 その入力ポート と 出力ポート が最上位レ ベルの <strong>System</strong><br />

<strong>Generator</strong> デザイ ン に含ま れま す。 ペアになっ たブロ ッ ク はデザイ ン のど の階層にでも 配置でき ま す<br />

が、 同じ 名前の From FIFIO または To FIFO ブロ ッ ク が複数ある 場合は、 エラ ーになり ま す。<br />

以前のバージ ョ ン と の互換性を保持する には、MATLAB グローバル変数 xlSgSharedMemoryStitch<br />

を off に設定し て く ださい。 これには、 MATLAB コマンド ラインに次のように入力します。<br />

ブロック パラ メータ<br />

[Basic] タブ<br />

global xlSgSharedMemoryStitch;<br />

xlSgSharedMemoryStitch = 'off';<br />

[Basic] タブからは、 次のよ うなパラ メータを設定できます。<br />

• [Shared memory name] : 共有 FIFO の名前を付けます。 同じ名前の FIFO はすべて同じ物理的<br />

FIFO を共有し ます。<br />

• [Ownership] : メモリが [Locally owned] か [Owned elsewhere] かを指定し ます。 [Locally<br />

owned] の場合、 ブ ロ ッ ク で FIFO の イ ン ス タ ン スが作成され、 [Owned elsewhere] の場合、 ブ<br />

ロ ッ ク が既に作成された FIFO インスタンスに接続されます。<br />

• [Depth] : メモリ ブ ロ ッ ク のワー ド 数を指定し ます。 ワード サイズは、din ポー ト のビ ッ ト 幅か<br />

ら推論されます。<br />

• [Bits of precision to use <strong>for</strong> %full port] : %full ポー ト のビ ッ ト 幅を指定し ます。 この符号なし<br />

出力の 2 進小数点は、 常にワー ド の最上部にあ り ます。 こ のため、 た と えば精度が 1 に設定さ<br />

れる と、 出力は 0.0 と 0.5 の 2 つの値を取 り 込みます。 こ の場合、 0.5 は FIFO が少な く と も<br />

50% フルである こ と を示しています。<br />

• [Provide asynchronous reset port] : オプシ ョ ンの非同期 リ セ ッ ト ポー ト (rst) が使用されます。<br />

このブロックで使用されるパラメータは、 ブロッ クのパラ メータ ダイアログ ボックスの共通オプ<br />

ションで説明されています。<br />

330 japan.xilinx.com <strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> ガイ ド<br />

リリース 9.2.00 2007 年 8 月

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!