02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

R<br />

Point-to-point Ethernet Co-Simulation<br />

ザイ リ ンクスの Point-to-point Ethernet Co-Simulation ブロックは、 イーサネッ ト<br />

接続を介し て、 ハー ド ウ ェ ア協調シ ミ ュ レーシ ョ ン を実行する イ ン ターフ ェ イ ス<br />

を提供し ます。<br />

イ ン ターフ ェ イ ス、 必要条件、 セ ッ ト ア ッ プ手順などの詳細は、 イーサネッ ト ハー<br />

ドウェア協調シミュレーション を参照して く ださい。<br />

Point-to-Point Ethernet Co-Simulation ブロッ クは、<strong>System</strong> <strong>Generator</strong> ブロックの [Compilation] で<br />

[Ethernet] → [Point-to-point] を選択する と 作成さ れます。 作成 さ れたブ ロ ッ ク には、 元のゲー ト<br />

ウ ェ イ に対応する ポー ト (またはサブシステム ポー ト ) が含まれ、 ほかの <strong>System</strong> <strong>Generator</strong> ブロッ<br />

クと同じように使用できます。 このブロックは、Simulink のシ ミ ュレーシ ョ ン中に、 FPGA ハー ド<br />

ウェア プラッ ト フォームとの通信に使用されます。 ブロ ッ クの入力ポー ト に書き込まれたシ ミ ュ<br />

レーシ ョ ン データは、 ハー ド ウ ェ アに渡されます。 データ がブ ロ ッ ク の出力ポー ト か ら読み出さ れ<br />

る と 、ブ ロ ッ ク はハー ド ウ ェ アか ら適切な値を読み込んで、出力ポー ト に駆動し ます。 こ れで、デー<br />

タが Simulink で解釈でき る よ う にな り ます。 また、 ブロックではプラッ ト フォームの開始、 コン<br />

フ ィ ギ ュ レーシ ョ ン、 ス テ ッ プ、 終了が自動的に実行さ れます。<br />

ブロック パラ メータ<br />

ブロッ ク パラ メータのダイアログ ボックスは、 Simulink モデル内のア イ コ ンをダブルク リ ッ クす<br />

る と 表示されます。<br />

[Basic] タブ<br />

[Basic] タブからは、 次のよ うなパラ メータを設定できます。<br />

• [Clock source] : シングル ステップまたはフ リーランニング クロック ソース を選択で き ます。<br />

シングル ステップ ク ロ ッ ク を選択する と 、 1 度に 1 クロック サイクルずつボードをステップ<br />

できます。 各クロック サイクル ステップは、 Simulink での一定の時間に相当し ます。 こ の ク<br />

ロック ソースを使用すると、 シミュレーション中の協調シミュレーション ハー ド ウ ェ アのビ<br />

ヘイ ビ アのビ ッ ト 精度および周期精度が、そのサブシ ス テムのシ ミ ュ レーシ ョ ン ビヘイ ビアよ<br />

りも正確になります。シングル ステッピングは不要で、 フリーランニング クロックでボード<br />

を実行で き る場合も あ り ます。 こ の場合、ボー ド は Simulink シ ミ ュ レーシ ョ ン と 非同期で動作<br />

します。<br />

• [Has combinational path] : ハー ド ウ ェ ア協調シ ミ ュ レーシ ョ ン ブロックの出力ポートから同<br />

じ ブ ロ ッ ク の入力ポー ト への直接組み合わせフ ィ ー ド バ ッ ク パス (同じブロッ クの出力ポート<br />

から入力ポートへの接続) が必要な場合も あ り ます。 出力ポー ト か ら入力ポー ト への直接<br />

フィードバック パスが必要で、デザ イ ンに入力ポー ト か ら出力ポー ト への組み合わせパスが含<br />

まれない場合は、 このチェ ッ ク ボックスをオフにすると、 デザインでフィードバック パスを<br />

使用できるようになります。<br />

• [Bitstream name] : Specifies the co-simulation FPGA configuration file <strong>for</strong> the Point-to-point<br />

Ethernet hardware co-simulation plat<strong>for</strong>m. 新規の協調シ ミ ュ レーシ ョ ン ブロッ クがコンパイ<br />

ル中に作成される と このパラ メータが自動的に設定され、正しいコンフ ィギュレーショ ン ファ<br />

イルが使用されます。 このパラメータは、 コンフィギュレーション ファイルのディレクトリを 変更し た場合にのみ修正し ます。<br />

[Ethernet] タブ<br />

[Ethernet] タブからは、 次のよ う なパラ メ ータ を設定でき ます。<br />

268 japan.xilinx.com <strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> ガイ ド<br />

リリース 9.2.00 2007 年 8 月

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!