02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

R<br />

PicoBlaze Microcontroller<br />

このブロックは、[<strong>Xilinx</strong> Blockset] の [Control Logic] および [Index] ライブラリにリストされてい<br />

ます。<br />

PicoBlaze Microcontroller ブロックは、 PicoBlaze マクロを使用して 8 ビット<br />

のエンベデッ ド マイクロコン ト ローラをインプリ メン ト します。<br />

このブロックでは、PicoBlaze 2 と PicoBlaze 3 の 2 バージョ ンがサポート さ れま<br />

す。 PicoBlaze 2 では Virtex-II が、 PicoBlaze 3 では Virtex-II、 Virtex-II Pro、<br />

Spartan-3、 Virtex-4 がサポート さ れます。 PicoBlaze 2 マク ロ では、 42 の命令と<br />

32 個の 8 ビット 汎用レジスタ、 256 個の直接ま たは間接的なアド レ ス ポート と<br />

1 つのマス ク 可能割り 込みが提供さ れま す。 PicoBlaze 3 では、 53 の命令、 16 個<br />

の 8 ビッ ト 汎用レジスタ、256 個の直接ま たは間接的なアド レ ス ポート と 1 つのマス ク 可能割り 込み<br />

に加え、 STORE およ び FETCH 命令を 使用し てアク セス 可能な 64 バイ ト の内部ス ク ラ ッ チ パッ ド<br />

メモリも提供されます。 PicoBlaze2 エンベデッ ド コ ント ロ ーラ と その命令セッ ト に関する 詳細は、ア<br />

プリ ケーショ ン ノ ート XAPP627 (http://japan.xilinx.com/bvdocs/appnotes/xapp627.pdf) を参照してく<br />

ださ い。<br />

通常は、1024 X 8 ビッ トのブロック ROM 1 つにプ ロ グ ラ ム を保存で き ます。 このブロッ ク と ROM<br />

は次の図のよ う 接続されます。<br />

ブロック インターフェイス<br />

どちらのバージ ョ ンのブロ ッ クにも入力ポートが 4 つ含まれます。 8 ビッ トのデータ ポー ト<br />

in_port が INPUT 命令中に読み出し を行います。 この値は 32 個のいずれのレジス タにも転送でき<br />

ます。 プログラムは、 brk ポー ト を 1 に設定する と 中断されます。 プ ロ セ ッ サは、 rst を 1 に設定す<br />

ると リセッ ト されます。 リセッ ト をすると、 レジスタの内容が一掃され、 プロセッサがアドレス 0<br />

で命令を実行し始め る よ う にな り ます。8 ビ ッ ト の入力ポー ト instr には PicoBlaze 命令が入力さ れ<br />

ます。<br />

PicoBlaze 2 ブロックには、 出力ポートが 5 つ含まれます。 PicoBlaze 3 ブロックには、 出力ポート<br />

が 6 つ含まれます。 8 ビ ッ トの出力ポート out_port が OUTPUT 命令中に書き込みを行います。<br />

port_id 出力は、 読み出し/書き込み中に読み出される (書き込まれる) 値のロ ケーシ ョ ンを識別し ま<br />

す。 出力ポー ト rs (read strobe) および ws (write strobe) は、 読み出し (INPUT) または書き込み<br />

(OUTPUT) のどちらが実行されるかを示します。 addr はプ ロ セ ッ サで実行 さ れる次の命令のア ド<br />

レスを示します。 プロセッサは、 内部にプログラムを保存できません。 出力ポー ト addr は、 次に実<br />

行さ れる命令の ロ ケーシ ョ ン を指定し ます。 int_ack ポー ト (PicoBlaze 3 のみ) は、 いつ割 り 込み<br />

サービ ス ルーチン を開始する かを示し ます (プログラム カウンタを 0x3FF に設定し ます)。<br />

ブロック パラ メータ<br />

次は、 PicoBlaze Microcontroller ブロックに特有のパラ メータです。<br />

• [Version] : [PicoBlaze 2] または [PicoBlaze 3] を指定し ます。<br />

266 japan.xilinx.com <strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> ガイ ド<br />

リリース 9.2.00 2007 年 8 月

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!