02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

R<br />

表 1 : Basic Elements ブロック<br />

ブロック 説明<br />

LFSR ザイ リ ンクスの LFSR ブロッ クは、 LFSR (Linear Feedback Shift<br />

Register) をインプリ メント します。 このブロックでは、XOR また<br />

は XNOR のいずれかを使用し てガ ロ アおよびフ ィ ボナ ッ チ構造の<br />

両方がサポー ト さ れる ほか、 リ ロー ド 可能な入力を使用し て、 レ<br />

ジ ス タ の値をいつで も変更で き ます。 LFSR の出力と リ ロード可能<br />

な入力は、 シ リ アル ポー ト またはパラ レル ポー ト のいずれかでコ<br />

ンフィギュレーションできます。<br />

Logical ザイ リ ンクスの Logical ブロッ クでは、 2、 3、 または 4 つの固定<br />

小数点の値のビ ッ ト 単位の論理演算が実行さ れます。 オペラ ン ド<br />

には、 2 進小数点の位置を揃え る ため、 必要に応じ て 0 がパデ ィ<br />

ン グ さ れた り 、 符号が拡張さ れた り し ます。 こ れら の値で論理演<br />

算が実行さ れ、 結果が出力ポー ト に送信さ れます。<br />

Mux ザイ リ ンクスの Mult ブ ロ ッ ク は、 乗算器を イ ンプ リ メ ン ト し ま<br />

す。 このブロックでは、 2 つの入力ポー ト のデータ の積が出力ポー<br />

ト に出力さ れます。<br />

Parallel to Serial Parallel to Serial ブ ロ ッ ク は、 入力ワー ド を読み込み、 それを分割<br />

してマルチプレクサで N 倍多重化し た出力ワー ド に し ます。 N<br />

は、 入力ビ ッ ト から出力ビ ッ ト の数の比率です。 出力順は、 LSB<br />

からか MSB からかのどちらかになります。<br />

Register ザイ リ ンクスの Register ブロッ クは、 1 サンプル周期のレ イ テン<br />

シを含む D フリ ップフロップ ベースのレジス タにな り ます。<br />

Reinterpret ザイ リ ンクスの Reinterpret ブ ロ ッ ク は、 入力値に関係な く 、 出力<br />

を強制的に新し いデータ型に し ます。<br />

Relational ザイ リ ンクスの Relational ブロッ クは、 コンパレータをインプリ<br />

メントします。<br />

Serial to Parallel Serial to Parallel ブ ロ ッ ク では、 連続し て入力さ れたデータ が指定<br />

した倍数のサイズの出力 1 つにま と め られて、 出力されます。 こ<br />

の連続入力は、 最上位ワー ド ま たは最下位ワー ド のいずれかを先<br />

頭に し た順序にな り ます。<br />

Slice ザイ リ ンクスの Slice ブ ロ ッ ク を使用する と 、 入力データ か ら<br />

ビッ トのシーケンスを切り取り、新しいデータ値を作成できます。<br />

こ のデータ値がブ ロ ッ ク か ら出力さ れます。 出力データ型は、 2 進<br />

小数点が 0 の位置の符合な しにな り ます。<br />

<strong>System</strong> <strong>Generator</strong> <strong>System</strong> <strong>Generator</strong> ブ ロ ッ ク は、 シ ス テム制御およびシ ミ ュ レー<br />

ション パ ラ メ ータ を提供し、 コー ド ジ ェ ネ レータ を起動する ため<br />

に使用されます。 ザイ リンクス ブロックセッ トからのエレメン ト<br />

を含む Simulink モデルには、<strong>System</strong> <strong>Generator</strong> ブロックが最低 1<br />

つは含まれます。 <strong>System</strong> <strong>Generator</strong> ブ ロ ッ ク をモデルに追加する<br />

と 、 コー ド の生成およびシ ミ ュ レーシ ョ ンの処理方法を指定で き<br />

るようになります。<br />

Time Division<br />

Demultiplexer<br />

ザイ リ ンクスの Time Division Demultiplexer ブロッ クは、 シリア<br />

ル入力をそれよ り 遅いレー ト の複数の出力にし ます。<br />

26 japan.xilinx.com <strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> ガイ ド<br />

リリース 9.2.00 2007 年 8 月

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!