02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

R<br />

のポー ト インターフェイスに含まれます。 クロック ポー ト 名と ク ロ ッ ク イネーブル名は、 ポート<br />

名にデザイ ン名が付いた名前で区別されます。 たとえば、Domain A というサブシステムに inport_a<br />

という入力ポート 1 つと outport_a という出力ポート 1 つが含まれる と し ます。 また、Domain B と<br />

いうサブシステムには、inport_b という入力ポート 1 つと outport_b という出力ポート 1 つが含ま<br />

れる と します。 結果の最上位レベルのエンティティの VHDL ポー ト インターフェイスは、 次のよ<br />

うになります。<br />

entity multiple_subsys_ex is<br />

port (<br />

domain_a_ce: in std_logic := '1';<br />

domain_a_clk: in std_logic;<br />

domain_b_ce: in std_logic := '1';<br />

domain_b_clk: in std_logic;<br />

inport_a: in std_logic_vector(17 downto 0);<br />

inport_b: in std_logic_vector(17 downto 0);<br />

outport_a: out std_logic_vector(17 downto 0);<br />

outport_b: out std_logic_vector(17 downto 0)<br />

);<br />

end multiple_subsys_ex;<br />

複数ク ロ ッ クのサポー ト<br />

Multiple Subsystem <strong>Generator</strong> ブ ロ ッ ク を使用する サブシ ス テムには、 それぞれマ ス タ の <strong>System</strong><br />

<strong>Generator</strong> ブロッ クが 1 つ含まれる ので、 Simulink シ ス テム周期や FPGA ク ロック周期などのク<br />

ロ ッ ク情報をブ ロ ッ ク ご と に指定する こ と がで き ます。 異なる Simulink シ ス テム周期を指定する<br />

と、 各 <strong>System</strong> <strong>Generator</strong> デザ イ ン を別のレー ト でシ ミ ュ レーシ ョ ンで き、 非同期の ク ロ ッ ク ドメ<br />

イ ン を使用する モデル シ ス テムを効率的に作成で き ます。<br />

Multiple Subsystem <strong>Generator</strong> ブロックは、生成されるサブシステムごとに別のクロック ポー ト を<br />

作成し ます。 このクロック ポー ト が <strong>System</strong> <strong>Generator</strong> デザ イ ンの対応する ク ロ ッ ク ポー ト に配線<br />

されます。 複数の ク ロ ッ ク を使用するデザ イ ンのネ ッ ト リ ス ト が生成さ れる と (高位レベルのモデ<br />

ルから下位レベルの HDL 記述に変換 さ れる と )、共有メ モ リ の半分 2 つが対応する サブシ ス テムか<br />

ら上位の階層レベルに移動さ れます。 この共有メモリ ペアの 2 つの半分が ク ロ ッ ク ドメイン ブ<br />

リッジ (デュアル ポー ト メモリなど) をインプリ メントする 1 つの HDL コ ンポーネン ト に置換さ<br />

れます。 この後、 2 つの ク ロ ッ ク ドメインからのクロックがブリッジ コ ンポーネン ト の反対側に、<br />

必要なデータおよび制御信号 と 共に接続さ れます。<br />

254 japan.xilinx.com <strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> ガイ ド<br />

リリース 9.2.00 2007 年 8 月

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!