02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Multiple Subsystem <strong>Generator</strong><br />

<strong>Generator</strong> ロ ジ ッ ク がそのデザ イ ンの最上位レベルのポー ト に接続さ れます。 つま り、1 つのサブシ<br />

ステムの HDL コ ンポーネン ト には、 共有 メ モ リ の半分用のポー ト が含まれます。 残 り の半分には、<br />

共有 メ モ リ の反対側用のポー ト が含まれます。 共有 メ モ リ のハー ド ウ ェ ア インプリ メンテーション<br />

が作成され、 最上位レベルの共有 メ モ リ ポー ト に接続 さ れます。<br />

メモ : Multiple Subsystem <strong>Generator</strong> ブロックでは、 現在のと ころ、 複数の共有メモリ ブロックが<br />

同じサブシステム内の同じ共有メモリ オブジェ ク ト を参照できないよ う になっています。 たとえ<br />

ば、 To FIFO ブロ ッ クはほかのサブシステムにある 2 つの From FIFO ブ ロ ッ ク と の通信には使用<br />

できません。<br />

たとえば、A と B の 2 つのサブシステムがある と し ます。 サブシステム A には To FIFO ブロッ ク<br />

が、 サブシステム B には From FIFO ブロ ッ クが含まれます。 FIFO の反対半分では、 my_fifo とい<br />

う同じ共有メモリが指定されます。 Multiple Subsystem <strong>Generator</strong> ブロックを使用してネッ ト リ ス<br />

ト が作成 さ れる と 、 To FIFO ブロック と From FIFO ブロッ クが対応するサブシステムからそれぞ<br />

れ削除され、 1 つのコ ア インプリ メンテーション (例 : 非同期 FIFO コアなど) に統合されます。 次<br />

の図は、 こ のプロセス を示し ています。<br />

次の表は、 共有 メ モ リ および共有 メ モ リ 関連のブロ ッ ク を イ ンプ リ メ ン ト に使用される コ アまたは<br />

HDL コンポーネントのインプリ メンテーションを示しています。<br />

To ブロック From ブロック ハードウェア インプリメンテーション<br />

共有 メ モ リ 共有 メ モ リ Dual Port Block Memory 6.1<br />

To FIFO To FIFO Fifo <strong>Generator</strong> 2.1<br />

To Register To Register synth_reg_w_init.(vhd,v)<br />

メモ : 共有 メ モ リ ブ ロ ッ ク は、 サブシ ス テム間の通信手段 と し てのみ使用さ れます。 サブシステム<br />

間の通信に <strong>System</strong> <strong>Generator</strong> 信号は使用しないでください。 これは、 これらの信号が Multiple<br />

Subsystem <strong>Generator</strong> ブ ロ ッ ク で作成さ れる最上位レベルの HDL コ ンポーネン ト の最上位レベル<br />

のポー ト に最終的に変換されるか ら です。<br />

Multiple Subsystem <strong>Generator</strong> ブロッ クを使用した <strong>System</strong> <strong>Generator</strong> デザイ ンのゲー ト ウ ェ イ<br />

ポー ト はすべて最上位レベルの HDL コ ンポーネン ト のポー ト インターフェ イスに含まれます。 ま<br />

た、 ク ロ ッ ク ポー ト と ク ロ ッ ク イネーブル ポー ト は、 それぞれ各 <strong>System</strong> <strong>Generator</strong> サブシステム<br />

<strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> <strong>ガイド</strong> japan.xilinx.com 253<br />

リリース 9.2.00 2007 年 8 月<br />

R

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!