02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

R<br />

• <strong>System</strong> <strong>Generator</strong> ブロッ クは、通常 Multiple Subsystem <strong>Generator</strong> ブロック と同じ階層レベル<br />

には含めません。<br />

• サブシステム内の少な く と も 2 つのマス タ <strong>System</strong> <strong>Generator</strong> ブロッ クを Multiple Subsystem<br />

<strong>Generator</strong> ブ ロ ッ ク と 同じ階層レベルに含め る必要があ り ます。<br />

• 1 つの階層レ ベルに含める こ と ができ る Multiple Subsystem <strong>Generator</strong> ブロ ッ ク は 1 つだけです。<br />

たとえば、 次のようなブロック図があるとします。 この図には、 サブシステムが 2 つ含まれていま<br />

す。 各サブシ ス テムには、 <strong>System</strong> <strong>Generator</strong> ブロッ クが 1 つと <strong>System</strong> <strong>Generator</strong> ロジックが複数<br />

含まれます。 この図に表示されているサブシステムは 2 つだけですが、 Multiple Subsystem<br />

<strong>Generator</strong> ブロックにはそれ以上の数のサブシステムを対応させることができます。 Multiple<br />

Subsystem <strong>Generator</strong> ブロックは、 この 2 つのサブシステム と同じ階層レベルに含まれます。<br />

Multiple Subsystem <strong>Generator</strong> ブロッ クを使用してデザイン全体を生成するよ うに選択する と、 サ<br />

ブシ ス テムが生成されて接続されます。<br />

1 つのマス タの <strong>System</strong> <strong>Generator</strong> ブロッ クを含むサブシステムは、 Multiple Subsystem <strong>Generator</strong><br />

ブロックのダイアログ ボックスで [Generate] ボタンをク リ ックすると、NGC コンパイル ターゲッ<br />

トを使用してインプリメントされます。 NGC コンパイル ターゲ ッ ト を使用する と、 結果の HDL<br />

ネッ ト リス ト、 コア、 制約が 1 つのネ ッ ト リ ス ト フ ァ イル と し て作成でき る と い う 利点があ り ま<br />

す。 デザイ ンを ま と める HDL コンポーネントでは、<strong>System</strong> <strong>Generator</strong> デザイ ンがブラ ッ ク ボック<br />

スとしてインスタンシエートされます。NGC ファイルにはブラック ボックスのインプリ メンテー<br />

ションが含まれます。 上の例の場合、 それぞれが各サブシステムに対応し た 3 つの NGC ファイル<br />

が生成されます。<br />

デザ イ ンの生成前に、 Multiple Subsystem <strong>Generator</strong> ブロックのダイアログ ボックスで [Part]、<br />

[Synthesis tool]、[Hardware description language] を設定しておき ます。 これらの設定は、マスタの<br />

<strong>System</strong> <strong>Generator</strong> ブ ロ ッ ク の設定よ り も優先さ れます。 元の <strong>System</strong> <strong>Generator</strong> 設定は、生成が完了<br />

する と回復されます。<br />

Multiple Subsystem <strong>Generator</strong> ブロッ クを使用して接続されたサブシステム同士の通信には、<br />

To/From FIFO ブロックまたは To/From Register ブ ロ ッ ク のいずれかの共有 メ モ リ ブロッ クのペ<br />

アが使用されます。 このブロック ペアは、1 つのブロ ッ ク (例 : To FIFO ブロッ ク) が 1 つのサブシ<br />

ステムに含まれる場合、 ペアのも う 1 つのブロ ッ ク (From FIFO ブロッ ク) が別のサブシ ステムに<br />

含まれる よ う にパーテ ィ シ ョ ンする必要があ り ます。<br />

デザ イ ン全体がハー ド ウ ェ アに変換 さ れる と 、 FIFO の半分が 2 つ、 対応するサブシ ス テムか ら 読<br />

み出 さ れます。 こ の後、 前に共有 メ モ リ ポー ト (data in、 data out など) に接続された <strong>System</strong><br />

252 japan.xilinx.com <strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> ガイ ド<br />

リリース 9.2.00 2007 年 8 月

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!