02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

R<br />

なります。 このオプションは、 ModelSim が実行されるデ ィ レ ク ト リ の下に ModelSim の作業デ ィ<br />

レ ク ト リ があ り 、作業デ ィ レ ク ト リ にすべてのブ ラ ッ ク ボックス HDL の最新の ModelSim コンパ<br />

イ ル結果が含まれてい る場合に使用し ます。 オンにする と 、 シ ミ ュ レーシ ョ ンの開始に必要な時間<br />

を大幅に削減でき ますが、 条件に合わない と きにオンにする と 、 シ ミ ュ レーシ ョ ンでエ ラーにな る<br />

か、 シ ミ ュ レーシ ョ ンが実行されて も間違った結果が出力さ れて し まいます。<br />

[Advanced] タブ<br />

[Advanced] タブからは、 次のよ うなパラ メータを設定できます。<br />

[Include Verilog unisim library] : オンにする と、 シ ミ ュレーシ ョ ン中、 ModelSim に Verilog の<br />

UniSim ライブラリを含めるようにできます。 ただし、Verilog の UniSim ライブラリは、ModelSim<br />

で UNISIMS_VER にマ ッ プ し てお く 必要があ り ます。 また、このオプシ ョ ンをオンにする と、glbl.v<br />

モジ ュールが コ ンパイ ル さ れ、 シ ミ ュ レーシ ョ ン中に起動さ れます。<br />

[Add custom scripts] : script は、ModelSim で実行される Tcl マクロ ファイル (DO ファイル) のこ<br />

とです。 オンにする と、[Script to run be<strong>for</strong>e starting compilation]、[script to run in place of "vsim"]、<br />

[Script to run after "vsim"] フィールドが設定できるようになります。 [Add custom scripts] をオンに<br />

しておかないと、 これらのフィールドで名前を付けた DO ファイルは実行されません。<br />

[Script to run be<strong>for</strong>e starting compilation] : ブラック ボックス HDL ファイルをコンパイルする前に<br />

ModelSim で実行される Tcl マクロ ファイル (DO ファイル) の名前を入力し ます。<br />

メモ : ModelSim マクロ ファイル (DO ファイル) の記述方法は、 ModelSim のユーザー <strong>ガイド</strong>の<br />

「Tcl and macros (DO files)」 の章を参照し て く だ さ い。<br />

[Script to run in place of "vsim"] : ModelSim では、Tcl (Tool Command Language) をスク リプト言<br />

語 と し て使用し て、 ツールを制御および拡張し ます。 このフィールドには、 ModelSim の Tcl マク<br />

ロ ファイル (DO ファイル) を入力し ます。 このフ ァ イルが、 <strong>System</strong> <strong>Generator</strong> で ModelSim のシ<br />

ミ ュ レーシ ョ ン を開始する命令があ る と 、 ModelSim の do コマンドで実行されます。 マクロ ファ<br />

イ ルの実行が開始されてか ら シ ミ ュ レーシ ョ ン を開始する場合は、 こ のマ ク ロ ファイルに vsim コ<br />

マン ド を含める必要があ り ます。<br />

通常 こ のパ ラ メ ータ が空白の場合、 ま たは [Add custom scripts] がオフの場合、 <strong>System</strong> <strong>Generator</strong><br />

は ModelSim にデフ ォル ト のコマン ド vsim $toplevel -title {<strong>System</strong> <strong>Generator</strong> Co-Simulation<br />

(from block $blockname} を実行する よ う に命令し ます。 こ の場合、 $toplevel はシ ミ ュレーシ ョ ン<br />

の最上位レベルのエンティティ名 (例 : work.my_model_mti_block)、 $blockname は現在の協調シ<br />

ミュレーションに関連する Simulink モデルの中の ModelSim ブロック名を示しています。 問題を<br />

回避する ために、 ブ ロ ッ ク 名に改行などの文字は使用し ないで く だ さ い。<br />

[Add custom scripts] をオンにして、 このパラ メータを指定する と、 <strong>System</strong> <strong>Generator</strong> が do $*<br />

$toplevel $blockname を実行する よ う に ModelSim に命令を し ます。 この場合、$toplevel と<br />

$blockname は上記の説明 と 同じで、$* は こ のフ ィ ール ド に入力 さ れた文字にな り ます。 たとえば、<br />

このフィールドに foo.do と い う 文字を入力する と 、ModelSim は foo.do を実行し ます。 次に、 こ の<br />

マクロ ファイルで $toplevel に $1、 $blockname に $2 を適用する と 、 マクロ ファイル foo.do の中<br />

の vsim $1 コマンドは、 toplevel で vsim を実行し ます。<br />

[Script to run after "vsim"] : このフィールドには、 ブラック ボックスのすべての HDL が問題な く<br />

コンパイルされ、 ModelSim シ ミ ュ レーシ ョ ンが問題な く 終了した後に、 ModelSim で実行される<br />

Tcl マクロ ファイル (DO ファイル) を入力し ます。 [Basic] タブで [Open wave<strong>for</strong>m viewer] をオン<br />

に し てい る と 、 こ のス ク リ プ ト を実行する前に、 波形ビ ューアを開いてカ ス タ マ イ ズするすべての<br />

コマンドが <strong>System</strong> <strong>Generator</strong> で実行されます。 これで、 信号をデフォルトのビューアに追加する<br />

か、 完全なカ ス タ ム ビューアを作成して、 波形ビ ューアをカス タマイズでき る よ う にな り ます。 ブ<br />

ラック ボッ ク スのチュー ト リ アルには、 波形ビューアのカス タマイズ例が含まれています。<br />

246 japan.xilinx.com <strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> ガイ ド<br />

リリース 9.2.00 2007 年 8 月

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!