02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

MicroBlaze Processor<br />

ブロック パラ メータ<br />

ブロッ ク パラ メータのダイアログ ボックスは、 Simulink モデル内のア イ コ ンをダブルク リ ッ クす<br />

る と 表示されます。<br />

[General] タブ<br />

このタブでは、 MicroBlaze Processor ブロックをカスタマイズし、 ハードウェア協調シミ ュレー<br />

シ ョ ン機能を使用で き る よ う に し ます。<br />

[General] タブからは、 次のよ う なパラ メ ータ を設定でき ます。<br />

• [Number of input interfaces] : <strong>System</strong> <strong>Generator</strong> から MicroBlaze への FSL インターフェイス<br />

の数を指定し ます。 このブロックのインターフェイスは、 入力ポート と出力ポートの数でコン<br />

フィギュレーションされます。 入力イ ン ターフ ェ イ スの数は、 8 以下にする必要があ り ます。<br />

• [Number of output interfaces] : MicroBlaze から <strong>System</strong> <strong>Generator</strong> への FSL インターフェイ<br />

スの数を指定します。 このブロックのインターフェイスは、 入力ポート と出力ポートの数でコ<br />

ンフィギュレーションされます。 出力イ ン ターフ ェ イ スの数は、8 以下にする必要があ り ます。<br />

• [Provide Reset Port] : ブロッ ク インターフェイスに Rst 出力ポー ト が追加 さ れます。 これで<br />

<strong>System</strong> <strong>Generator</strong> デザイ ンを MicroBlaze からリセッ トできるようになります。<br />

• [Provide processor model] : MicroBlaze のハード ウ ェ ア協調シミ ュ レ ーショ ン 機能を使用でき る<br />

よう にします。 オンにする と 、 [Hardwar] タブと [Software] タブが使用できるよう になり ます。<br />

[Hardware] タブ :<br />

このタブでは、 MicroBlaze Processor ブロックをカスタマイズし、 ハードウェア協調シミ ュレー<br />

シ ョ ン機能を使用で き る よ う に し ます。<br />

[Hardware] タブからは、 次のよ う なパラ メ ータ を設定でき ます。<br />

• [Simulation model] : ブロッ クが最初に使用されるときは <strong>System</strong> <strong>Generator</strong> プロセッサ コアの<br />

キャッシュは空なので、 [Simulation Model] プルダウ ン メニューにはシミュレーション モデ<br />

ルが何も表示されません。<br />

シミュレーション モデルは、 ハー ド ウ ェ ア プラッ ト フォーム (ボード) に接続し、 RS232 ポー<br />

ト が使用可能かど う かのどのボー ド 特有の情報を MicroBlaze プロセッサに認識させる必要が<br />

あります。 シミュレーション モデルがコアのキャ ッシュにある と、このプルダウン メニューに<br />

リストされます (例 : companyxyz_boardnm_partnm_packagenum_rev_1)。<br />

• [Add simulation model] : このボタンをク リ ックすると、 [Hardware Co-Simulation Targets] ダ<br />

イアログ ボックスが表示され、 コンパイルするターゲッ トが指定できるようになります (詳細<br />

は、 <strong>System</strong> <strong>Generator</strong> のコンパイル タイプを参照して ください)。 [Generate] ボタンをク リ ッ<br />

ク する と 、 次の よ う に コ ンパイ ル さ れます。 コ ンパイ ルには、 多少時間がかか り ます。<br />

a. EDK プロジェク トが <strong>System</strong> <strong>Generator</strong> のブ ロ ッ ク で指定し た ターゲ ッ ト ディレク ト リに<br />

作成されます (XPS)。<br />

b. EDK プロジェク トのネッ ト リ ス トが生成されます (EDK+XFlow)。<br />

c. EDK ネッ ト リ ストからハードウェア協調シミュレーションが作成され、<strong>System</strong> <strong>Generator</strong><br />

コアのキャッシュに保存されます (<strong>System</strong> <strong>Generator</strong>、 XFlow)。<br />

d. EDK ソフトウェア ライブラリがコンパイルされます (EDK)。<br />

メモ : 新しいボード サポー ト パ ッ ケージを作成する場合 (新規プ ラ ッ ト フ ォームのサポー ト )、その<br />

ボード のシステムの リ セ ッ ト ポー ト と RS232 ポー ト を メ モ リ マップされないポート として指定し<br />

ておかない と 、 正し く 配線が さ れません。 また、 リセッ ト ポー ト の名前は Reset に、 RS232 ポー ト<br />

<strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> <strong>ガイド</strong> japan.xilinx.com 239<br />

リリース 9.2.00 2007 年 8 月<br />

R

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!