02.08.2013 Views

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

System Generator for DSP リファレンス ガイド - Xilinx

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>DSP</strong>48A<br />

関連項目<br />

• [Reset port <strong>for</strong> P] : オンにする と、 rst_p ポー ト が使用可能にな り ます。 1 に設定される と 、 出<br />

力レジスタが リ セッ ト されます。<br />

• [Reset port <strong>for</strong> opmode] : オンにする と、 rst_opmode ポー ト が使用可能にな り ます。 1 に設定<br />

されると、 opmode ポー ト のパイプラ イ ン レジスタがリセッ ト されます。<br />

• [Reset port <strong>for</strong> carry in] : オンにする と、 rst_carryin ポー ト が使用可能にな り ます。 1 に設定さ<br />

れると、 carry_in のパイプラ イ ン レジスタがリセッ ト されます。<br />

• [Enable port <strong>for</strong> A] : オンにする と、 ポート A のパイプラ イ ン レジスタのイネーブル ポー ト<br />

ce_a が使用可能にな り ます。<br />

• [Enable port <strong>for</strong> B] : オンにする と、 ポート B のパイプラ イ ン レジスタのイネーブル ポー ト<br />

ce_b が使用可能にな り ます。<br />

• [Enable port <strong>for</strong> C] : オンにする と、 ポート C のパイプラ イ ン レジスタのイネーブル ポー ト<br />

ce_c が使用可能にな り ます。<br />

• [Enable port <strong>for</strong> D] : オンにする と、 ポート D のパイプラ イ ン レジスタのイネーブル ポー ト<br />

ce_d が使用可能にな り ます。<br />

• [Enable port <strong>for</strong> multiplier] : オンにする と 、 乗算器レ ジ ス タ の イ ネーブル ポー ト ce_m が使用<br />

可能にな り ます。<br />

• [Enable port <strong>for</strong> P] : オンにする と、 ポート P のパイプラ イ ン レジスタのイネーブル ポー ト<br />

ce_p が使用可能にな り ます。<br />

• [Enableport <strong>for</strong> opmode] : オンにする と、 ce_opmode ポー ト が使用可能にな り ます。<br />

• [Enable port <strong>for</strong> carry in] : オンにする と、 carry_in レジスタのイネーブル ポー ト ce_carry_in<br />

が使用可能にな り ます。<br />

[Implementation] タブ<br />

[Implementation] タブからは、 次のよ う なパラ メ ータ を設定でき ます。<br />

• [Use synthesizable model] : オンにする と、 <strong>DSP</strong>48A が RTL 記述か ら イ ンプ リ メ ン ト され、<br />

<strong>DSP</strong>48A ハー ド ウ ェ アには直接マ ッ プ さ れません。 このオプションは、<strong>DSP</strong>48A ハー ド ウ ェ ア<br />

プリ ミティブを含まないデバイス フ ァ ミ リ を ターゲ ッ ト に し た場合に使用する と 便利です。<br />

このブロックで使用されるその他のパラメータは、 ブロッ クのパラ メータ ダイアログ ボックスの<br />

共通オプシ ョ ンで説明されています。<br />

<strong>DSP</strong>48 ブロ ッ クの詳細については、 次の ト ピ ッ ク を参照し て く ださ い。<br />

<strong>DSP</strong>48 Macro<br />

複数クロックのサイクル単位アイランドの生成<br />

『Virtex-5 Xtreme<strong>DSP</strong> Design Considerations』<br />

<strong>Xilinx</strong> Xtreme<strong>DSP</strong><br />

<strong>System</strong> <strong>Generator</strong> <strong>for</strong> <strong>DSP</strong> <strong>リファレンス</strong> <strong>ガイド</strong> japan.xilinx.com 135<br />

リリース 9.2.00 2007 年 8 月<br />

R

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!