13.07.2015 Views

User's Manual - MC80F0604_Chinese.pdf - ABOV Semiconductor

User's Manual - MC80F0604_Chinese.pdf - ABOV Semiconductor

User's Manual - MC80F0604_Chinese.pdf - ABOV Semiconductor

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>ABOV</strong> 半 导 体 有 限 公 司8 位 单 片 机MC80F0504/0604中 文 手 册 (1.46 版 )2008.5<strong>ABOV</strong> semiconductorwww.abov.co.kr


MC80F0504/0604修 订 记 录1.46 版 (5.2008) 本 册修 正 章 节 “7. 电 器 特 性 ” 中 的 POR 和 内 部 OSC。1.45 版 (5.2008) 本 册在 章 节 “7. 电 器 特 性 ” 中 增 加 POR 特 性 。1.44 版 (2.2008)章 节 “7. 电 器 特 性 ” 中 的 “TBD” 用 真 实 数 据 取 代 。1.43 版 (12.2007)增 加 20 SSOP 封 装 信 息 。1.42 版 (12.2007)增 加 “16 SOP(153 mil)” 封 装 信 息 。1.41 版 (4.2007)增 加 T VDD 参 数 规 格 , 并 改 变 了 T POR 的 直 流 电 器 特 性 。配 置 选 项 加 入 了 注 释 , 并 对 勘 误 表 进 行 修 正 。1.4 版 (3.2007)增 加 T VDD 参 数 规 格 , 并 改 变 了 T POR 的 直 流 电 器 特 性 。配 置 选 项 加 入 了 注 释 , 并 对 勘 误 表 进 行 修 正 。1.3(7.2006)1.46 版出 版 发 行FAE Team© 2006 <strong>ABOV</strong> semiconductor Ltd. All right reserved.本 手 册 的 其 它 资 料 由 <strong>ABOV</strong> 半 导 体 有 限 公 司 韩 国 办 公 室 或 者 销 售 商 和 代 理 人 提 供 。<strong>ABOV</strong> 半 导 体 保 留 更 改 数 据 的 权 利 , 并 不 再 另 行 通 知 。这 个 手 册 的 资 料 , 图 表 和 其 它 数 据 都 是 正 确 可 靠 的 , 但 是 <strong>ABOV</strong> 半 导 体 没 有 责 任 阻 止 违 反 专 利权 或 其 它 权 利 的 个 人 和 团 体 使 用 本 手 册 。注 意 : 本 文 乃 英 文 版 中 文 翻 译 , 中 文 文 本 如 有 歧 义 , 概 以 英 文 为 准 。


MC80F0504/06041. 概 述 ..............................................................................................................描 述 .................................................................................................................特 性 .................................................................................................................开 发 工 具 ..........................................................................................................订 购 须 知 ..........................................................................................................2. 系 统 方 框 图 ....................................................................................................3. 引 脚 分 配 .......................................................................................................4. 封 装 尺 寸 .......................................................................................................5. 引 脚 功 能 .......................................................................................................6. 端 口 结 构 .......................................................................................................7. 电 器 特 性 .......................................................................................................极 限 参 数 ..........................................................................................................推 荐 操 作 参 数 ...................................................................................................A/D 转 换 特 性 ....................................................................................................DC 电 器 特 性 .....................................................................................................AC 特 性 ............................................................................................................典 型 特 性 ...........................................................................................................8. 存 储 器 结 构 ....................................................................................................寄 存 器 ..............................................................................................................程 序 存 储 器 ........................................................................................................数 据 存 储 器 ........................................................................................................寻 址 方 式 ...........................................................................................................9. I/O 口 ............................................................................................................R0 和 R0IO 寄 存 器 ...........................................................................................R1 和 R1IO 寄 存 器 ...........................................................................................R3 和 R3IO 寄 存 器 ...........................................................................................10. 时 钟 发 生 器 ..................................................................................................振 荡 电 路 ...........................................................................................................11. 基 本 间 隔 定 时 器 ...........................................................................................12. 看 门 狗 定 时 器 ..............................................................................................13. 定 时 器 / 事 件 计 数 器 ......................................................................................8 位 定 时 / 计 数 器 方 式 .........................................................................................16 位 定 时 / 计 数 器 方 式 ........................................................................................8 位 (16 位 ) 比 比 较 输 出 .....................................................................................8 位 捕 捉 方 式 .....................................................................................................16 位 捕 捉 方 式 ....................................................................................................PWM 方 式 .........................................................................................................14. 模 数 转 换 器 ..................................................................................................15. 蜂 鸣 器 功 能 ..................................................................................................16. 中 断 ............................................................................................................中 断 优 先 级 ........................................................................................................BRK 中 断 ...........................................................................................................中 断 嵌 套 ...........................................................................................................11123456911141414141516171818212429333334363737394141465050515455596264666868


MC80F0504/0604外 部 中 断 ...................................................................................................... 7017. 节 点 模 式 操 作 ........................................................................................... 72Sleep 模 式 .................................................................................................... 72Stop 模 式 ...................................................................................................... 73Stop 模 式 ( 内 部 RC- 振 荡 看 门 狗 定 时 器 方 式 )................................................ 76最 小 消 耗 电 流 ............................................................................................... 7818. 复 位 ........................................................................................................ 8019. 电 源 失 效 处 理 ............................................................................................ 8220. 抗 干 扰 措 施 ................................................................................................ 84振 荡 噪 声 保 护 ..................................................................................................84振 荡 失 败 处 理 ................................................................................................8521. 器 件 配 置 区 .............................................................................................. 8622. 仿 真 器 EVA. 板 安 装 ................................................................................... 87A. INSTRUCTION MAP.................................................................................... iB. INSTRUCTION SET ................................................................................... ii


MC80F0504/06041、 概 述MC80F0504/0604CMOS 8 位 单 片 机10 位 A/D1.1、 描 述MC80F0504/0604 是 拥 有 4K 字 节 FLASH 程 序 存 储 器 的 CMOS 8 位 单 片 机 。 本 芯 片 可 以 为 许 多 小 型应 用 提 供 高 度 灵 活 和 低 成 本 的 解 决 方 案 。 该 芯 片 有 如 下 特 点 :4K 字 节 FLASH(MTP),256 字 节RAM,8/16 位 定 时 / 计 数 器 , 看 门 狗 定 时 器 , 片 内 上 电 复 位 ,10 位 A/D 转 换 器 , 蜂 鸣 器 驱 动 口 ,10位 PWM 输 出 和 片 内 晶 振 和 时 钟 电 路 。 它 还 有 晶 振 干 扰 保 护 (ONP), 噪 声 过 滤 , 电 源 失 效 保 护(PFD) 等 抗 干 扰 功 能 。 另 外 ,MC80F0504/0604 还 支 持 节 电 模 式 以 降 低 功 耗 。这 个 文 档 说 明 基 本 型 的 <strong>MC80F0604</strong>, 其 它 产 品 的 功 能 与 之 基 本 相 同 。芯 片 名 称FLASH(ROM)SizeRAMADCI/O 口封 装<strong>MC80F0604</strong>MC80F05044KB256B10channel8channel18 port14 port20PDIP,20SOP,20SSOP16PDIP,16SOP,16SOP(153mil),16TSSOP注 意 : 不 能 使 用 十 进 制 调 整 指 令 DAA, DAS。1.2 特 性•4K 字 节 片 内 FLASH (MTP)- 擦 写 次 数 : 100 times- 保 持 时 间 : 10 years•256 字 节 片 内 Data RAM( 包 括 堆 栈 存 储 器 )• 最 快 指 令 执 行 时 间 :- 333ns at 12MHz (NOP instruction)• 可 编 程 I/O 口(LED 直 接 驱 动 )- <strong>MC80F0604</strong> : 18(17)- MC80F0504 : 14(13)• 一 个 8 位 基 本 间 隔 定 时 器• 两 个 8 位 定 时 / 计 数 器( 或 者 一 个 16 位 定 时 / 计 数 器 )• 一 个 看 门 狗 定 时 器• 一 个 10 位 高 速 PWM 输 出•10 位 A/D 转 换 器- <strong>MC80F0604</strong> : 10 channels- MC80F0504 : 8 channels• 一 个 蜂 鸣 器 驱 动 口- 488Hz ~ 250kHz@4MHz• 两 个 外 部 中 断 输 入 口• 片 内 POR ( 上 电 复 位 )• 七 个 中 断 源- 外 部 中 断 : 2- 定 时 器 : 4- A/D 转 换 : 1• 植 入 噪 声 免 疫 电 路- 噪 声 去 除- PFD ( 电 源 失 败 检 测 )- ONP ( 晶 振 噪 声 保 护 )• 操 作 电 压 & 频 率- 2.2V ~ 5.5V (at 1 ~ 4MHz)- 2.7V ~ 5.5V (at 1 ~ 8MHz)- 4.5V ~ 5.5V (at 1 ~ 12MHz)• 操 作 温 度 : -40℃ ~ 85℃• 节 电 方 式- STOP 方 式- SLEEP 方 式- RC-WDT 方 式• 晶 振 类 型- 石 英 晶 振- 陶 瓷 振 荡 器- 外 部 RC 振 荡 ( 电 容 可 以 省 略 )- 内 部 振 荡 器 (4MHz/2MHz)•Package- 20 PDIP,SOP 或 SSOP- 16 PDIP,SOP(153 mil) 或 TSSOP- 提 供 无 铅 (Pb) 封 装


MC80F0504/06041.3 开 发 工 具MC80F0504/0604 支 持 汇 编 语 言 编 程 。 一 个 输 入 电 路 仿 真 器 CHOICE-Dr.TM 和 FLASH 程 序 设 计器 .。 这 是 两 个 不 同 类 型 的 程 序 设 计 器 , 比 如 单 一 类 型 和 群 类 型 。 关 于 具 体 详 情 , 大 量 的 汇 编 程 序应 用 在 MS-Windows 95 和 upversioned Windows OS. 请 联 系 abov semiconductor 的 销 售 部 门 。SoftwareHardware(Emulator)Pod NameFLASH Writer- MS-Windows based assembler- MS-Windows based Debugger- HMS800 C compiler- CHOICE-Dr.- CHOICE-Dr. EVA80C0x B/D- CHPOD80C01D-16PD- CHPOD80C02D-20PD- CHOICE - SIGMA I/II (Single writer)- PGM Plus III (Single writer)- Standalone GANG4 I/II (Gang writer)Choice-Dr. (Emulator) PGMplus III ( Single Writer )Standalone Gang4 II ( Gang Writer )


MC80F0504/06041.4 分 类 信 息Device name ROM Size RAM size Package<strong>MC80F0604</strong>B<strong>MC80F0604</strong>D<strong>MC80F0604</strong>SMC80F0504BMC80F0504DMC80F0504MMC80F0504R4K bytes FLASH256 bytes20PDIP20SOP20SSOP16PDIP16SOP16SOP(153 mil)16TSSOPPb 自 由 封 装 :“P” 后 缀 实 在 原 有 型 号 上 增 加 。例 如 : <strong>MC80F0604</strong>B ( 普 通 封 装 ), <strong>MC80F0604</strong>B P (Pb 无 铅 封 装 )


2. 系 统 方 框 图MC80F0504/0604


MC80F0504/06043. 引 脚 分 配<strong>MC80F0604</strong>B/0604DMC80F0504B/0504D/0504R


4. 封 装 尺 寸MC80F0504/0604


MC80F0504/0604


MC80F0504/0604


MC80F0504/0604


MC80F0504/06045、 引 脚 功 能V DD: 电 源V SS: 地RESET: 复 位X IN: 反 相 振 荡 放 大 器 输 入 , 内 部 时 钟 电 路 输 入 端 。X OUT: 反 相 振 荡 放 大 器 输 出 。R00~R07: R0 是 8 位 CMOS, 双 向 I/O 口 . 将 口 方 向 寄 存 器 (R0IO) 写 入 “1”or “0” 决 定 R0 口 的 输 出 或 输 入 。另 外 ,R0 还 有 几 种 特 殊 用 途 如 表 5-1。R10~R14: R1 是 5 位 CMOS, 双 向 I/O 口 . 将 口 方 向 寄 存 器 (R1IO) 写 入 “1”or “0” 决 定 R1 口 的 输 出 或 输 入 。R1 还 有 几 种 特 殊 用 途 如 表 5-2。R31~R35: R3 是 5 位 CMOS, 双 向 I/O 口 . 将 口 方 向 寄 存 器 (R3IO) 写 入 “1”or “0” 决 定 R1 口 的 输 出 或 输 入 。但 是 R35 脚 只 能 用 于 输 入 口 。R3 还 有 几 种 特 殊 用 途 如 表 5-3。端 口功 能端 口功 能R00R01R02R03R04R05R06R07AN1 ( Analog Input Port 1 )AN2 ( Analog Input Port 2 )AN3 ( Analog Input Port 3 )AN4 ( Analog Input Port 4 )EC0 ( Event Counter Input Source 0)AN5 ( Analog Input Port 5 )T0O (Timer0 Clock Output )AN6 ( Analog Input Port 6 )AN7 ( Analog Input Port 7 )R10R11R12R13R14AN0 ( Analog Input Port 0 )AVref ( External Analog Reference Pin )PWM1O ( PWM1 Output )INT0 ( External Interrupt Input Port 0 )INT1 ( External Interrupt Input Port 1 )BUZ ( Buzzer Driving Output Port )表 5-1 R0 口表 5-2 R1 口端 口功 能R31R32R33R34R35AN14 ( Analog Input Port 14 )AN15 ( Analog Input Port 15 )XIN ( Oscillation Input )XOUT ( Oscillation Output )RESET ( Reset input port )表 5-3 R3 口


MC80F0504/0604引 脚 名 称引 脚 号(20PDIP)输 入 / 输 出功 能V DD5-电 源V SS16-地RESET(R35)15I(I)复 位 信 号 输 入输 入 口X IN(R33)13I(I/O)晶 振 输 入普 通 I/O 口X OUT(R34)14O(I/O)晶 振 输 出普 通 I/O 口R0017I/OR01(AN1)18I/O( 输 入 )模 拟 输 入 1R02(AN2)19I/O( 输 入 )模 拟 输 入 2R03(AN3)20I/O( 输 入 )模 拟 输 入 3R04(AN4/EC0)1I/O( 输 入 / 输 入 / 输 入 )模 拟 输 入 4/ 计 数 输 入 0R05(AN5/T0O)2I/O( 输 入 / 输 出 )模 拟 输 入 5/ 定 时 器 0 输 出R06(AN6)3I/O( 输 入 )模 拟 输 入 6R07(AN7)R10(AN0/ AV REF/PWM1O)46I/O( 输 入 )I/O( 输 入 / 输 入 / 输 出 )普 通 I/O 口模 拟 输 入 7模 拟 输 入 0/ 基 准 电 压 源 /PWM1 输 出R11(INT0)7I/O( 输 入 )外 部 中 断 0 输 入R12(INT1/BUZO)8I/O( 输 入 / 输 出 )外 部 中 断 1 输 入 / 蜂 鸣 器 驱 动 输 出R13 9 I/OR1410I/OR31(AN14)11I/O( 输 入 )模 拟 输 入 14R32(AN15)12I/O( 输 入 )模 拟 输 入 15


MC80F0504/0604


MC80F0504/0604


MC80F0504/0604


MC80F0504/06047. 电 器 特 性7.1 极 限 参 数电 源 电 压 .................................................................................... -0.3 to +6.5 V存 储 温 度 ..................................................................................... -65 to +150 ℃任 意 脚 对 地 (VSS) 电 压 ..........................................................-0.3 to VDD+0.3VVSS 脚 最 大 输 出 电 流 ..............................................................................200 mAVDD 脚 最 大 输 入 电 流 .............................................................................100 mA最 大 灌 电 流 ( 每 个 I/O 口 IOL)..................................................................20 mA最 大 拉 电 流 ( 每 个 I/O 口 IOH)....................................................................10 mA最 大 电 流 (ΣIOL) ................................................................................ 160 mA最 大 电 流 (ΣIOH).................................................................................. 80 mA注 意 : 芯 片 使 用 超 过 上 面 列 表 “ 极 限 参 数 ” 会 引 起 芯 片 永 久 性 损 坏 。 这 是 一 个 额 定 值 , 在 任 何 情 况 下 , 如 果 对 器 件 的 有效 操 作 参 数 大 于 规 格 书 中 操 作 章 节 所 标 识 的 值 都 是 不 允 许 的 。 长 期 大 于 “ 极 限 参 数 ” 工 作 会 影 响 器 件 的 可 靠 性 。7.2 推 荐 操 作 参 数参 数 符 号 条 件 最 小 最 大 单 位电 源 电 压VDDfXIN=1~12MHzfXIN=1~8MHzfXIN=1~8MHz4.52.72.25.55.55.5V操 作 频 率fXINVDD=4.5~5.5VVDD=2.7~5.5VVDD=2.2~5.5V1111284MHz操 作 温 度TOPRVDD=2.2~5.5V-4085℃7.3 A/D 转 换 特 性(Ta=-40~85℃, VSS=0V, VDD=2.7~5.5V @fXIN=8MHz)参 数符 号条 件最 小推 荐最 大单 位分 辨 率--10-BIT总 体 精 度CAIN---±3LSB非 线 性 误 差NLE--±3LSB微 分 非 线 性 误 差零 偏 移 误 差DLENZOEV DD=AV REF=5VCPU 时 钟 =4MHzV SS=0V---±1±3±3LSBLSB满 量 程 误 差NFSE-±0.5±3LSB转 换 时 间T CONV-13--μS模 拟 输 入 电 压 范 围V AN-V SS-V DD(AV REF)V基 准 电 压AV REF-2.7-V DDV模 拟 输 入 阻 抗R AINV DD=AV REF=5V5100-ΜΩ模 拟 模 块 电 流I AVDDV DD=AV REF=5VV DD=AV REF=3VV DD=AV REF=5V掉 电 模 式---10.510031.5500mAnA


MC80F0504/06047.4 DC 电 器 特 性(TA=-40~85℃, VDD=5.0V, VSS=0V)参 数符 号引 脚条 件最 小规 格推 荐最 大单 位V IH1RESET0.8 V DD-V DD输 入 高 电 压V IH2滞 后 输 入0.8 V DD-V DDVV IH3普 通 输 入0.7 V DD-V DDV IL1X IN,RESET0-0.2 V DD输 入 低 电 压V IL2滞 后 输 入0-0.2 V DDVV IL3普 通 输 入0-0.3 V DD输 出 高 电 压V OH所 有 输 出 口V DD=5V,I OH=-5mAV DD-1--V输 出 低 电 压V OL所 有 输 出 口V DD=5V,I OL=10mA--1V输 入 拉 电 流I P普 通 输 入V DD=5V-60--150μA输 入 高 漏 电 流I IH1I IH2所 有 引 脚 (X IN除 外 )X INV DD=5VV DD=5V---12520μAμA输 入 低 漏 电 流I IL1I IL2所 有 引 脚 (X IN除 外 )X INV DD=5VV DD=5V-5-20--12--μAμA滞 后 电 压|VT|滞 后 输 入V DD=5V0.5--V电 源 失 败 检 测 电 压V PFDV DD2.0-3.0V上 电 复 位 电 压V PORV DD2.12.63.0V上 电 复 位 起 始 电 压V STARTV DD01.9V上 电 复 位 上 升 时 间T PORV DD40ms/VV DD 上 升 时 间T VDDV DD--40ms/V内 部 RC_WDT 周 期T RCWDTX OUTV DD=5.5V36-90μS操 作 电 流I DDV DDV DD=5.5V,Fxin=12MHz-715mASLEEP 模 式 电 流I SLEEPV DDV DD=5.5V,Fxin=12MHz-24.5mASTOP 模 式 下RCWDT 电 流I RCWDTV DDV DD=5.5V,Fxin=12MHz-2055μASTOP 方 式 电 流I STOPV DDV DD=5.5V,Fxin=12MHz-15μA内 部 晶 振 频 率复 位 噪 声 消 除 时 间f IN-CLKT RST-NCX OUTRESETV DD=5VV DD=5V3.751.54.254.651.8MHzμS外 部 RC 振 荡 频 率f RC-OSCf XOUT= f RC-OSC÷ 4V DD=5VR=30k Ω ,C=10pF0.51.52.5MHzf R-OSCf XOUT= f R-OSC÷ 4V DD=5.5V,R=30kΩ123MHz1. 滞 后 输 入 : INT0(R11),INT1(R12), EC0(R04)2. V STARTand T RiSE未 经 测 试 , 不 提 供 保 证 , 尽 供 设 计 参 考 。


MC80F0504/06047.5 AC 特 性(TA=-40~85℃, VDD=5V±10%, VSS=0V)参 数符 号引 脚最 小规 格推 荐最 大单 位操 作 频 率f XINX IN1-12MHz系 统 时 钟 循 环 时 间t SYS-166-5000mS晶 振 稳 定 时 间 (4MHz)t STX IN,X OUT--20mS外 部 时 钟 脉 冲 宽 度t CPWX IN35--nS外 部 时 钟 转 换 时 间t RCP,t FCPX IN--20nS中 断 脉 冲 宽 度t IWINT0,INT12--t SYS复 位 输 入 宽 度t RSTRESET8--t SYS事 件 计 数 器 输 入 脉 冲 宽 度t ECWEC02--t SYS事 件 计 数 器 转 换 时 间t REC,t FECEC0--20nS


MC80F0504/06047.6 典 型 特 征本 小 节 中 的 图 象 和 表 , 并 未 经 测 试 , 亦 不 提 供 保 证 , 只 供 设 计 人 员 参 考 。在 某 些 图 象 和 表 中 , 有 些 数 据 超 出 了 指 定 的 操 作 范 围 ( 例 如 , 超 出 指 定 的 电 压 范 围 VDD ).这 只 限 该 信 息 , 而 其 他 器 件 保 证 是 在 指 定 工 作 范 围 内 的 。本 小 节 中 的 数 据 , 是 对 一 个 时 期 内 不 同 批 次 产 品 的 数 据 的 统 计 学 性 概 括 。„ 典 型 ‟ 表 示 „ 平 均 值 , 而最 大 或 最 小 分 别 表 示 ( 平 均 + 3s) 或 ( 平 均 n −3s) , 其 中 σ 标 准 误 差 。


MC80F0504/0604


MC80F0504/0604注 意 : 外 部 RC 振 荡 频 率 表 未 经 测 试 , 亦 不提 供 保 证 , 只 供 设 计 人 员 参 考 。 使 用 者 需 要考 虑 相 似 的 电 路 设 计 外 部 RC 振 荡 频 率 产 生 未必 相 同 。 因 为 由 于 外 部 R、C 分 量 的 公 差 使 阻抗 和 容 抗 变 化 。 由 不 同 的 配 线 长 度 和 布 线 产生 的 寄 生 电 容 会 改 变 外 部 RC 振 荡 频 率 。注 意 : 在 不 同 的 封 装 类 型 (PDIP, SOP,TSSOP) 会 有 差 别 。 再 将 MC80F0104/0204换 到 MC80F0504/0604 或 者 由 一 种 封 装 形式 换 到 另 一 种 封 装 形 式 时 , 使 用 者 要 修 改R、C 分 量 的 值 产 生 适 当 的 频 率 。


MC80F0504/06048. 存 储 器 结 构<strong>MC80F0604</strong> 有 独 立 的 程 序 存 储 空 间 和 数 据 存 储 空 间 , 其 中 4K 的 程 序 存 储 空 间 为 只 读 模 式8.1 寄 存 器 内 部 包 含 有 6 个 寄 存 器 , 分 别 是 程 序 计 数 器 (PC), 累 加 器 (A), 两 个 变 址 寄 存 器 (X,Y), 堆 栈 指 针 (SP), 程 序 状 态 字 (PSW)。PC 为 16 位 寄 存 器 。累 加 器 : 累 加 器 是 一 个 通 用 寄 存 器 , 用 于 对 数 据 的 操 作 。 例 如 : 转 移 , 暂 存 和 条 件 判 断 , 等 等 。 累加 器 还 可 以 和 Y 寄 存 器 组 成 一 个 16 位 寄 存 器 。X.Y 寄 存 器 : 在 用 到 变 址 寻 址 的 寻 址 方 式 中 , 寄 存 器 的 内 容 加 上 已 确 定 的 地 址 就 是 实 际 地 址 。 这 种 寻 址方 式 对 访 问 子 程 序 表 和 数 据 表 格 极 为 有 效 。 变 址 寄 存 器 也 有 加 减 比 较 和 数 据 转 移 功 能 。 它 们 可 作 为 一个 单 个 的 累 加 器 。堆 栈 指 针 堆 栈 指 针 是 一 个 用 于 中 断 和 子 程 序 调 用 的 8 位 寄 存 器 。 堆 栈 指 针 确 定 堆 栈 被 访 问 的 位 置( 保 存 或 恢 复 )。 通 常 在 调 用 子 程 序 或 响 应 中 断 时 ,SP 是 自 动 更 新 的 。 但 是 如 果 堆 栈 指 针 超 过 了分 配 给 堆 栈 区 的 地 址 范 围 , 用 户 数 据 可 能 会 因 此 而 丢 失 。堆 栈 可 位 于 内 部 数 据 存 储 区 00H-7FH 的 任 意 位 置 。SP 不 由 硬 件 初 始 化 , 它 需 要 在 初 始 化 程 序 中 赋 初值 , 通 常 都 将 7F 为 初 值 。程 序 计 数 器 : 程 序 计 数 器 是 一 个 包 含 两 个 8 位 寄 存 器 PCH,PCL 的 16 位 寄 存 器 。 计 数 器 指 向 下 一条 要 招 待 指 令 的 地 址 。 复 位 状 态 时 ,PC 值 为 复 位 程 序 地 址 (0FFH,0FCH)。程 序 状 态 字 : 程 序 状 态 字 (PSW) 包 含 几 个 反 映 CPU 当 前 状 态 的 位 。 其 中 包 括 : 负 数 标 志 , 溢 出标 志 ,Break 标 志 , 半 进 位 标 志 ( 用 于 BCD 码 操 作 ) 作 中 断 , 使 能 标 志 , 零 标 志 和 进 位 标 志 。


MC80F0504/0604图 8-3 程 序 状 态 字 寄 存器[ 负 数 标 志 N]: 本 档 志 的 状 态 与 数 据 的 算 术 运 算 结 果 的 符 号 ( 位 7) 一 致 。 执 行 BIT 指 令 时 , 操 作数 位 7 的 值 被 赋 给 些 标 志 。[ 溢 出 标 志 V]: 运 算 结 果 发 生 溢 出 时 , 本 位 被 置 为 1。 加 减 速 法 运 算 结 果 超 过 — 127 当 算 术 运 算 或数 据 转 移 的 结 果 为 零 时 本 位 被 置 位 , 否 则 清 零 。 溢 出 标 志 由 CLRV 指 令 清 零 , 没 有 置 位 指 令 。 执行 BIT 指 令 时 , 操 作 数 位 6 的 值 被 赋 给 V。[ 直 接 页 标 志 G]: 本 标 志 位 指 定 当 前 操 作 RAM 页 。 为 0 时 , 操 作 的 RAM 地 址 为 00H-FFH, 为 1时 , 操 作 的 RAM 地 址 为 100H-1FFH。 由 SETG 指 令 置 1, 由 CLRG 指 令 清 零 。[Break 标 志 B]: 本 标 志 由 BRK 指 令 置 位 , 以 区 别 于 位 于 现 一 个 向 量 地 址 的 TCALL 指 令 。[ 半 进 位 标 志 H]: 进 行 操 作 时 , 当 ALU 的 位 4 无 借 位 而 位 3 有 进 位 时 H 被 置 位 。 出 人 头 除 了 CLRV指 令 外 , 其 它 指 令 不 能 将 本 标 志 置 位 和 清 零 。[ 中 断 使 能 标 志 I]: 本 位 可 使 能 / 禁 止 所 有 中 断 , 除 复 位 或 软 件 中 断 BRK 引 起 的 中 断 除 外 。 当 该 位清 零 时 , 所 有 中 断 被 禁 能 , 进 入 中 断 后 , 本 位 立 即 被 置 为 0。 本 位 通 过 EI 指 令 置 位 ,DI 指 令 清零 。[ 零 标 志 Z]: 当 算 术 运 算 或 数 据 转 移 的 结 果 为 零 时 本 位 被 置 位 , 否 则 清 零 。[ 进 位 标 志 ]: 本 标 志 保 存 算 术 运 算 后 CPU 的 ALU 的 任 何 进 位 或 借 位 , 它 也 可 以 被 移 位 或 循 环 移 位指 令 所 改 变 。


MC80F0504/0604


MC80F0504/06048.2 程 序 存 储 器16 位 的 程 序 计 数 器 可 寻 址 64K 字 节 , 但 该 器 件在 物 理 上 只 有 2K 字 节 的 程 序 存 储 空 间 。 访 问 的 地址 如 果 超 过 FFFFH, 将 会 使 PC 翻 转 到 此 为 止0000H。图 8-5 所 示 为 程 序 存 储 区 最 上 部 分 。 复 位 后 ,CPU 从 存 放 在 地 址 FFFEH。FFFFH 处 的 复 位 向量 处 开 始 执 行 程 序 。 程 序 存 储 器 内 的 每 个 区 域 都被 分 配 了 一 个 固 定 的 位 置 。 程 序 存 储 区 包 含 用 户程 序 , 页 调 用 (PCALL) 区 域 包 含 子 程 序 , 由 于用 2 字 节 PCALL 指 令 代 替 3 字 节 的 CALL 指 令 , 减少 了 程 序 字 节 的 长 度 。 如 果 频 繁 调 用 子 程 序 , 缩短 程 序 长 度 会 更 有 用 。例 : 使 用 TCALL 指 令中 断 使 CPU 跳 到 特 定 的 地 址 , 开 始 执 行 中 断 服务 程 序 , 例 如 , 对 外 部 中 断 0, 被 赋 地 址FFFAH, 中 断 向 量 占 用 2 个 字 节 宽 , 例 如 , 对外 部 中 断 1 为 FFF8H, 外 部 中 断 0 为 FFFAH等 。 从 0FF00H 到 0FFFH 的 任 何 区 域 , 如 果 没有 作 特 殊 用 , 都 可 以 作 为 通 用 的 程 序 存 储 空间 。图 8-5 程 序 存 储 器表 调 用 (TCALL) 使 CPU 跳 到 每 个 TCALL 地址 , 开 始 服 务 程 序 的 执 行 ,TCALL 地 址 占 用 两 个字 节 宽 度 :FFC0H 用 于 TCALL15,FFC2 用 于TCALL14 等 。图 8-6 中 断 向 量 区


MC80F0504/0604图 8-7 PCALL 与 TCALL 存 储 区PCALL→ rel4F35 PCALL35HTCALL→ n4A TCALL4


例 : <strong>MC80F0604</strong> 软 件 初 始 化 举 例 .; 中 断 向 量 表ORG 0FFE0HDW BIT_TIMER ; BITDW WDT ; WDTDW ADC ; AD ConverterDW Noticed ;DW Noticed ;DW Noticed ;DW TIMER1 ; Timer-1DW TIMER0 ; Timer-0DW Noticed ;DW Noticed ;DW Noticed ;DW Noticed ;DW Noticed ;DW INT1 ; Ext. Int.1DW INT0 ; Ext. Int.0DW RESET ; ResetORG 0F000H ; 4K bytes ROM Start address;*******************************************; MAIN PROGRAM *;*******************************************RESET: DI ; 关 中 断;RAM Clear RoutineLDX #0RAM_Clear0:LDA #0 ; 清 0 页 RAM 单 元 (0000h ~ 00BFh)STA {X}+CMPX #0C0hBNE RAM_Clear0LDM RPR,#1 ; 页 选 择SETGLDX #0C0hRAM_Clear1:LDA #0STA {X}+CMPX #00hBNE RAM_Clear1RAM_Clear_Finish:CLRG ; 页 选 择 为 0 页LDX #0FFh ; 初 始 化 堆 栈 指 针TXSP::;Initialize IOLDM R0, #0 ;Normal Port R0LDM R0IO,#0FFH ;Normal Port R0 Direction::MC80F0504/0604


MC80F0504/06048.3 数 据 存 储 器如 图 8-8 给 出 可 用 的 内 部 数 据 存 储 空 间 。 数 据 存 储 空 间 分 为 三 个 部 分 : 用 户 区 域 , 控 制 寄 存 器 区 和 堆栈 区 。用 户 存 储 区MC80F0504/0604 有 256 字 节 的 用 肪 存 储区. RAM 页 由 RPR 设 置 选 择 ( 见 图 8-9 ).注 意 : 在 设 置 RPR( 数 据 存 储 器 页 选 择 寄 存 器), 一 定 要 执 行 SETG 指 令 。 因 为 当 执 行CLRG 时 , 不 管 RPR 的 值 为 多 少 , 选 择 操 作的 页 面 都 是 PAGE0。控 制 寄 存 器 区 控 制 寄 存 器 区 是 CPU 和 外 围功 能 块 控 制 器 件 完 成 相 应 的 功 能 时 用 的 。 因此 , 它 们 主 要 是 一 些 控 制 和 状 态 位 , 用 于 中断 系 统 , 定 时 / 计 数 器 ,A/D 转 换 和 I/O 口等 , 这 些 控 制 寄 存 器 的 地 址 为 0C0H 到 此 为止 FFH。 注 意 , 未 定 义 的 地 址 可 能 在 芯 片 上并 不 存 在 。 对 这 些 地 址 进 行 读 写 操 作 , 将 会导 致 不 确 定 的 结 果 。 具 体 操 作 将 在 各 个 功 能章 节 中 说 明 。图 8-8 数 据 存 储 器注 意 : 只 写 寄 存 器 不 能 通 过 位 操 作 指 令 进 行 访 问 , 不 应 该 使 用 读 — 修 改 写 指 令 , 应 使 用 字 节 操 作 指令 ;例 : 写 寄 存 器CKCTLR LDMCKCTLR,#9H;堆 栈 区 域 堆 栈 提 供 一 块 存 储 区 域 用 于 跳 转 指 令 执 行 时 保 存 程 序 返 回 地 址 。 这 些 跳 转 指 令 有 子 程 序 调用 , 执 行 中 断 服 务 程 序 等 。 当 从 子 程 序 返 回 时 , 执 行 [ret] 指 令 , 从 中 断 服 务 程 序 返 回 时 , 执 行 [reti]指 令 , 恢 复 程 序 计 数 器 和 标 志 位 内 容 。 存 储 / 恢 复 的 位 置 由 堆 栈 指 针 SP 决 定 。 堆 栈 指 针 在 保 存 时 自 减少 , 在 恢 复 时 自 动 增 加 。 这 就 是 说 SP 的 值 表 示 下 次 进 行 操 作 时 的 位 置 。 如 图 8-4 所 示 。图 8-9 RPR( 数 据 存 储 器 页 选 择 寄 存 器 )


表 8-1 控 制 寄 存 器MC80F0504/0604


MC80F0504/0604表 8-1 控 制 寄 存 器1.„byte, bit‟ 意 思 是 寄 存 器 可 以 由 位 操 作 指 令 和 字 节 操 作 指 令 操 作 。2. „byte‟ 意 思 是 只 能 由 字 节 操 作 指 令 操 作 。 不 用 要 位 操 作 指 令 操 作 。“-” 符 号 表 示 当 前 位 保 留 。


表 8-2 控 制 寄 存 器 功 能 描 述MC80F0504/0604


MC80F0504/0604表 8-2 控 制 寄 存 器 功 能 描 述1. 寄 存 器 BITR 和 CKCTLR 位 于 同 一 个 地 址 , 地 址 ECH 读 时 为 BITR, 写 时 为 CKCTLR。注 意 ) 阴 影 区 域 的 寄 存 器 不 能 被 位 指 令 操 作 , 比 如 “SET1, CLR1”, 但 是 可 以 由 字 节 指 令 操 作 比如 :"LDM dp,#imm".


MC80F0504/06048.4 寻 址 方 式MC80 系 列 MCU 共 用 到 6 种 寻 址 方 式 :• 寄 存 器 寻 址• 立 即 数 寻 址• 直 接 页 寻 址• 绝 对 寻 址• 变 址 寻 址• 寄 存 器 间 址 寻 址8.4.1 寄 存 器 寻 址寄 存 器 寻 址 访 问 A, X, Y, C 和 PSW.8.4.2 立 即 数 寻 址 → #imm 在 本 模 式 中 ,第 二 个 字 节 ( 操 作 数 ) 作 为 一 个 立 即 数 被访 问 。8.4.3 直 接 页 寻 址 → dp在 本 方 式 中 , 地 址 指 定 在 直 接 页内 。例 :C535 LDA 35H ; A ← RAM[35H]例 : 0435 ADC #35H8.4.4 绝 对 寻 址 →!abs 绝 对 寻 址 将 对 应 的 存 储器 数 据 设 为 数 据 。 即 , 命 令 的 第 二 字 节 ( 操 作 数I), 成 为 地 址 低 位 , 第 三 字 节 ( 操 作 数 II) 成 为 地址 高 位 。 三 字 节 指 令 可 对 整 个 存 储 区 进 行 访问 。ADC , AND , CMP , CMPX , CMPY ,EOR , LDA , LDX , LDY , OR , SBC ,STA ,STX , STYE45535 LDM 35H #55H例 :0735F0 ADC !0F035H ;A ←ROM[0F035H]


MC80F0504/0604数 据 存 储 区 (RAM) 内 的 操 作ASL BIT DEC INC LSR ROL ROR例 : 983501 INC 0035H ; A← RAM[035H]X 变 址 直 接 页 寻 址 (8 位 偏 移 量 )→dp+X 本 地 址 值为 命 令 第 二 字 节 ( 操 作 数 ) 加 上 X 寄 存 器 的 内 容 。 它位 于 直 接 页 内 的 存 储 单 元 。ADC, AND, CMP, EOR, LDA, LDY,OR,SBC, STA, STY, XMA, ASL,DEC, INC ,LSR, ROL, ROR例 : X=0 15HC645 LDA 45H+X8.4.5 变 址 寻 址X 变 址 直 接 页 寻 址 ( 无 偏 移 量 ) →{X} 在 本 方式 中 , 地 址 由 X 寄 存 器 指 定 。 ADC,AND,CMP, EOR, LDA, 0R ,SBC,STA , XMA例 : X=15HD4 LDA {X} ;ACC←RAM[X]X 变 址 直 接 页 寻 址 , 自 动 增 加 →{X}+ 在 本 方式 中 , 地 址 由 X 寄 存 器 指 定 X 的 内 容 加 1。LDA , STA例 : X=35HDB LDA {X}+


MC80F0504/0604Y 变 址 直 接 页 寻 址 (8 位 偏 移 量 ) → dp+Y 本 地 址 值 为 命 令 第 二 字 节 ( 操 作 数 ) 加 上 X 寄存 器 的 内 容 , 它 位 于 直 接 页 内 的 存 储 单 元 。 与 上 面 的 项 相 (2) 同 , 用 寄 存 器 代 替 X。Y 变 址 绝 对 寻 址 →!abs+Y16 位 绝 对 地 址 值 加 上 Y 寄 存 器 值 , 作 为 寻 址 地 址 。 本 寻 址 方 式 可 在 整 个 存 储 区 内 实 现 。例 : Y=55HD500FALDA !0FA00H+Y8.4.6 间 接 寻 址 直 接 页间 接 寻 址 →[dp]设 置 数 据 地 址 , 以 便 用 于 操 作 数 来 完 成 一条 用 于 设 置 存 储 区 数 据 ( 或 一 双 存 储 单 元 )的 指 令 。变 址 寄 存 器 X, Y 可 用 于 变 址 。JMP,CALL例 : 3F35 JMP [35H]X 变 址 间 接 寻 址 →[dp+ X] 过 程 存 储 区 数 据 就象 普 通 数 据 , 由 一 对 16 位 存 储 单 元 指 定 , 该 存储 单 元 由 数 据 对 [dp+X+1][dp+X] 操 作 数 加 上 直接 页 中 的 X 寄 存 器 数 据 确 定 。ADC,AND,CMP,EOR,LDA,OR,SBC,STA例 :: X=10H1625 ADC [25H+X]


MC80F0504/0604Y 变 址 间 接 寻 址 →[dp]+Y象 存 储 区 数 据 那 样 处 理 普 通 数 据 , 数 据 由 一 对16 位 存 储 单 元 [dp+1][dp] 指 定 , 该 存 储 单 元 由 直接 页 加 上 Y 寄 存 器 数 据 确 定 。 ADC,AND,CMP,EOR,LDA,OR, SBC,STA绝 对 间 接 寻 址 →[ !abs] 程 序 跳 转到 指 定 的 16 位 绝 对 地 址 处 。 JMP例 : 1F25F9 JMP [!0F925H]例 :Y=1 0H1725 ADC[25H]+Y


MC80F0504/06049. I/O 口MC80F0504/0604 有 三 个 I/O 端 口 (R0,R1 和 R3). 这 些 I/O 端 口 一 般 都 有 可 选 第二 功 能 , 用 于 器 件 的 外 围 功 能 。 所 有 I/O端 口 在 输 出 高 电 平 时 能 驱 动 最 大 20mA 的电 流 负 载 , 所 以 能 直 接 驱 动 LED 器 件 。所 有 I/O 端 口 都 有 方 向 选 择 寄 存 器 , 可 以选 择 端 口 用 作 输 入 或 输 出 用 。„0‟ 表 示 输入 ,„1‟ 表 示 输 出 。 例 如 , 将 端 口 R0 的 偶数 位 用 作 输 出 口 , 奇 数 位 用 作 输 入 口 ,只 要 将 ‟55H‟ 写 入 地 址 0C1H(R0 方 向 选择 寄 存 器 ), 就 可 以 了 。 如 图 9-1 所 示 。复 位 状 态 下 , 所 有 I/O 端 口 都 被 初 始 化 通用 输 入 口 。表 9-1 I/O 口 分 配 实 例9.1 R0 与 R0IO 寄 存 器R0 是 一 个 8 位 的 CMOS 双 向 I/O 端 口 (address0C0H). 每 个 I/O 脚 都 可 以 通 过 方 向 选 择 寄 存 器 R0IO 设置 为 输 入 或 输 出 用 (address 0C1H).。 当 R00 到 R07 脚 作 为 输 入 用 时 , 可 以 置 „1‟ 上 拉 选 择 寄 存 器(PU0) 相 应 的 位 , 从 而 连 接 内 置 上 拉 电 阻 。R0 的 每 个 I/O 脚 也 可 以 通 过 置 „1‟ 漏 极 开 路 选 择 寄 存 器(R0OD) 相 应 的 位 设 置 为 开 路 输 出 。


MC80F0504/06049.3 R3 与 R3IO 寄 存 器R3 是 一 个 5 位 CMOS 双 向 I/O 端 口(address 0C6H). 每 个 I/O 脚 ( 除 R35) 位可 以 通 过 设 置 方 向 选 择 寄 存 器R3IO(address 0C7H) 设 置 为 输 入 或 输 出用 。R35 脚 只 能 作 为 输 出 用 。 当 作 为 输入 用 时 , 通 过 置 位 上 拉 选 择 寄 存 器(PU3) 可 以 连 接 内 部 上 拉 电 阻 。 lectionregister。 R31 到 R34 脚 也 可 以 通 过 置 位漏 极 开 路 选 择 寄 存 器 (R3OD) 设 置 为 开路 输 出 。AN14 和 AN15 通 过 ADCM 设 置 为模 拟 输 入 。R33, R34 and R35 复 用 为 振 荡 引 脚 和 复 位脚 。 它 们 也 可 以 作 为 普 通 的 I/O 脚 。 这 些 在器 件 配 置 区 域 里 设 置 。


MC80F0504/060410. 时 钟 发 生 器如 图 10-1 所 示 : 时 钟 发 生 器 产 生 基 本 的 时 钟 脉 冲 , 给 CPU 和 外 围 电 路 提 供 系 统 时 钟 。 它 包 含 一 个 主 时钟 频 率 振 荡 电 路 。 要 产 生 系 统 时 钟 极 为 方 便 , 只 要 在 XIN 和 XOUT 两 脚 间 的 接 入 晶 振 或 陶 瓷 振 荡 器 产生 振 荡 即 可 。 系 统 时 钟 也 可 以 由 外 部 时 钟 输 入 产 生 , 在 使 用 外 部 时 钟 状 态 下 , 外 部 时 钟 信 号 接 入 XIN,XOUT 悬 空 。 当 引 入 外 部 时 钟 时 , 对 外 部 时 钟 的 占 空 比 没 有 严 格 要 求 , 因 为 内 部 时 钟 模 块 有 一 个 两 分频 触 发 器 。 外 围 电 路 时 钟 可 选 择 对 系 统 时 钟 进 行 1、2、4 分 频 获 得 , 详 见 第 11 章 , 基 本 间 隔 定 时 器 。图 10-1 时 钟 发 生 器 方 框 图10.1 振 荡 电 路XIN 和 XOUT 作 为 振 荡 的 输 入 和 输 出 用 , 对 应地 , 片 内 有 一 个 组 成 振 荡 电 路 的 反 相 放 大 器 。 振荡 连 接 如 图 10-2 所 示 。注 意 : 当 使 用 系 统 时 钟 振 荡 时 , 保 证 在 图 10-2 虚 线 外 布 线 , 以 阻 止 分 布 电 容 的 影 响 。.- 尽 量 减 小 引 线 长 度- 不 要 将 引 线 嵌 入 到 别 的 信 号 导 体 中- 不 要 靠 近 高 频 电 流- 不 要 从 振 荡 脚 引 出 信 号 。图 10-2 外 部 晶 振 连 线 示 意 图


MC80F0504/0604另 外 , 可 以 参 见 图 10-3 晶 振 布 板 示 意 图 。图 10-3 外 部 晶 振 PCB 板 图图 10-5 外 部 RC 振 荡 连 线图当 使 用 外 部 时 钟 作 为 系 统 时 钟 源 时 ,Xout悬 空 , 从 Xin 脚 引 入 系 统 时 钟 。 如 图 10-4 所 示 。 芯 片对 外 部 时 钟 的 脉 宽 没 有 严 格 要 求 , 困 为 内 部 经 过 一 个D 触 发 器 进 行 2 分 频 。 但 是 时 钟 的 高 低 电 平 时 间 还 是 要参 照 手 册 数 据 中 给 出 最 小 和 最 大 值 来 给 定 。 振 荡 电 路可 以 采 用 外 部 晶 振 和 陶 瓷 振 荡 器 来 实 现 。 用 户 可 自 行根 据 它 们 各 自 的 特 性 和 要 求 来 选 择 器 件 。图 10-6 外 部 R 振 荡 连 线图图 10-4 外 部 时 钟 连 线 示 意 图另 外 ,MC80F0504/0604 还 可 以 采 用 外 接 RC 振 荡 器 。这 样 在 对 时 钟 没 有 严 格 的 场 合 中 , 可 以 节 省 成 本 。RC振 荡 器 频 率 受 电 源 电 压 、 温 度 、R 和 C 值 的 影 响 , 因 此用 户 在 选 取 元 件 参 数 的 时 候 要 预 留 足 够 的 余 量 。 图 10-5 所 示 为 外 接 RC 振 荡 器 。 MC80F0504/0604. 外 接 电容 可 以 省 掉 采 用 内 部 电 容 以 节 省 成 本 , 当 然 只 采 用 外 接电 阻 的 R 振 荡 器 要 比 RC 振 荡 器 更 容 易 受 外 部 影 响 而 变化 频 率 。 如 图 10-6 所 示 。采 用 RC 振 荡 电 路 时 , 时 钟 配 置 区 (20FFH) 应 该 设置 为 “EXRC or EXRCXO”. 振 荡 频 率 经 4 分 频 后 由Xout 输 出 。 除 外 接 晶 体 振 荡 器 / 陶 瓷 振 荡 器 以 及RC/R 振 荡 器 外 , MC80F0504/0604 还 可 以 使 用 内部 4MHz 或 2MHz 振 荡 电 路 。 内 部 4MHz/2MHz 振 荡电 路 不 需 要 外 接 任 何 元 件 。 当 使 用 内 部 振 荡 电 路时 , 在 时 钟 配 置 区 域 将 “IN4MCLK”,“IN2MCLK”,“IN4MCLKXO” 或 者 “IN2MCLKXO”. 选 上 即 可 。 具 体细 节 可 参 照 “21 节 器 件 配 置 说 明 ”


MC80F0504/060411. 基 本 间 隔 定 时 器<strong>MC80F0604</strong> 有 一 个 8 位 基 本 间 隔 定 时 器 , 该 定 时 器 独 立 运 行 , 不 能 停 止 。 原 理 框 图 如 图 11-1。 另外 , 基 本 间 隔 定 时 器 产 生 基 础 时 基 给 看 门 狗 定 时 器 。 它 还 提 供 一 个 基 本 间 隔 定 时 中 断 (BITIF)。8 位 基 本 间 隔 定 时 器 寄 存 器 (BITR) 在 每 个 计 数 脉 冲 输 入 时 加 1。 该 计 数 脉 冲 经 过 预 分 频 器 分 频 。 由于 预 分 频 器 的 分 频 比 率 为 8~1024, 因 此 计 数 速 率 为 振 荡 频 率 的 1 /8 ~ 1/1024。 当 计 数 值 从 FFH 溢出 翻 转 到 00H, 就 会 产 生 基 本 间 隔 定 时 器 中 断 。基 本 间 隔 定 时 器 由 时 钟 控 制 寄 存 器 (CKCTLR) 控 制 , 如 图 11-2。 如 果 RCWDT 位 被 设 置 为 “1”,BITR 的 时 钟 源 就 变 成 内 部 RC 振 荡 。如 果 将 CKCTLR 中 的 BTCL 位 置 “1”,BITR 寄 存 器 清 零 , 并 重 新 开 始 计 数 。 位 BTCL 在 一 个 机 器 周 期后 由 硬 件 清 零 。如 果 将 CKCTLR 的 位 RCWDT 置 1 后 执 行 STOP 指 令 , 就 进 入 内 部 RC 振 荡 看 门 狗 定 时 器 模 式 。 在 该模 式 中 , 除 了 内 部 RC 振 荡 器 , 基 本 间 隔 定 时 器 , 和 看 门 狗 定 时 器 外 , 其 它 所 有 的 模 块 都 停 止 运行 。 更 多 细 节 详 见 节 电 模 式 章 节 。 由 位 WDTON 来 决 定 是 作 为 看 门 狗 定 时 器 , 还 是 通 常 的 7 位 定 时器 。时 钟 源 可 以 由 CKCTLR 的 低 3 位 选 择 。BITR 和 CKCTLR 位 于 相 同 的 地 址 , 地 址 0F2H 读 的 时 候 作 为 BITR, 写 的 时 候 作 为 CKCTLR。注 意 : 基 本 间 隔 定 时 器 所 以 得 控 制 位 都 在 CKCTLR 寄 存 器 里 面 , 它 和 BITR( 地 址 ECH) 有 相 同 的 地 址 。 地 址 0F2H 读 的 时候 作 为 BITR, 写 的 时 候 作 为 CKCTLR。 因 此 ,CKCTLR 不 能 通 过 位 操 作 指 令 访 问 。


MC80F0504/0604CKCTLR[2:0] SourceclockInterrupt(overflow)Period(ms)@f XIN=8MHz000001010011100101110111f XIN÷ 8f XIN÷ 16f XIN÷ 32f XIN÷ 64f XIN÷ 128f XIN÷ 256f XIN÷ 512f XIN÷1024表 11-1 基 本 间 隔 定 时 器 中 断 周 期0.2560.5121.0242.0484.0968.19216.38432.768例1:每 8.192ms 产 生 一 次 中 断 请 求 标 志( 4MHz).:LDM CKCTLR,#1BHSET1 BITEEI:例2:每 8.192ms 产 生 一 次 中 断 请 求 标 志( 8MHz).:LDM CKCTLR,#1CHSET1 BITEEI:


MC80F0504/060412. 看 门 狗 定 时 器看 门 狗 定 时 器 迅 速 发 现 CPU 的 故 障 , 比 如 由 噪 声 或 其 他 干 扰 造 成 的 程 序 死 循 环 , 并 使 CPU 恢 复 正常 状 态 。 看 门 狗 定 时 器 检 测 到 故 障 信 号 可 以 选 择 复 位 CPU 或 者 产 生 一 个 中 断 请 求 。如 果 看 门 狗 定 时 器 不 用 于 检 测 故 障 , 它 还 可 以 作 为 一 个 基 本 间 隔 定 时 器 。看 门 狗 定 时 器 可 以 有 两 种 类 型 的 时 钟 源 。 一 个 是 不 需 要 任 何 外 部 元 件 的 片 内 RC 振 荡 器 。RC 振 荡器 独 立 于 从 Xin 脚 输 入 的 外 部 时 钟 电 路 。 这 意 味 着 即 使 停 止 从 Xin 输 入 时 钟 , 看 门 狗 定 时 器 仍 然 运行 。 例 如 , 进 入 STOP 模 式 。 另 一 种 为 主 系 统 时 钟 。看 门 狗 定 时 器 由 7 位 二 进 制 计 数 器 和 看 门 狗 定 时 器 数 据 寄 存 器 组 成 。 当 7 位 二 进 制 计 数 器 的 值 等 于WDTR 的 低 7 位 时 , 产 生 中 断 请 求 标 志 。 请 求 标 志 根 据 位 WDTON 的 状 态 确 定 用 作 WDT 中 断 或 是CPU 复 位 信 号 。注 意 : 由 于 看 门 狗 定 时 器 是 在 清 基 间 隔 定 时 器 清 零 之 后 , 位 WDTON 置 位 后 设 为 使 能 , 定 时 器 的 最 大 误 差 取 决 于 基 本 间 隔定 时 器 的 预 分 频 设 定 。7 位 二 进 制 计 数 器 通 过 置 位 WDTCL(WDTR.7) 清 零 ,WDTCL 在 一 个 机 器 周 期 后 自 动 清 零 。 RC振 荡 看 门 狗 定 时 器 可 以 通 过 设 置 位 RCWDT 灵 活 选 择 。 如 下 所 示 :LDM CKCTLR,#3FH; 使 能 RC-OSCWDT LDM WDTR,#0FFH ; 设 置 WDT 周期 LDM SSCR, #5AH ; 准 备 到 STOP 方 式STOP ; 进 入 STOP 方 式NOPNOP ; RC-OSC WDT 运 行:RC 振 荡 周 期 随 温 度 ,VDD 和 制 造 的 变 化 而 不 同 ( 大 约 为 33~100 uS) 。 下 面 的 等 式 为 RCWDT振 荡 看 门 狗 的 溢 出 时 间 :T RCWDT=CLK RCWDT×2 8 ×WDTR + (CLK RCWDT×2 8 )/2where, CLK RCWDT= 33~100uS另 外 , 看 门 狗 定 时 器 还 可 通 过 中 断 WDTIF 作 为 一 个 7 位 定 时 器 。 看 门 狗 定 时 器 中 断 的 间 隔 由 基本 间 隔 定 时 器 确 定 算 式 如 下 :T WDT= (WDTR+1) × Interval ofBIT


MC80F0504/0604看 门 狗 定 时 器 控 制 器图 12-2 为 看 门 狗 定 时 器 控 制 寄 存 器 。 看 门 狗 定 时 器 在 复 位 后 自 动 被 禁 止 。 如 果 MCU 在 监 测 时 间 设 置 ,选 择 输 出 和 清 除 二 进 制 计 数 器 的 过 程 中 检 测 到 MCU 故 障 , 则 在 检 测 时 间 之 内 重 复 清 除 二 进 制 计 数器 。如 果 发 生 任 何 故 障 , 看 门 狗 定 时 器 将 会 在 二 进 制 计 数 器 向 上 溢 出 时 产 生 输 出 , 除 非 二 进 制 计 数 器 被清 “0”。 此 时 , 当 WDTON=1, 将 会 产 生 复 位 , 使 RESET 脚 变 低 来 复 位 内 部 硬 件 ; 当 WDTON=0 时 ,一 个 看 门 狗 定 时 器 中 断 (WDTIF) 将 会 产 生 。 位 WDTON 在 CLKCTLR 寄 存 器 中 。看 门 狗 定 时 器 在 STOP 模 式 下 将 暂 时 停 止 计 数 , 系 统 在 STOP 模 式 恢 复 为 正 常 模 式 后 , 看 门 狗 定 时器 自 动 重 新 开 始 ( 继 续 计 数 )。例 : 设 置 看 门 狗 监 测 时 间 为 1 秒 (4.194304MHz)LDM CKCTLR,#3FH ;Select 1/1024 clock source, WDTON ← 1, Clear CounterLDM WDTR,#08FHLDM WDTR,#08FH ;Clear counter:::LDM WDTR,#08FH ;Clear counter:::LDM WDTR,#08FH ;Clear counter使 能 和 禁 止 看 门 狗看 门 狗 定 时 器 在 WDTON(CKCTLR.4) 置 “1” 后 使 能 。 WDTON 的 初 始 值 为 “0”, 在 复 位 后 要 重 新设 置 为 “1”。例 : 复 位 后 使 能 看 门 狗 定 时 器:LDM CKCTLR,#xxx1_xxxxB;WDTON ← 1:: 看 门 狗 定 时 器 由 WDTON(CKCTLR.4) 禁 止 。 看 门 狗 定 时 器 在 STOP 模 式 下 暂 停 , 在STOP 模 式 恢 复 为 正 常 模 式 后 自 动 重 新 开 始 。


MC80F0504/0604看 门 狗 定 时 器 中 断当 CKCTLR.4 位 被 置 “0” 时 , 看 门 狗 定 时 器 相 当 于 一 个 7 位 定 时 器 。 看 门 狗 定 时 器 的 中 断 间 隔 由 基 本间 隔 定 时 器 决 定 。 时 间 间 隔 等 式 如 下 :TWDT = (WDTR+1) × Interval ofBIT在 看 门 狗 定 时 器 作 为 中 断 源 之 前 , 堆 栈 指 针 (SP) 要 初 始 化 。例 : 建 立 7 位 定 时 器 中 断LDM CKCTLR,#xxx0_xxxxB;WDTON ←0LDM WDTR,#8FH ;WDTCL ←1如 果 看 门 狗 定 时 器 输 出 变 得 活 跃 , 就 产 生 复 位 , 它 驱 动 RESET 脚 变 低 去 复 位 内 部 硬 件 。当 看 门 狗 复 位 产 生 在 低 时 钟 方 式 时 , 主 时 钟 振 荡 总 是 开 启 的 。


MC80F0504/060413. 定 时 器 / 计 数 器<strong>MC80F0604</strong> 有 两 个 定 时 / 计 数 存 储 器 , 每 个 模 块 都 可 产 生 中 断 来 表 明 发 生 了 事 件 ( 即 , 定 时 器 匹配 )。 定 时 器 0 和 1, 可 作 为 两 个 8 位 定 时 / 计 数 器 或 者 组 合 成 一 个 16 位 定 时 / 计 数 器 。 定 时 器 2 和 定 时器 3 也 一 样 。 在 定 时 器 功 能 中 , 寄 存 器 在 每 个 内 部 时 钟 输 入 时 加 1, 因 此 , 可 将 其 看 作 是 内 部 时 钟输 入 的 计 数 。 由 于 一 个 时 钟 周 期 最 短 为 2 各 最 长 包 括 2048 个 振 荡 周 期 。 因 此 , 定 时 器 0 的 计 数 速 率为 震 荡 器 频 率 的1/2— 1/2048。 在 计 数 器 功 能 中 , 寄 存 器 在 对 应 外 部 输 入 脚 EC0 产 生 0 到 1 的 跳 变 ( 上升 沿 ) 时 加 1。在 捕 捉 功 能 中 , 寄 存 器 的 增 加 与 定 时 器 功 能 时 一 样 , 但 在 外 部 中 断 跳 沿 输 入 时 , 计 数 寄 存 器 的 值 被 捕捉 到 捕 捉 数 据 寄 存 器 CDRx 中 。 定 时 器 0 和 定 时 器 1 共 同 拥 有 一 个 “PWM” 功 能 和 “ 比 较 输 出 ” 功 能 。 通 过设 定 定 时 器 模 式 寄 存 器 TM0 和 TM1, 可 实 现 以 下 6 中 操 作 模 式 :8 位 定 时 / 计 数 器 ;16 位 定 时 / 计 数 器 ;8 位 捕 捉 ;16 位 捕 捉 ;8 位 比 较 输 出 ;10 位 PWM。 如 表 13-1 所 示 :


图 13-1 TM0,TM1 寄 存 器MC80F0504/0604


MC80F0504/060413.1 8 位 定 时 器 / 计 数 器 方 式<strong>MC80F0604</strong> 有 两 个 8 位 定 时 / 计 数 器 , 定 时 器 0 和 定 时 器 1, 如 图 13-2。定 时 或 计 数 功 能 , 由 控 制 寄 存 器 TM0, TM1 进 行 选 择 , 设 定 8 位 定 时 / 计 数 器 模 式 时 , 须 要 将TMx 中 的 位 CAP0 或 CAP1 清 0。 16BIT 位 和 TM1 中 的 PWM1E 位 也 要 清 0。 这 两 个 定 时 器 都 有 8 位计 数 寄 存 器 和 数 据 寄 存 器 。 计 数 寄 存 器 在 每 个 内 部 或 外 部 时 钟 输 入 时 加 1。 内 部 时 钟 有 预 分 频 比率 选 项 1, 2,4,8,32,64,128,256,512,1024,2048 或 外 部 时 钟 ( 由 寄 存 器 TMx 中 的 控制 位 TxCK2,TxCK1, 和 TxCK0 选 择 )图 13-2 8 位 定 时 / 计 数 器 0,1


MC80F0504/0604例 1:Timer0 = 2ms 8 位 定 时 器 方 式 , 时 钟 频 率4MHzTimer1 = 0.5ms 8 位 定 时 器 方 式 , 时 钟 频 率4MHzLDM TDR0,#249LDM TDR1,#249LDM TM0,#0000_1111BLDM TM1,#0000_1011BSET1 T0ESET1 T1EEI例 2:Timer0 = 8-bit 事 件 计 数 方 式Timer1 = 0.5ms 8 位 定 时 器 方 式 , 时 钟 频率 4MHzLDM TDR0,#249LDM TDR1,#249LDM TM0,#0001_1111BLDM TM1,#0000_1011BSET1 T0ESET1 T1EEI这 两 个 定 时 器 都 有 8 位 计 数 寄 存 器 和 数 据 寄 存 器 。 计 数 寄 存 器 在 每 个 内 部 或 外 部 时 钟 输 入 时 加1。 内 部 时 钟 有 预 分 频 比 率 选 项 2,4,8,32,128,512,2048( 由 寄 存 器 TM0 中 的 控 制 位T0CK2,T0CK1, 和 T0CK0 选 择 ) 和 1,2,8( 由 寄 存 器 TM1 中 的 控 制 位 T1CK1,T1CK0 选 择 ) 。在 定 时 器 0 中 , 定 时 器 寄 存 器 T0 从 00H 开 始 增 加 直 到 与 TDR0 一 致 时 复 位 翻 转 到 00H。 定 时 器 0的 匹 配 输 出 产 生 定 时 器 0 中 断 ( 锁 存 于 T0F 位 )。在 计 数 器 功 能 中 , 计 数 器 在 EC0 脚 的 每 个 0 到 1 的 迁 移 中 ( 上 升 沿 ) 加 1。 为 使 用 计 数 器 功 能 ,端 口 选 择 寄 存 器 的 EC0 位 (PSR0.4) 要 置 “1”。 定 时 器 0 可 通 过 EC0 输 入 用 作 一 个 计 数 器 , 定时 器1 则 不 能 。13.1.1 8 位 定 时 器 方 式式 :在 定 时 器 方 式 , 计 数 增 加 的 时 钟 是 以 内 部 系 统 时 钟 为 基 准 的 。 向 上 溢 出 计 数 器 的 内 容 Tn 与TDRn 中 的 内 容 比 较 。 发 现 数 值 匹 配 的 时 候 , 定 时 器 将 产 生 一 个 中 断 标 志 (TnIF), 向 上 溢 出 计 数器 自 动 清 “0”, 计 数 器 重 新 开 始 计 数 。TDRn 的 值 可 以 通 过 软 件 设 置 , 选 择 需 要 的 定 时 间 隔 。


MC80F0504/060413.1.2 8 位 事 件 计 数 方 式在 这 种 方 式 , 向 上 溢 出 计 数 器 由 外 部 触 发 , 这 个 触 发 的 意 思 就 是 由 EC0 脚 输 入 上 升 沿 。 通 过 时间 方 式 寄 存 器 选 择 源 时 钟 为 内 部 时 钟 , 时 间 数 据 寄 存 器 TDR0 的 内 容 与 向 上 溢 出 计 数 器 T1 的 内 容 比较 , 发 现 数 值 匹 配 的 时 候 , 定 时 器 将 产 生 一 个 中 断 标 志 T0IF, 向 上 溢 出 计 数 器 被 清 “0”。 计 数 器 重 新计 数 , 在 EC0 脚 每 输 入 一 个 上 升 沿 的 时 候 计 数 器 加 1。EC0 脚 最 大 的 输 入 频 率 为 fXIN/2[Hz]。为 了 使 用 事 件 计 数 器 功 能 , 端 口 选 择 寄 存 器 PSR0 的 第 四 位 需 要 置 “1”。复 位 后 , 时 间 数 据 寄 存 器 TDRn 的 初 始 值 为 “0”, 定 时 器 的 间 隔 周 期 由 下 面 的 等 式 计 算 :


MC80F0504/0604


MC80F0504/060413.2 16 位 定 时 器 / 计 数 器 方 式定 时 器 寄 存 器 以 16 位 运 行 。16 位 定 时 / 计 数 器 寄 存 器 T0,T1 从 0000H 开 始 增 加 直 到 与TDR0,TDR1 一 致 时 , 复 位 翻 转 为 0000H。 匹 配 输 出 产 生 定 时 器 0 中 断 。定 时 器 0 的 时 钟 源 , 可 通 过 位 T0CK[2:0] 选 择 为 内 部 或 外 部 时 钟 输 入 。 在 16 位 模 式 中 ,位 T1CK[1:0] 和 TM1 的 16BIT, 都 应 置 为 “1”, 如 图 13-7。13.3 8 位 (16 位 ) 比 较 输 出<strong>MC80F0604</strong> 拥 有 定 时 期 比 较 输 出 功 能 , 为 从 管 脚 输 出 脉 冲 , 定 时 器 匹 配 可 取 管 脚 (T0O)。于 是 。 脉 冲 输 出 从 定 时 器 匹 配 产 生 。 这 些 操 作 是 由 管 脚 R05/AN5/T0O 实 现 的 。在 这 一 模 式 中 , 端 口 1 选 择 寄 存 器 的 T0OE(PSR1.0) 位 应 置 为 1, 这 一 管 脚 输 出 的 是 50:50空 比 的 方 波 , 输 出 频 率 见 下 式 :


MC80F0504/060413.4 8 位 捕 捉 方 式定 时 器 0 的 捕 捉 方 式 是 通 过 设 置 定 时 器 0 方 式 寄 存 器 TM0 的 CAP0 位 ( 定 时 器 1 方 式 寄 存 器 TM1的 CAP1) 来 完 成 , 如 图 13-8。定 时 / 计 数 寄 存 器 是 在 回 应 内 部 或 外 部 输 入 时 增 1 的 。 这 一 计 数 功 能 与 通 常 的 计 数 功 能 相 同 ,且 定 时 器 中 断 在 定 时 器 寄 存 器 T0(T1) 增 1 且 达 到 与 TDR0(TDR1) 一 致 时 发 生 。当 捕 捉 信 号 的 脉 宽 远 大 于 定 时 器 的 最 大 周 期 时 , 上 述 捕 捉 模 式 中 的 定 时 器 中 断 非 常 有 用 。例 如 , 在 图 13-10 中 , 捕 捉 信 号 的 脉 宽 大 于 定 时 器 数 据 值 (FFH) 的 两 倍 。 当 外 部 中 断 产 生时 , 捕 捉 值 (13H) 远 远 小 于 所 需 要 的 值 。 可 通 过 计 数 定 时 器 溢 出 的 次 数 得 到 正 确 值 。定 时 / 计 数 器 仍 和 前 面 一 样 工 作 , 但 有 一 个 额 外 的 特 性 , 即 在 外 部 输 入 INTx 管 脚 上 的 边 沿 到 来时 , 将 定 时 器 寄 存 器 (T0 , T1) 的 当 前 值 , 分 别 捕 捉 到 寄 存 器 CDRx(CDR0,CDR1) 中 。 捕 捉 完 成后 , 定 时 器 寄 存 器 清 零 , 并 由 硬 件 重 新 启 动 。 捕 捉 可 有 3 种 触 发 模 式 : 下 降 沿 , 上 升 沿 , 和 双 边沿 。 可 通 过 中 断 边 沿 选 择 寄 存 器 IEDS 进 行 选 择 ( 参 考 外 部 中 断 章 节 )。 另 外 ,INTn 脚 的 跳 变 信 号 可产 生 中 断 。注 :CDRn 和 TDRn 位 于 同 一 地 址 , 在 捕 捉 方 式 , 读 操 作 是 对 CDRn 进 行 , 写 操 作 是 对 TDRn 进 行 。


MC80F0504/0604


MC80F0504/0604


MC80F0504/060413.5 16 位 捕 捉 方 式除 了 定 时 器 寄 存 器 以 16 位 运 行 外 ,16 位 捕 捉 模 式 和 8 位 捕 捉 模 式 相 同 。 定 时 器 0 的 时 钟 源 可 通过 位 T0CK[2:0] 选 择 为 内 部 或 外 部 时 钟 。 在 16 位 模 式 中 , 位 T1CK1、T1CK0 和 TM1 的 位 CAP1、16BIT 都 应 置 1, 如 图 13-11。例 1:Timer0 = 16-bit timer mode, 0.5s at 4MHzLDM TM0,#0000_1111B;8uSLDM TM1,#0100_1100B;16bit ModeLDM TDR0,#62499 ;=0.5sSET1 T0EEI::例2:Timer0 = 16-bit event counter modeLDM PSR0,#0001_0000B;EC0 Set LDMTM0,#0001_1111B;Counter Mode LDMTM1,#0100_1100B;16bit Mode LDMTDR0,#0FFH ;SET1 T0EEI::


MC80F0504/0604例 3:Timer0 = 16-bit capture modeLDM PSR0,#0000_0001B;INT0 setLDM TM0,#0010_1111B;Capture ModeLDM TM1,#0100_1100B;16bit ModeLDM TDR0,#0FFH ;LDM IEDS,#01H;Falling EdgeSET1 T0EEI:13.6 PWM 方 式<strong>MC80F0604</strong> 具 有 高 速 PWM 脉 宽 调 制 功 能 (PWM,Pulse With Modulation) 模 块 , 并 与 定 时 器 1 共享 。在 PWM 模 式 中 , 管 脚 R10/PWM1O 可 输 出 10 位 分 辨 率 的 PWM 信 号 。 通 过 将 PSR0 寄 存 器 中 的PWM1OE 位 置 1, 可 将 这 个 管 脚 定 义 为 PWM 输 出 。PWM 输 出 的 周 期 由 T1PPR(T1 PWM 周 期 寄 存 器 ) 和 T1PWHR[3:2](T1 PWM 高 位 寄 存 器 的 位 3,2) 确 定 , 且 PWM 输 出 的 占 空 比 由 T1PDR(T1 PWM 占 空 比 寄 存 器 ) 和 T1PWHR[1:0] (T1 PWM 高 位 寄 存器 位 1,0) 确 定 。用 户 将 低 8 位 周 期 值 写 入 T1PPR, 并 将 高 2 位 周 期 值 写 入 T1PWHR[3:2]。 占 空 比 值 也 以 同 样 方 式写 入 T1PDR 和 T1PWHR[1:0]。对 于 正 常 PWM 输 出 而 言 ,T1PDR 的 配 置 为 双 缓 冲 输 出 。 在 图 13-13 中 , 当 周 期 值 与 计 数 值 一 致时 , 占 空 比 值 从 主 控 寄 存 器 发 送 到 被 控 器 ( 即 , 在 下 一 空 比 周 期 之 开 头 )。PWM1 Period = [PWM1HR[3:2]T1PPR + 1] *Source ClockPWM1 Duty = [PWM1HR[1:0]T1PDR + 1] *Source Clock有 关 频 率 和 分 辫 率 的 上 面 等 式 表 明 , 频 率 与 分 辨 率 成 反 比 关 系 见 。 表 13-2 说 明 , 要 得 到 较 高 频 率的 PWM, 就 应 降 低 分 辨 率 。TM1 的 位 POL 决 定 占 空 比 的 极 性 。如 果 占 空 比 值 设 成 与 周 期 一 致 ,PWM 输 出 由 位 POL 决 定 (1: 高 , 0: 低 )。 如 果 占 空 比 值 设 成00H, 则 PWM 输 出 由 位 POL 决 定 (1: 低 , 0: 高 )。 当 PWM 输 出 时 可 改 变 占 空 比 值 。 当 前 周 期 结 束后 , 就 会 输 出 改 变 后 的 占 空 比 值 。 当 仅 改 变 周 期 值 时 , 占 空 比 可 保 持 不 变 , 如 图 13-15 所 示 。 在 变 化的 频 率 中 , 绝 对 占 空 比 时 间 不 变 。 但 改 变 的 周 期 必 须 大 于 占 空 比 值 。注 : 如 果 想 使 用 定 时 器 1 的 PWM 功 能 , 首 先 必 须 停 止 定 时 器 的 时 钟 , 然 后 设 置 周 期 和 占 空 比 寄 存 器 值 。 如 果 用 户 在 定时 器 操 作 时 写 寄 存 器 值 , 这 些 寄 存 器 应 首 先 应 被 设 置 为 一 定 值 。Ex) Sample Program @4MHz 2uSLDM TM1,#1010_1000b ; Set Clock & PWM1ELDM T1PPR,#199 ; Period :400uS=2uSX(199+1)LDM T1PDR,#99 ; Duty:200uS=2uSX(99+1)LDM PWM1HR,00HLDM TM1,#1010_1011b ; Start timer1


MC80F0504/0604频 率分 辨 率T1CK[1:0]=00(250nS)T1CK[1:0]=01(250nS)T1CK[1:0]10=(250nS)10-bit 3.9kHz 0.98kHz 0.49kHz9-bit 7.8kHz 1.95kHz 0.97kHz8-bit 15.6kHz 3.90kHz 1.95kHz7-bit 31.2kHz 7.81kHz 3.90kHz表 13-24MHz 的 频 率 与 分 辨 率


MC80F0504/0604


MC80F0504/060414. A/D 转 换A/D 转 换 器 可 以 将 一 个 模 拟 输 入 信 号 转 换 成 对 应 的 10 位 数 字 值 。A/D 模 块 有 10 个 (0504 只 有 8 个 ) 模 拟输 入 端 , 它 们 同 时 具 有 采 样 和 保 持 功 能 。 经 采 样 和 保 持 后 的 信 号 输 入 到 转 换 器 完 成 转 换 , 通 过 逐 次 逼近 法 获 得 近 似 值 。 模 拟 参 考 电 压 可 以 选 择 VDD 或 者 通 过 设 置 PSR1 中 的 AVREFS 位 选 择 外 部 模 拟 参 考输 入 。 如 果 选 择 外 部 参 考 电 压 输 入 , 则 模 拟 输 入 通 道 AN0 不 能 使 用 , 因 为 这 个 脚 被 用 作 模 拟 参 考 电 压 输入 。A/D 模 块 有 三 个 寄 存 器 , 要 别 是 控 制 寄 存 器 ADCM 和 结 果 寄 存 器 ADCRH 和 ADCRL。ADCRL[7:6] 用于 转 换 时 钟 选 择 。 控 制 寄 存 器 ADCM 如 图 Figure 14-4 , 控 制 A/D 模 块 的 操 作 。I/O 口 可 用 作 模 拟 信 号 输入 和 普 通 数 字 I/O 口 。 通 过 设 置 ADS[3:0 选 择 哪 路 模 拟 信 号 进 行 转 换 。A/D 转 换 脚 通 过 置 位 ADEN 和 设 置ADS[3:0] 可 做 为 模 拟 输 入 脚 。 而 不 用 管 理 当 前 I/O 口 方 向 。如 何 进 行 A/D 转 换 当 置 位 ADCM 中 的 ADST 位 , 即 开 始 进 行 A/D 转 换 。 该 位 在 一 个 机 器 周 期 后 由 硬 件 自动 清 零 。 转 换 结 果 寄 存 器 ADCRH 和 ADCRL 存 放 着 A/D 转 换 结 果 。 当 转 换 完 成 , 转 换 结 果 被 装 载 到 这两 个 寄 存 器 中 , 转 换 完 成 标 志 位 ADSF 置 “1”, 同 时 对 CPU 产 生 中 断 。 如 图 Figure 14-1 所 示 。A/D 模块 组 成 如 图 Figure14-3 所 示 。 当 转 换 完 成 时 A/D 转 换 状 态 位 自 动 置 位 , 下 次 进 行 A/D 转 换 时 自 动 清 零 。转 换 时 间 大 概 为 13 个 转 换 时 钟 。 时 钟 源 的 选 择 应 考 虑 不 要 使 转 换 时 间 超 过 25μs.A/D 转 换 注 意 事 项(1) 模 拟 输 入 通 道 : 输 入 电 压 范 围 应 在 使 用 手 册 说 明 的 数 值 之 内 。 特 别 地 , 如 果 输 入 电 压 值 超 过 VDD 或者 低 于 VSS, 则 A/D 转 换 结 果 将 不 确 定 , 并 且 其 它 输 入 通 道 的 转 换 值 也 会 受 影 响 。(2) 噪 声 消 除 方 法如 果 要 保 持 10- 位 的 A/D 结 果 , 就 一 定 要 注 意 VDD 和 模 拟 输 入 脚 上 的 噪 声 干 扰 。 因 为 噪 声 影 响 与 模 拟 输入 端 的 信 号 源 的 输 出 阻 抗 成 正 比 , 因 此 建 议 在 模 拟 输 入 端 并 联 一 个 电 容 。 如 图 14-2 所 示 , 为 了 减 少 干扰 。图 14-2 A/D 输 入 引 脚 电 容图 14-1 A/D 转 换 流 程 图


MC80F0504/0604(3) I/O 操 作模 拟 输 入 脚 也 可 以 作 为 普 通 的 I/O 口 , 当 选 择 一 个 脚 进 行 A/D 转 换 时 , 一 定 要 保 证 在 转 换 未 完 成 期间 不 对 该 端 口 操 作 指 令 。 这 样 的 话 , 可 能 会 影 响 转 换 分 辨 率 。 同 样 的 , 如 果 有 数 字 脉 冲 应 用 位 于进 行 A/D 转 换 的 管 脚 的 相 邻 管 脚 , 由 于 噪 声 的 影 响 , 未 必 能 获 得 理 想 的 结 果 。 所 以 应 避 免 将 数 字脉 冲 应 用 于 位 进 行 A/D 转 的 管 脚 步 的 相 邻 管 脚 。(4) AVDD 脚 的 输 入 阻 抗AVREF 脚 和 VSS 脚 的 串 联 阻 抗 大 约 为 5K。 因 此 如 果 参 考 电 压 源 的 输 出 阻 抗 很 高 , 将 会 导 致 与AVREF 脚 和 VSS 脚 的 阻 抗 并 联 , 从 而 出 现 很 大 的 参 考 电 压 误 差 。图 14-3 A/D 转 换 方 框 图


图 14-4 A/D 转 换 控 制 & 结 果 寄 存 器MC80F0504/0604


MC80F0504/060415. 蜂 鸣 器 功 能蜂 鸣 器 驱 动 模 块 包 含 一 个 6 位 的 二 进 制 计 数 器 , 一 个 蜂 鸣 器 寄 存 器 和 时 钟 选 择 寄 存 器 。 可 以 产 生 频率 范 围 为 (488Hz ~ 250kHz at fXIN= 4MHz) 的 方 波 信 号 。 R12 / BUZO 脚 可 以 直 接 驱 动 蜂 鸣 器 。 配置 如 图 15-2 所 示 .示 例 : 在 4MHz 振 荡 频 率 下 产 生 5KHZ 的 蜂 鸣 器 输 出 。LDM BUZR,#0011_0001BLDM PSR1,#XXXX_X1XXBX 可 以 忽 略 不 管BUZR 中 的 位 0 to 5 决 定 蜂 鸣 器 的 输 出 频 率 。 计 算 方 程 式 如 下 :f BUZ: 蜂 鸣 器 输 出 频 率f XIN: 振 荡 频 率Divide Ratio: 分 频 比 例BUR: BUZR 的 低 6 位 值图 15-1 蜂 鸣 器 驱 动 方 框 图图 15-2 蜂 鸣 器 寄 存 器&PSR1


MC80F0504/0604当 往 BUZR 写 入 信 号 时 ,6 位 计 数 器 被 清 零 同 时 开 始 计 数 。 从 00H 到 与 它 赋 予 的 值 相 等 , 它 每 个 时 钟周 期 进 行 一 次 加 1 操 作 。当 主 时 钟 频 率 为 4MHZ, 蜂 鸣 器 工 作 频 率 如 表 15-1 所 示 :表 15-1 蜂 鸣 器 频 率 表 ( 单 位 KHz)


MC80F0504/060416. 中 断MC80F0504/0604 中 断 电 路 由 中 断 使 能 寄 存 器 (IENH, IENL), 中 断 请 求 标 志 (IRQH, IRQL), 优先 级 电 路 和 主 使 能 标 志 ( 程 序 状 态 字 PSW 中 的 „I“ 位 ). 可 提 供 15 个 中 断 源 。 中 断 配 置 电 路 如 图 16-1 所示 , 中 断 优 先 级 如 表 16-1 所 示 。 外 部 中 断 0 和 外 部 中 断 1 可 通 过 IEDS 寄 存 器 选 择 触 发 方 式 : 上升 沿 触 发 、 下 降 沿 触 发 、 双 边 沿 触 发 )。当 外 部 中 断 产 生 时 ,IRQH 寄 存 器 中 的 相 应 中 断 标 志 位 INT0IF 和 INT1IF 被 激 活 。 当 中 断 被 响 应 后 ,中 断 标 志 由 硬 件 自 动 清 除 。Timer 0 和 Timer 1 中 断 由 设 置 在 对 应 寄 存 中 的 标 志 位 T0IF 和 T1IF 产 生 。 基 本 间 隔 定 时 器 中 断 当定 时 器 数 据 溢 出 时 由 BITIF 产 生 。 A/D 中 断 当 A/D 转 换 结 束 后 由 ADCIF 产 生 。 看 门 狗 定 时 器 中 断 由WDTIF 产 生 。图 16-1 中 断 方 框 图


MC80F0504/0604中 断 由 主 使 能 位 ( 程 序 状 态 字 PSW 第 二 位 如 图 8-3 所 示 )、 中 断 使 能 寄 存 器 (IENH,IENL) 和 中断 请 求 标 志 控 制 。 上 电 复 位 中 断 和 软 件 中 断 除 外 。中 断 矢 量 地 址 如 图 8-6 所 示 。 中 断 使 能 寄 存 器 如 图 16-2 所 示 , 它 由 各 个 中 断 使 能 标 志 组 成 , 这 些 标 志位 决 定 各 个 中 断 源 是 否 被 响 应 。 当 使 能 标 志 为 “0”, 对 应 的 中 断 被 禁 止 , 当 为 “1” 时 , 中 断 被 接 受 。 注意 : 主 使 能 标 志 (I-flag) 可 以 禁 止 所 有 中 断 。表 16-1 中 断 优 先 级图 16-2 中 断 始 能 标 志 寄 存 器


MC80F0504/0604图 16-3 中 断 请 求 标 志 寄 存 器16.1 中 断 序 列当 中 断 没 有 被 响 应 时 , 除 非 单 片 机 复 位 或 者 通 过 指 令 操 作 , 否 则 的 话 , 该 中 断 请 求 会 一 直 保 留 。中 断 在 CPU 完 成 当 前 指 令 后 还 需 要 8 个 振 荡 周 期 才 能 被 响 应 。 中 断 服 务 程 序 能 过 RETI 指 令 返 回 。16.1.1 中 断 响 应1. 中 断 主 标 志 清 零 , 以 暂 时 其 它 可 屏 蔽 中 断 。 当 一 个 非 屏 蔽 被 响 应 后 , 则 其 它 中 断 要 求 都 不 会 被响 应 。2. 中 断 请 求 清 零 。3. 现 场 保 护 : 程 序 计 数 器 内 容 入 栈 , 堆 栈 指 针 减 34. 读 取 中 断 入 地 址 ,5. 进 入 中 断 服 务 子 程 序


MC80F0504/0604图 16-4 响 应 中 断 和 中 断 返 回 指 令 时 序 图16.1.2 通 用 寄 存 器 的 保 存 与 恢 复在 中 断 被 响 应 时 , 程 序 计 数 器 和 程 序 状 态 字 等 内 容 被 自 动 保 存 到 堆 栈 , 但 是 累 加 器 和 其 它 工 作寄 存 器 内 容 不 能 自 动 保 存 , 如 果 有 必 要 的 话 可 以 通 过 软 件 保 存 。 当 然 , 如 果 使 用 嵌 套 , 则 要 避免 使 用 同 一 个 存 储 区 来 保 存 这 些 寄 存 器 。 下 面 例 子 就 是 用 来 保 存 通 用 寄 存 器 的 : 当 一 个 中 断 服务 正 在 执 行 的 时 候 , 除 非 主 使 能 标 志 I-flag 置 位 , 否 则 的 话 任 何 其 它 中 断 都 不 会 被 响 应 , 包 括 比优 先 级 高 的 中 断 。当 采 用 中 断 嵌 套 时 , 可 以 用 “EI” 指 令 在 中 断 服 务 程 序 里 将 I-flag 置 位 , 这 样 的 话 , 中 断 请 求 能 否被 响 应 取 决 于 其 对 应 的 使 能 位 是 否 置 “1”。例 : 进 栈 保 护 与 恢 复 程 序 。INTxx: PUSH A;SAVE ACC.PUSH X ;SAVE X REGPUSH Y ;SAVE Y REG.interrupt processingPOP Y ;RESTORE Y REGPOP X ;RESTORE X REGPOP A ;RESTORE ACCRETI;RETURN


MC80F0504/060416.2 BRK 中 断软 件 中 断 可 以 通 过 调 用 BRK 指 令 实 现 。 它 有 最 低 的 优 先 权 。该 中 断 与 TCALL0 共 用 一 个 向 量 地 址 。 当 中 断 产 生 时 , 置 位 PSW 中 的 B-flag 位 来 进 行 区 别 是BRK 中 断 还 是 TCALL 调 用 。图 16-5 所 示 由 B-flag 决 定 程 序 执 行 的 步 骤 。图 16-5 执 行BRK/TCALL016.3 中 断 嵌 套如 果 同 时 收 到 不 同 优 先 级 中 断 请 求 , 则 响 应 较 高 优 先 级 的 中 断 , 如 果 同 时 收 到 同 一 个 优 先 级 的 中断 , 则 由 硬 件 内 部 查 询 序 列 决 定 响 应 哪 一 个 中 断 。 不 过 也 可 以 通 过 软 件 实 现 多 中 断 处 理 。 通 常 ,当 响 应 一 个 中 断 时 ,I-flag 标 志 清 零 , 以 禁 止 其 它 中 断 。 但 用 户 可 在 中 断 服 务 程 序 中 置 位 I-flag, 这 样即 使 正 在 中 断 处 理 当 中 , 也 可 以 响 应 其 它 中 断 。


MC80F0504/0604图 16-6 中 断 嵌 套 示 意 图例 : 在 Timer1 中 断 执 行 过 程 中 , INT0 中 断 服 务 没 有 任 何 延缓 。TIMER1: PUSH APUSH XPUSH YLDM IENH,#80H ;Enable INT0 onlyLDM IENL,#0 ;Disable other int.EI ;Enable Interrupt::::::LDM IENH,#0FFH ;Enable all interruptsLDM IENL,#0FFHPOP YPOP XPOP ARETI


MC80F0504/060416.4 外 部 中 断外 部 中 断 INT0、INT1 为 边 沿 触 发 , 可 通 过 边 沿 选 择 寄 存 器 IEDS( 地 址 :0EEH) 选 择 触 发 方 式 。 共有 三 种 模 式 : 上 升 沿 触 发 、 下 降 沿 触 发 和 双 边 沿 触 发 。图 16-7 外 部 中 断 方 框 图INT0 和 INT1 也 可 以 做 普 通 的 I/O 用 (R11,R12), 当 作 外 部 中 断 输 入 时 , 相 应 的 功 能 口 选 择 寄存 器 对 应 的 位 置 “1”。例 : 使 用 INT0 和 INT1:;**** Set external interrupt port as pull-up state.LDM PU1,#0000_0110B;;**** Set port as an external interrupt portLDM PSR0,#0000_0011B;;**** Set Falling-edge DetectionLDMIEDS,#0000_0101B响 应 时 间 : INT0 和 INT1 的 边 沿 在 每 个 机 器 周 期 锁 存 到 INT0F 和 INT1F。 在 下 一 个 机 器 周 期 到 期 来 之前 不 会 再 由 电 路 查 询 。 如 果 一 个 请 求 标 志 被 激 活 , 且 响 应 条 件 出 正 确 , 则 下 一 条 要 执 行 的 指 令 就 是调 用 中 断 服 务 程 序 。 比 如 说 DIV 指 令 自 身 需 要 12 个 周 期 , 则 从 中 断 标 志 被 激 活 到 执 行 中 断 服 务 程 序的 第 一 条 指 令 , 至 少 要 经 过 12 个 周 期 。 中 断 时 间 如 图 16-8 所 示 :图 16-8 中 断 响 应 时 序 图


图 16-9 IEDS 寄 存 器 和 端 口 选 择 寄 存 器RSR0MC80F0504/0604


MC80F0504/060417. 省 电 操 作MC80F0504/0604 有 两 种 低 能 源 消 耗 模 式 。 在 低 能 消 耗 模 式 , 电 能 消 耗 减 少 , 在 节 电 应 用 中 , 节电 性 能 是 一 个 很 重 要 的 指 标 。 这 款 芯 片 提 供 两 种 省 电 模 式 ,STOP 和 SLEEP 模 式 。 如 表 17-1 所 示 :17.1 Sleep 模 式在 该 模 式 中 , 内 部 振 荡 电 路 仍 然 工 作 , 且 外 围 电 路 正 常 工 作 , 但 CPU 停 止 工 作 。 外 围 电 路 的 变 动如 表 17-1 所 示 。 进 入 SLEEP 模 式 操 作 如 下 : 设 置 SSCR 寄 存 器 值 为 “0Fh”。 该 模 式 可 被 复 位 和 中 断唤 醒 。 在 两 种 模 式 下 各 内 部 状 态 。将 “0fh” 和 “5ah” 分 别 写 入 SSCR 寄 存 器 后 执 行 STOP 指 令 进 入 STOP 和 SLEEP 模 式 。为 使 中 断 唤 醒 , 在 进 入 sleep 模 式 前 要 先 使 能 中 断 。SLEEP mode.图 17-1 STOP 和 SLEEP 方 式 控 制 寄 存 器退 出 SLEEP 模 式 当 硬 件 复 位 和 中 断 产 生 时 可 以 退 出 SLEEP 模 式 。 复 位 将 重 新 初 始 化 控 制 寄 存器 的 值 , 但 片 内 RAM 的 数 据 保 持 不 变 , 中 断 保 持 控 制 寄 存 和 片 内 RAM 的 数 据 。 如 果 I-flag =1, 正 常 响 应 中 断 , 如 果 Iflag= 0, 则 不 响 应 中 断 服 务 程 序 , 而 执 行 STOP 指 令 后 面 的 指 令 程序 。 可 参 考 图 17-4。 当 从 SLEEP 模 式 退 出 时 , 需 要 足 够 的 时 间 维 持 振 荡 稳 定 , 以 恢 复 正 常 操作 。 时 序 可 参 考 图 Figure 17-3。 当 退 出 SLEEP 模 式 时 , 基 本 间 隔 定 时 器 被 唤 醒 , 从 00H 开 始计 数 , 计 数 到 FFH 后 溢 出 , 并 启 动 正 常 的 操 作 。 因 此 , 在 STOP 指 令 之 前 , 用 户 必 须 设 置 预分 频 比 率 , 以 保 证 有 足 够 的 时 间 ( 超 过 20ms ), 使 振 荡 器 启 动 , 并 稳 定 下 来 。 中 断 退 出SLEEP 模 式 可 参 照 图 17-2 . 复 位 退 出 SLEEP 模 式 可 参 照 图 17-3 .


MC80F0504/0604图 17-2外 部 中 断 唤 醒 SLEEP 方 式 时 序 图图 17-3复 位 唤 醒 SLEEP 方 式 时 序 图17.2 Stop Mode在 这 种 模 式 , 主 振 荡 器 和 系 统 时 钟 及 外 围 电 路 停 止 工 作 , 但 RC 振 荡 器 继 续 工 作 。 由 于 时 钟 停止 , 所 有 功 能 都 将 停 止 工 作 。 但 是 片 内 RAM 和 控 制 寄 存 器 数 据 保 持 不 变 , 同 时 I/O 口 输 出 值 也 不变 。 振 荡 停 止 , 所 有 内 部 操 作 都 停 止 。•RAM 状 态 及 寄 存 器 状 态 在 进 入 STOP 模 式 时 立 即 被 锁 存 。• 程 序 计 数 器 在 当 前 执 行 指 令 下 一 条 指 令 处 停 止 计 数 。注 意 : 当 将 “5AH” 写 入 SSCR 后 , 执 行 “STOP” 指 令 后 进 入 “STOP” 模 式 。( 这 个 寄 存 器 只 能 执 行 字 节 指 令 操 作 , 如其 进 行 位 操 作 指 令 , 则 会 产 生 不 期 望 的 效 果 。 在 Stop 模 式 ,VDD 可 以 降 低 到 最 小 值 , 以 减 小 电 能 消 耗 。 但 是 在 要 保证 在 进 入 Stop 模 式 前 VDD 不 能 降 低 , 同 时 在 结 束 Stop 模 式 前 要 恢 复 VDD 的 值 。d.


MC80F0504/0604在 VDD 未 能 恢 复 到 正 常 工 作 值 时 , 复 位 功 能 不 能 执 行 , 同 时 时 复 位 后 , 要 保 证 足 够 的 时 间 来 让 振 荡稳 定 。注 意 : 在 STOP 指 令 之 后 , 最 少 要 两 条 NOP 指 令 。Ex) LDM CKCTLR,#0FH ; 最 少 20msLDM SSCR,#5AHSTOPNOP ;for stabilization timeNOP ;for stabilization time 在 STOP 模 式 , 系 统 内 部 电 能 消 耗 最 小 , 当 然 电 能 消 耗 还 与 外 部 接 口 电 路有 关 , 不 能 完 全 按 照 给 出 的 参 数 来 计 算 。表 17-1 节 电 模 式 下 外 围 电 路 运 转 情 况退 出 STOP 模 式 硬 件 复 位 、 外 部 中 断 , 定 时 器 (EC0), 看 门 狗 定 时 器 可 以 唤 醒 CPU 退 出 STOP 模式 。 复 位 退 出 重 新 定 义 所 有 控 制 寄 存 器 状 态 , 但 不 改 变 RAM 数 据 。 外 部 中 断 退 出 保 持 RAM 和 控 制 寄存 器 的 数 据 。 当 I-flag = 1, 执 行 正 常 的 中 断 服 务 程 序 。 如 Iflag= 0, 则 执 行 STOP 指 令 后 的 下 一 条 指 令 ,而 不 执 行 中 断 服 务 子 程 序 。( 参 考 图 17-4 ) 当 外 部 中 断 退 出 Stop 模 式 , 需 要 足 够 的 时 间 使 振 荡 稳 定 ,以 执 行 正 常 的 操 作 。 图 17-5 给 出 了 时 序 图 。 当 从 中 断 退 出 时 , 基 本 间 隔 定 时 器 开 始 计 数 , 当 从 00计 到 FF 时 ,CPU 才 开 始 正 常 执 行 操 作 , 这 个 时 间 不 能 少 于 20MS, 因 此 在 进 入 STOP 模 式 前 , 要 设置 好 分 频 比 例 , 以 保 证 有 足 够 的 时 间 使 系 统 从 退 出 STOP 进 入 稳 定 状 态 。硬 件 复 位 退 出 STOP 模 式 工 作 状 态 如 图 17-6 .


MC80F0504/0604图 17-4 中 断 唤 醒 STOP 方 式 流 程 图图 17-5 外 部 中 断 唤 醒 STOP 方 式 时 序 图


MC80F0504/0604图 17-6 复 位 唤 醒 STOP 方 式 时 序 图17.3 唤 醒 定 时 器 模 式在 唤 醒 定 时 器 模 式 中 , 片 内 振 荡 器 并 不 停 振 。 除 了 预 分 频 器 ( 仅 为 2048) 和 定 时 器 0 外 , 所 有 功 能 都停 止 工 作 。 但 片 内 RAM 和 控 制 寄 存 器 的 内 容 将 会 保 持 。 管 脚 的 输 出 电 平 由 各 自 口 的 数 据 寄 存 器 和 方向 寄 存 器 保 持 。在 将 CKCTLR 中 WAKEUP 置 位 后 , 执 行 STOP 指 令 就 进 入 唤 醒 定 时 器 模 式 ( 该 寄 存 器 应 当 通 过 字节 指 令 进 行 写 操 作 。 如 果 使 用 位 操 作 指 令 , 例 如 set1 或 clr1 指 令 , 则 不 会 得 到 所 期 望 的 操 作 )。Note: Caution: After STOP instruction, at least two or more NOPinstruction should be writtenEx) LDM WDTR,#1111_1111BLDM CKCTLR,#0010_1110BLDM SSCR,#0101_1010BSTOPNOP ;for stabilization timeNOP ;for stabilization time退 出 唤 醒 定 时 器 模 式通 过 硬 件 复 位 定 时 器 0 溢 出 和 外 部 中 断 , 可 退 出 唤 醒 定 时 器 模 式 。 硬 件 复 位 时 , 重 新 初 始 化 所 有 控制 寄 存 器 的 值 , 但 不 会 改 变 片 内 RAM 的 数 据 。 外 部 中 断 退 出 该 模 式 时 , 所 有 控 制 寄 存 器 和 RAM 的数 据 都 不 会 改 变 。如 果 I-flag = 1, 则 正 常 的 中 断 服 务 程 序 被 执 行 , 如 果 I-flag = 0, 则 执 行 STOP 下 一 条 指 令 。 不 会 执 行 中断 服 务 程 序 。 当 外 部 中 断 退 出 Stop 模 式 , 需 要 足 够 的 时 间 使 振 荡 稳 定 , 以 执 行 正 常 的 操 作 。 图 17-5给 出 了 时 序 图 。 当 从 中 断 退 出 时 , 基 本 间 隔 定 时 器 开 始 计 数 , 当 从 00 计 到 FF 时 ,CPU 才 开 始 正 常 执行 操 作 , 这 个 时 间 不 能 少 于 20MS, 因 此 在 进 入 STOP 模 式 前 , 要 设 置 好 分 频 比 例 , 以 保 证 有 足 够 的时 间 使 系 统 从 退 出 STOP 进 入 稳 定 状 态 。


MC80F0504/0604图 17-7 外 部 中 断 或 WDT 中 断 唤 醒 STOP 方 式 内 部 RC-WDT 方 式 时 序 图图 17-8 复 位 唤 醒 内 部 RC-WDT 方 式 时 序 图


MC80F0504/060417.4 最 小 电 流 消 耗节 电 模 式 主 要 为 了 节 省 电 能 消 费 而 设 计 , 为 了 最 大 限 度 的 在 节 电 模 式 中 减 小 电 流 , 用 户 应 该 关 闭 所外 围 驱 动 输 出 驱 动 电 流 和 吸 入 电 流 , 如 果 可 以 的 话 。图 17-9 输 入 口 应 用 举 例图 19-10 输 出 口 应 用 举 例注 意 :STOP 操 作 下 , 振 荡 器 和 内 部 硬 件 的 功 耗 被 降 低 ; 然 而 , 管 脚 功 耗 ( 依 靠 外 部 电 路 和 编程 ) 不 直 接 被 硬 件 的 STOP 特 性 所 操 作 。 当 输 入 电 平 稳 定 的 接 到 电 源 电 平 上 (VDD/VSS), 将 会有 个 小 电 流 流 过 ; 然 而 , 当 输 入 电 平 高 于 电 源 电 平 ( 近 似 0.3V), 开 始 有 电 流 了 。 因 此 , 如 果 将I/O 端 口 的 输 出 晶 体 管 关 闭 让 管 脚 进 入 高 阻 态 , 电 流 就 会 流 过 输 入 晶 体 管 , 要 求 其 连 接 上 拉 电 平或 用 其 他 方 法 。


MC80F0504/0604适 当 地 设 置 , 以 便 不 存 在 电 流 流 过 端 口 。 首 先 考 虑 端 口 设 置 为 输 入 模 式 。 一 定 要 做 到 , 没 有 电 流流 过 与 其 有 关 系 的 外 部 电 路 。 在 输 入 模 式 下 , 从 MCU 外 部 看 , 管 脚 阻 抗 非 常 高 , 不 存 在 电 流 。除 此 之 外 , 输 入 电 平 是 VSS 或 VDD。 应 注 意 , 如 果 不 确 定 的 电 压 , 也 就 是 , 不 确 地 的 电 平 ( 不 是VSS 和 VDD) 连 接 到 输 入 管 脚 , 会 有 小 电 流 流 过 (2V 左 右 , 最 大 电 流 1mA)。 如 果 不 适 合 设 置为 输 入 模 式 , 就 设 置 为 输 出 模 式 , 认 为 没 有 电 流 。 端 口 设 置 为 High 或 Low, 它 有 确 定 的 外 部 电路 。 例 如 , 如 果 有 外 部 上 拉 电 阻 , 就 设 置 为 输 出 模 式 , 也 就 是 High。 或 若 是 外 部 有 下 拉 电 阻 , 就设 置 为 Low。


MC80F0504/060418. 复 位MC80F0504/0604 支 持 以 下 几 种 复 位 方 式 :• 上 电 复 位 (POR)•RESET ( 外 部 复 位 )• 看 门 狗 复 位 ( 溢 出 复 位 )• 电 源 失 效 复 位• 地 址 失 效 复 位 ( 程 序 故 障 复 位 )图 18-1 复 位 方 框 图内 部 上 电 复 位 电 路 在 VDD 从 低 升 到 正 常 工 作 电 压 时 会 复 位 CPU, 当 工 作 在 这 种 模 式 时 可 以 省 掉 外 部 复 位电 路 , 比 如 复 位 IC, 阻 容 复 位 电 路 等 。除 此 之 外 , 复 位 脚 还 可 以 作 普 通 的 输 入 脚 使 用 , 只 要 设 置 “POR” 和 “R35EN” 就 可 以 了 ( 地 址 (20FFH) 在Flash 编 程 中 ), 当 CPU 开 始 正 常 工 作 时 ( 频 率 、 电 压 、 温 度 等 参 数 达 到 正 常 工 作 的 要 求 时 ), 复 位 后 的初 始 值 如 18-1 所 示 :表 18-1 复 位 后 初 始 化 内 部 状 态复 位 信 号 由 RESET 脚 输 入 , 复 位 过 程 的 完 成 需 要 保 持 该 脚 8 个 振 荡 周 期 的 低 电 平 。 用 于 电 压 和 振 荡 稳 定 ,同 时 完 成 内 部 功 能 初 始 化 。 在 复 位 完 成 后 , 还 需 要 65.5ms (at4 MHz) 加 上 7 个 周 期 , 启 动 执 行 过 程 。 如 图Figure 18-2 . 内 部 RAM 的 数 据 在 复 位 时 不 会 受 到 影 响 , 当 VDD 上 电 后 , 内 部 RAM 的 数 据 是 随 机 的 。 复 位过 程 不 会 初 始 化 内 部 RAM 的 数 据 , 因 此 在 访 问 这 些 数 据 前 要 先 初 始 化 它 们 。当 RESET 脚 变 为 高 电 平 , 复 位 过 程 完 成 。 程 序 跳 转 到 复 位 向 量 处 开 始 执 行 程 序 。 程 序 执 行 地 址 存 在FFFEH - FFFFH.简 单 的 外 部 复 位 电 路 连 接 示 意 如 图 18-1 所 示 。


MC80F0504/0604图 18-1 简 易 外 部 复 位 电 路图 18-2 复 位 时 序 图地 址 错 误 复 位 功 能 是 当 程 序 访 问 异 常 地 址 或 者 错 误 地 址 时 , 复 位 系 统 。 这 些 异 常 和 错 误 的 地 址 访 问 可 能 是由 于 程 序 错 误 或 者 外 部 干 扰 造 成 的 , 这 样 的 话 , 地 址 错 误 复 位 功 能 能 使 程 序 在 这 种 情 况 下 回 到 正 常 。如 果 CPU 试 图 从 无 效 的 代 码 或 者 RAM 区 取 出 指 令 或 数 据 时 , 地 址 错 误 复 位 产 生 。 请 参 考 图 11-2 设 置 地 址错 误 复 位 选 项 。


MC80F0504/060419. 电 源 失 效 处 理MC80F0504/0604 有 一 个 片 内 电 源 失 效 检 测 电 路 用 于 消 除 电 源 噪 声 。 在 器 件 配 置 区 域 , 位 PFDR 可以 使 能 或 禁 止 电 源 失 效 检 测 功 能 。 当 VDD 下 降 接 近 或 者 低 于 失 效 电 平 100ns, 电 源 失 效 电 路 会 复 位或 者 冻 结 MCU 可 通 过 设 置 PFDR 的 PFDM 位 决 定 是 复 位 或 者 冻 结 操 作 。图 19-1 电 源 失 效 电 压 检 测 寄 存 器图 19-2 电 源 失 效 复 位 软 件 流 程 图


图 19-3 电 源 失 效 处 理 方 式(4MHz)MC80F0504/0604


MC80F0504/060420. 噪 声 消 除 措 施20.1 振 荡 干 扰 噪 声 保 护振 荡 噪 声 保 护 电 路 是 用 来 消 除 进 入 内 部 时 钟 系 统 的 噪 声 , 恢 复 振 荡 电 路 正 常 工 作 , 维 持 振 荡 稳 定 。在 器 件 配 置 区 的 通 过 设 置 “ONP” 位 来 使 能 或 禁 止 此 项 功 能 。 ONP 功 能 如 下 :- 恢 复 由 于 外 部 高 频 噪 声 干 扰 导 致 的 不 规 则 或 丢 失 的 波 形 。- 如 果 高 频 干 扰 噪 声 仍 然 存 在 , 则 改 由 内 部 振 荡 电 路 取 代 外 部 振 荡 电 路- 当 振 荡 引 脚 开 路 或 短 路 时 , 启 动 内 部 振 荡 电 路 , 只 有 当 执 行 “STOP” 指 令 或 者 低 频 干 扰 进 入 系 统时 ,OFP 电 路 才 不 会 在 外 部 时 钟 失 效 时 取 代 它 而 工 作 。图 20-1 ONP&OFP 方 框 图


MC80F0504/060420.2 振 荡 失 效 处 理当 振 荡 失 效 发 生 时 振 荡 失 效 处 理 功 能 (OFP) 可 以 使 系 统 从 外 部 时 钟 振 荡 转 至 内 部 振 荡 , 在 器 件 配 置区 , 可 以 通 过 设 置 “OFP” 禁 止 和 全 能 这 项 功 能 。 此 项 功 能 当 外 部 振 荡 恢 复 正 常 时 , 可 以 重 新 自 动 切 换到 外 部 振 荡 。IN4(2)MCLK/CLK(XO) Option在 时 钟 准 确 度 要 求 不 是 很 严 格 的 情 况 下 , 可 以 选 取 内 部 2M 或 4M 振 荡 电 路 。 在 器 件 配 置 区 域 ,“IN4MCLK(XO)”, “IN2MCLK(XO)” 位 可 以 使 能 这 些 功 能 。 如 果 用 到 内 部 振 荡 模 块 , 可 以 进 行如 下 选 择 : 选 择 系 统 时 钟 , 不 需 要 外 接 任 何 器 件 : 晶 振 , 陶 瓷 振 荡 ,RC 等 。 当 使 用 内 部 振荡 电 路 时 ,XIN, XOUT 脚 可 做 普 通 的 I/O 使 用 。 具 体 细 节 可 参 照 细 节 进 行 配 置 。


MC80F0504/060421. 器 件 配 置区可 对 器 件 配 置 区 域 的 POR, ONP, CLK option and security bit 选 项 编 程 , 也 可 以 留 出 来 不 编 程 。此 区 域 的 数 据 在 编 程 时 可 被 访 问 , 但 在 程 序 执 行 时 不 可 读 写 。图 21-1 器 件 配 置 区


22. 仿 真 器 EVA. 板 设 置MC80F0504/0604


MC80F0504/0604DIP 开 关 和 VR 设 置在 执 行 使 用 程 序 之 前 , 参 看 下 表 。


MC80F0504/0604


MC80F0504/0604附录


A. 指 令 图MC80F0504/0604


MC80F0504/0604B. 指 令 集1. 算 法 / 逻 辑 操 作


MC80F0504/0604


2. 寄 存 器 / 存 储 器 操 作MC80F0504/0604


MC80F0504/06043. 16 位 操 作4. 位 处 理


5. 分 支 / 跳 转 操 作MC80F0504/0604


6. 控 制 操 作 及 其 他MC80F0504/0604

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!