13.07.2015 Views

modelisation de circuits electrotechniques en vue de leur simulation

modelisation de circuits electrotechniques en vue de leur simulation

modelisation de circuits electrotechniques en vue de leur simulation

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

N° d'ordre : 2790THÈSEprés<strong>en</strong>tée àL'UNIVERSITÉ BORDEAUX IÉCOLE DOCTORALE DES SCIENCES PHYSIQUES ET DE L'INGÉNIEURpar Fabi<strong>en</strong> LEGRANDPOUR OBTENIR LE GRADE DEDOCTEURSPÉCIALITÉ : ÉLECTRONIQUE*********************MODÉLISATION DE CIRCUITS ÉLECTROTECHNIQUES EN VUE DE LEURSIMULATION - RÉALISATION D’UN SIMULATEUR.Sout<strong>en</strong>ue le : 29 janvier 2004*********************Après avis <strong>de</strong> :J. BOUCHER Professeur Emérite INP-Toulouse RapporteurJ.J. CHARLOT Professeur ENST Paris RapporteurDevant la commission d'exam<strong>en</strong> formée <strong>de</strong> :A. TOUBOUL Professeur Université Bor<strong>de</strong>aux I Prési<strong>de</strong>ntJ. BOUCHER Professeur Emérite INP Toulouse RapporteurJ.J. CHARLOT Professeur ENST Paris RapporteurM. DELEST Professeure Université Bor<strong>de</strong>aux I Rapporteuse <strong>de</strong> la sout<strong>en</strong>anceH. LEVI Professeur Université Bor<strong>de</strong>aux I Directeur <strong>de</strong> ThèseN. COUTURE Maître <strong>de</strong> confér<strong>en</strong>ces ESTIA Co-directrice <strong>de</strong> ThèseJ. PERE-LAPERNE PDG Algo’Tech Informatique InvitéR. BRIAND Maître <strong>de</strong> confér<strong>en</strong>ces ESTIA Invité– 2004 –


à Cristiana


Remerciem<strong>en</strong>tsJe ti<strong>en</strong>s à remercier André TOUBOUL, directeur du laboratoire IXL <strong>de</strong> l’université <strong>de</strong>Bor<strong>de</strong>aux 1, Jean-Rodolphe PUIGGALI et Pascal WEIL les directeurs sci<strong>en</strong>tifiques dulaboratoire LIPSI - ESTIA <strong>de</strong> m’avoir accueilli au sein <strong>de</strong> <strong>leur</strong>s laboratoires et pour l’intérêtqu’ils ont su porter à mes travaux. Merci égalem<strong>en</strong>t à Jean-Roch GUIRESSE, directeur <strong>de</strong>l’ESTIA, pour ses <strong>en</strong>couragem<strong>en</strong>ts et son souti<strong>en</strong>.Je souhaite remercier tout particulièrem<strong>en</strong>t Hervé LEVI, professeur à l’IXL et NadineROUILLON-COUTURE, responsable déléguée du LIPSI, directeur et co-directrice <strong>de</strong> cettethèse, pour <strong>leur</strong> écoute bi<strong>en</strong>veillante, <strong>leur</strong> conseils avisés. Ils ont su, avec une constante bonnehumeur, me faire partager l’approche <strong>de</strong> <strong>leur</strong> domaines respectifs et participer ainsi à maculture sci<strong>en</strong>tifique.Un merci amical et particulier pour R<strong>en</strong>aud BRIAND qui c’est impliqué activem<strong>en</strong>t dans cetravail <strong>de</strong> thèse malgré ses disponibilités réduites.Je n’oublie pas le personnel d’Algo'Tech Informatique, pour son concours, sa sympathie et <strong>de</strong>m’avoir fait partager sa connaissance du domaine <strong>de</strong> la schématique électrique. Je remercieplus particulièrem<strong>en</strong>t Jacques PERE-LAPERNE, PDG d’Algo’Tech et initiateur <strong>de</strong> ce projet<strong>de</strong> recherche, pour sa disponibilité et l’att<strong>en</strong>tion qu’il a su me porter.Ma gratitu<strong>de</strong> est égalem<strong>en</strong>t adressée à Guy VERNHERES, chef <strong>de</strong>s travaux du lycée Louis <strong>de</strong>Foix <strong>de</strong> Bayonne ainsi qu’à l’équipe <strong>en</strong>seignante, spécialem<strong>en</strong>t Rolland POULET, pour <strong>leur</strong>participation active à la validation du simulateur et pour nos collaborations à v<strong>en</strong>ir.Je n’oublierai pas Nicolas DEPAIL, élève ingénieur <strong>de</strong> L’ENSEIRB, ni H<strong>en</strong>ri ABITBOL,élève ingénieur <strong>de</strong> l’ESTIA, pour <strong>leur</strong> brillante contribution à mes travaux. Merci, à SabeurJEMMALI, Doctorant à l’ENST, pour sa disponibilité, sa pati<strong>en</strong>ce et son ai<strong>de</strong> à lacompréh<strong>en</strong>sion <strong>de</strong>s arcanes <strong>de</strong> VHDL-AMS.Enfin, j’exprime mes plus vifs remerciem<strong>en</strong>ts à M. Jean-Jacques CHARLOT, Professeur àl’ENST et M. Jacques BOUCHER, Professeur Emérite <strong>de</strong> l’INP Toulouse qui m’ont faitl’honneur <strong>de</strong> s’intéresser à ces travaux et d’avoir accepté d’<strong>en</strong> être les rapporteurs.


SommaireIntroduction…………..….………1Chapitre 1 : Etat <strong>de</strong> l’art.1 Simulateurs analogiques <strong>de</strong> type SPICE. ....................................... 61.1 Démarche <strong>de</strong> résolution. .......................................................................... 61.2 Principe <strong>de</strong> <strong>de</strong>scription <strong>de</strong>s <strong>circuits</strong>. ......................................................... 61.3 Modèles <strong>de</strong> composants ...........................................................................91.4 Mo<strong>de</strong>s d’analyses <strong>de</strong> SPICE..................................................................... 112 Quelques simulateurs <strong>de</strong> circuit électrique. .................................... 122.1 PSpice (Orcad) :........................................................................................ 132.2 Psim (Powersim) : .....................................................................................132.3 Simplorer (Ansoft) : ...................................................................................142.4 WinEcad (Micrelec) : .................................................................................142.5 DXP (Protel) ..............................................................................................152.6 Schemaplic (Fitec)..................................................................................... 153 Simulation par événem<strong>en</strong>ts discrets. ...................................……… 163.1 Approches <strong>de</strong> la <strong>simulation</strong> par événem<strong>en</strong>ts discrets. .............................. 163.2 Balayage <strong>de</strong>s activités............................................................................... 173.3 Planification d’événem<strong>en</strong>ts........................................................................ 173.4 Interaction <strong>de</strong> processus. .......................................................................... 183.5 SED : Outils et techniques <strong>de</strong> développem<strong>en</strong>t ......................................... 213.6 Mécanismes d’avance temporelle. ........................................................... 234 Métho<strong>de</strong>s <strong>de</strong> synchronisation <strong>en</strong> <strong>simulation</strong> mixte. ……………….. 254.1 Pas verrouillé............................................................................................. 254.2 Pas temporel fixe....................................................................................... 254.3 Ping-pong......................................................................................…......... 264.4 Calaveras. ................................................................................................. 265 Notion <strong>de</strong> programmation ori<strong>en</strong>té objet. …………………………….. 275.1 Les objets...................................................................................…............ 275.2 Les classes. .............................................................................................. 285.3 Héritage <strong>de</strong>s classes. ................................................................................29


Chapitre 2 : Les mo<strong>de</strong>s d’analyses.1 Contexte d’utilisation. ...................................................................... 311.1 Les utilisateurs <strong>de</strong> Simul’Elec.................................................................... 311.2 Choix d’une technique <strong>de</strong> modélisation..................................................... 321.3 Simulateur existant SiCi. ........................................................................... 321.4 Solutions ret<strong>en</strong>ues dans Simul’Elec. ......................................................... 331.5 Les mo<strong>de</strong>s d’analyse développés. ............................................................ 342 Analyse <strong>en</strong> régime perman<strong>en</strong>t. ....................................................... 352.1 Principe <strong>de</strong> l’analyse <strong>en</strong> régime perman<strong>en</strong>t .............................................. 352.2 Spécificités <strong>de</strong>s <strong>circuits</strong> « électrotechniques ». ......................................... 362.3 Utilisation <strong>de</strong> source multifréqu<strong>en</strong>ce : réponse d’un circuit RLC série....... 393 L’analyse fréqu<strong>en</strong>tielle. .................................................................... 423.1 Principe <strong>de</strong> l’analyse fréqu<strong>en</strong>tielle. ............................................................423.2 Cas d’un circuit RLC série..........................................................................434 L’analyse événem<strong>en</strong>tielle................................................................. 454.1 Analyse événem<strong>en</strong>tielle et <strong>simulation</strong> par événem<strong>en</strong>ts discrets (SED)..... 454.2 SED <strong>en</strong> électricité : temps continu et temps discret. ................................. 464.3 SED <strong>en</strong> électronique mixte analogique-numérique. .................................. 484.4 SED <strong>en</strong> électrotechnique ou l’analyse événem<strong>en</strong>tielle.............................. 504.5 Choix <strong>de</strong> conception pour l’analyse événem<strong>en</strong>tielle.................................. 544.6 Implém<strong>en</strong>tation <strong>de</strong> l’analyse événem<strong>en</strong>tielle............................................. 57Chapitre 3 : modélisation.1 Contexte – démarche <strong>de</strong> modélisation........................................…. 671.1 Domaine traité. ..........................................................................................671.2 Contraintes. .............................................................................................. 681.3 Démarche <strong>de</strong> modélisation. ...................................................................... 692 Approche Ori<strong>en</strong>tée Objet <strong>de</strong> la modélisation. ............................….. 712.1 Description d’un modèle « ori<strong>en</strong>té objet »................................................. 712.2 Composition d’un modèle. ........................................................................ 723 Modèles développés. ...................................................................... 773.1 Les Primitives du simulateur. .................................................................... 773.2 Macro modèles statiques........................................................................…843.3 Macro modèles à comportem<strong>en</strong>t séqu<strong>en</strong>tiel. ............................................ 843.4 Relais........................................................................................................ 853.5 Disjoncteurs et fusibles............................................................................. 964 Nécessité d’un langage standard pour la modélisation. .........… 1054.1 Choix du langage.................................................................................... 1054.2 Langage dédié au simulateur. .................................................................. 1064.3 Langages standards. ................................................................................ 1064.4 XML. ......................................................................................................... 1074.5 Langage VHDL-AMS. ............................................................................... 1104.6 Solution ret<strong>en</strong>ue : le langage VHDL-AMS................................................. 113


5 Traduction <strong>de</strong>s modèles Delphi vers VHDL-AMS.....................….. 1145.1 Démarche <strong>de</strong> traduction. .......................................................................... 1145.2 Test et validation <strong>de</strong>s modèles VHDL-AMS.............................................. 1145.3 Modélisation Delphi. ................................................................................. 1155.4 Organisation <strong>de</strong> la traduction.................................................................... 1155.5 Correspondance <strong>en</strong>tre VHDL-AMS et Delphi. .......................................... 1205.6 Modèles traduits. ...................................................................................... 1225.7 Bilan <strong>de</strong> la traduction. ............................................................................... 128Chapitre 4 :Validation1 Objectifs <strong>de</strong> la validation. ................................................…………. 1291.1 Déroulem<strong>en</strong>t <strong>de</strong> la validation..................................................................... 1291.2 Validation du comportem<strong>en</strong>t <strong>de</strong>s disjoncteurs........................................... 1301.3 Validation <strong>de</strong>s mo<strong>de</strong>s d’analyse. ...............................................................1312 Comparaison logicielle....................................................………….. 1312.1 Choix <strong>de</strong>s logiciels <strong>de</strong> référ<strong>en</strong>ce................................................................ 1312.2 Schémas <strong>de</strong> tests...................................................................................... 1323 Banc <strong>de</strong> sélectivité <strong>de</strong>s protections.................................………….. 1403.1 Description du banc................................................................................... 1403.2 Schémas testés......................................................................................... 1413.3 Analyse théorique...................................................................................... 1423.4 Simulation <strong>de</strong>s schémas. .......................................................................... 1463.5 Mesures. ................................................................................................... 1483.6 Comparaison <strong>de</strong>s résultats........................................................................ 1513.7 Analyse <strong>de</strong>s différ<strong>en</strong>ces. ........................................................................... 1553.8 Conclusion. ............................................................................................... 1574 Banc d’essai évolutif. ......................................................………….. 1584.1 Description du banc................................................................................... 1584.2 Caractéristiques du banc........................................................................... 1614.3 Mesure du décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs. ............................................ 1634.4 Mesure du temps <strong>de</strong> réaction d'un relais................................................... 172Conclusion générale et perspectives…..…..177Référ<strong>en</strong>ces bibliographiques………….…181Annexes ………………….……185Résumé……………………..….211Lexique……………………..….213


Introductioninstallateurs électrici<strong>en</strong>s qui permett<strong>en</strong>t <strong>de</strong> déterminer les va<strong>leur</strong>s <strong>de</strong> courant <strong>de</strong> court-circuit et<strong>de</strong> proposer un dim<strong>en</strong>sionnem<strong>en</strong>t <strong>de</strong>s câbles et <strong>de</strong>s appareils <strong>de</strong> protection. Ces logiciels parmilesquels on peut citer Caneco ou Ecodial sont basés <strong>en</strong>tre autre sur la norme NFC 15-100[NFC98] qui définit les règles <strong>de</strong> réalisation d’installations électriques basse t<strong>en</strong>sion et lanorme NFC 15-500 qui détaille les principes <strong>de</strong> calculs à appliquer pour ces installations.L’utilisation <strong>de</strong> simulateurs appar<strong>en</strong>tés à Spice se retrouve toutefois dans <strong>de</strong>s domainespériphériques <strong>de</strong> l’électrotechnique, tels que l’électronique <strong>de</strong> puissance. On peut égalem<strong>en</strong>t<strong>en</strong> trouver <strong>de</strong>s applications à l’automatique, notamm<strong>en</strong>t pour la régulation et l’étu<strong>de</strong> <strong>de</strong> ladynamique <strong>de</strong>s moteurs comme avec Simplorer [SIM], bi<strong>en</strong> que l’on privilégie plutôtl’utilisation <strong>de</strong> logiciels plus dédiés comme MatLab – Simulink [MATL]. Mais ces logicielssembl<strong>en</strong>t mal adaptés aux <strong>circuits</strong> électrotechniques. En effet, ces <strong>circuits</strong> sont soumis à <strong>de</strong>fréqu<strong>en</strong>tes modifications <strong>de</strong> <strong>leur</strong> structure <strong>en</strong> raison du relayage ou du sectionnem<strong>en</strong>t quipeuv<strong>en</strong>t mettre <strong>en</strong> service ou non certaines parties d’une installation. D’une part, le temps quis’écoule <strong>en</strong>tre chacune <strong>de</strong> ces modifications suit le rythme <strong>de</strong>s activités humaines ou dufonctionnem<strong>en</strong>t <strong>de</strong>s machines et peut s’exprimer <strong>en</strong> minutes, <strong>en</strong> heures ou plus. D’autre part,les temps <strong>de</strong> réponse <strong>de</strong>s composants sont relativem<strong>en</strong>t faibles, <strong>de</strong> l’ordre <strong>de</strong> la millisecon<strong>de</strong> àquelques secon<strong>de</strong>s. Si on souhaite simuler l’évolution d’une telle installation au cours dutemps, il faut observer son comportem<strong>en</strong>t sur une durée relativem<strong>en</strong>t longue mais avec un pas<strong>de</strong> calcul suffisamm<strong>en</strong>t fin pour se rapprocher <strong>de</strong>s temps <strong>de</strong> réponse <strong>de</strong>s appareils.L’utilisation <strong>de</strong> logiciels <strong>de</strong> type Spice dans ce g<strong>en</strong>re <strong>de</strong> configuration conduit rapi<strong>de</strong>m<strong>en</strong>t à<strong>de</strong>s temps <strong>de</strong> calcul prohibitifs même pour <strong>de</strong>s installations relativem<strong>en</strong>t simples.Bi<strong>en</strong> que les besoins <strong>de</strong> <strong>simulation</strong> <strong>en</strong> électrotechnique soi<strong>en</strong>t différ<strong>en</strong>ts dans <strong>leur</strong> aspectindustriel <strong>de</strong> ceux <strong>de</strong>s électronici<strong>en</strong>s, le but <strong>de</strong> la <strong>simulation</strong> reste relativem<strong>en</strong>t proche, bi<strong>en</strong>qu’elle soit mise <strong>en</strong> oeuvre différemm<strong>en</strong>t. C’est par exemple l’ai<strong>de</strong> au dim<strong>en</strong>sionnem<strong>en</strong>t dumatériel, la vérification du fonctionnem<strong>en</strong>t séqu<strong>en</strong>tiel <strong>de</strong>s installations, la <strong>simulation</strong> <strong>de</strong>pannes ou <strong>de</strong> courts-<strong>circuits</strong>, le contrôle <strong>de</strong>s équipem<strong>en</strong>ts <strong>de</strong> protection. Ces besoins sontd’ail<strong>leur</strong>s r<strong>en</strong>forcés par <strong>de</strong> nouvelles normes et décrets plus exigeants quant à la fiabilité et àla sécurité <strong>de</strong>s installations.La <strong>simulation</strong> <strong>en</strong> électrotechnique ne concerne pas uniquem<strong>en</strong>t les industriels ou lesinstallateurs électrici<strong>en</strong>s. L’apport pédagogique <strong>de</strong> la <strong>simulation</strong> est indiscutable et s’appliquerelativem<strong>en</strong>t bi<strong>en</strong> à ce domaine. Les équipem<strong>en</strong>ts électrotechniques sont relativem<strong>en</strong>t coûteuxet dangereux étant donné les courants élevés qu’ils utilis<strong>en</strong>t. En utilisant un simulateur, un<strong>en</strong>seignant peut faire compr<strong>en</strong>dre à ses élèves le fonctionnem<strong>en</strong>t <strong>de</strong> certaines installationssans avoir à disposer du matériel. La <strong>simulation</strong> permet <strong>de</strong> se placer dans <strong>de</strong>s situationsdifficiles à réaliser ou dangereuses pour les personnes ou le matériel. Cet outil peut apporterégalem<strong>en</strong>t un complém<strong>en</strong>t pédagogique plus visuel à <strong>de</strong>s filières n’ayant ni le budget ni letemps d’investir dans du matériel.Il existe <strong>de</strong>s logiciels à vocation purem<strong>en</strong>t pédagogique tels que Schémaplic [FIT] ouCrocodile Clips [CRO] qui permett<strong>en</strong>t d’observer le comportem<strong>en</strong>t <strong>de</strong>s <strong>circuits</strong>. Mais ces<strong>de</strong>rniers ne pr<strong>en</strong>n<strong>en</strong>t <strong>en</strong> considération que les aspects logiques du fonctionnem<strong>en</strong>t, tels que lesétats logiques, la continuité du courant, etc. De plus, ils ne propos<strong>en</strong>t qu’une schématiquesommaire, très éloignée <strong>de</strong>s standards <strong>de</strong> la CAO électrique actuelle.Le travail prés<strong>en</strong>té dans ce mémoire concerne le développem<strong>en</strong>t d’un simulateur <strong>de</strong> circuitélectrotechnique et <strong>de</strong> modèles <strong>en</strong> <strong>vue</strong> <strong>de</strong> <strong>leur</strong> <strong>simulation</strong>. Il a débouché sur la création dusimulateur « Simul’Elec » commercialisé par l’éditeur <strong>de</strong> logiciels <strong>de</strong> CAO électriqueAlgo’Tech Informatique [ALG].2 / 213


IntroductionLes dirigeants d’Algo'Tech Informatique sont prés<strong>en</strong>ts dans le domaine <strong>de</strong> la CAO électrique<strong>de</strong>puis plus <strong>de</strong> 15 ans. Le marché <strong>de</strong> la schématique électrique <strong>en</strong> France est fortem<strong>en</strong>tconcurr<strong>en</strong>tiel. La démarche d’Algo'Tech Informatique est axée sur le développem<strong>en</strong>t <strong>de</strong>logiciels innovants et performants dans le but <strong>de</strong> satisfaire à <strong>de</strong>s besoins émergeants oucorrespondants à <strong>de</strong>s niches technologiques. Dans la lignée <strong>de</strong> son logiciel <strong>de</strong> schématiqueélectrique « Elec’View », Algo'Tech Informatique propose <strong>de</strong>s solutions originales et inéditescomme la génération automatique <strong>de</strong> schémas ou la reconnaissance intellig<strong>en</strong>te <strong>de</strong> planpapier.De sa forte connaissance <strong>de</strong>s besoins <strong>de</strong> ses cli<strong>en</strong>ts et <strong>de</strong> son goût <strong>de</strong> l’innovation, Algo'TechInformatique a initié, <strong>en</strong> 1998, le développem<strong>en</strong>t d’un prototype <strong>de</strong> simulateur <strong>de</strong> circuitélectrique SiCi. Fort <strong>de</strong> l’intérêt suscité auprès <strong>de</strong>s industriels et du corps <strong>en</strong>seignant, cettevoie <strong>de</strong> recherche a été explorée plus profondém<strong>en</strong>t par F. Seyler [SEY99] <strong>en</strong> 2000. Ce travail<strong>de</strong> recherche a permis <strong>de</strong> définir les besoins et les axes <strong>de</strong> recherche majeurs qui ont été mis<strong>en</strong> application dans les travaux prés<strong>en</strong>tés dans ce docum<strong>en</strong>t et développés <strong>en</strong> part<strong>en</strong>ariat avecAlgo'Tech Informatique à partir <strong>de</strong> 2001.Avec Simul’Elec, nous avons voulu proposer un outil <strong>de</strong> <strong>simulation</strong> complet mais simple àmanipuler qui puisse être utilisé aussi bi<strong>en</strong> dans un cadre industriel que pédagogique. Son butest <strong>de</strong> permettre d’étudier le fonctionnem<strong>en</strong>t d’un circuit avec <strong>de</strong>s mesures <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong>courant ainsi que <strong>de</strong> pouvoir suivre l’évolution séqu<strong>en</strong>tielle <strong>de</strong> son fonctionnem<strong>en</strong>t <strong>en</strong>reproduisant les états logiques <strong>de</strong>s différ<strong>en</strong>ts composants. Pour cela, nous avons développé unnoyau <strong>de</strong> <strong>simulation</strong> se basant sur une approche originale et adaptée aux <strong>circuits</strong>électrotechniques et aux utilisateurs visés. Le simulateur Simul’Elec offre <strong>de</strong> plus l’avantaged’être intégré à la solution <strong>de</strong> schématique d’Algo'Tech Informatique et <strong>de</strong> pouvoir traiter lesschémas réalisés <strong>en</strong> CAO avec son logiciel Elec’View, comme Pspice est intégré à la familleOrCAD.Le premier chapitre <strong>de</strong> ce docum<strong>en</strong>t prés<strong>en</strong>te un état <strong>de</strong> l’art <strong>de</strong> la <strong>simulation</strong> dans sonapplication à la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électriques ou <strong>de</strong> systèmes discrétisés. Il rec<strong>en</strong>secertaines techniques et concepts que nous avons mis <strong>en</strong> œuvre dans la réalisation dusimulateur. Nous évoquons notamm<strong>en</strong>t les principes <strong>de</strong> <strong>simulation</strong> <strong>de</strong> Spice ainsi quediffér<strong>en</strong>ts outils <strong>de</strong> <strong>simulation</strong> existant. Nous traitons égalem<strong>en</strong>t <strong>de</strong> la <strong>simulation</strong> parévénem<strong>en</strong>ts discrets dans ses différ<strong>en</strong>tes approches et techniques que nous avons exploitéesdans l’implém<strong>en</strong>tation <strong>de</strong> notre mo<strong>de</strong> d’analyse événem<strong>en</strong>tielle. Finalem<strong>en</strong>t, nousintroduisons les notions majeures <strong>de</strong> la programmation ori<strong>en</strong>tée objet, nécessaires à unemeil<strong>leur</strong>e compréh<strong>en</strong>sion <strong>de</strong>s argum<strong>en</strong>ts développés dans les chapitres suivants.Le chapitre 2 décrit les mo<strong>de</strong>s d’analyses <strong>de</strong> Simul’Elec. Ces trois mo<strong>de</strong>s d’analyse, <strong>en</strong>régime perman<strong>en</strong>t, fréqu<strong>en</strong>tiel et événem<strong>en</strong>tiel, se démarqu<strong>en</strong>t <strong>de</strong> ceux que l’on r<strong>en</strong>contrehabituellem<strong>en</strong>t dans les simulateurs plus ori<strong>en</strong>tés vers l’électronique. Nous y justifions noschoix <strong>de</strong> conception liés au contexte particulier <strong>de</strong> la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électrotechniques.Nous insistons particulièrem<strong>en</strong>t sur le mo<strong>de</strong> d’analyse événem<strong>en</strong>tielle pour soulignerl’originalité <strong>de</strong> son approche qui permet <strong>de</strong> simuler aussi bi<strong>en</strong> les va<strong>leur</strong>s <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong>courant dans le circuit que <strong>de</strong> pouvoir suivre l’évolution séqu<strong>en</strong>tielle <strong>de</strong> l’installation.Le troisième chapitre traite <strong>de</strong> l’importance <strong>de</strong> la modélisation dans ce travail <strong>de</strong> création d’unsimulateur. Nous abordons tout d’abord la démarche <strong>de</strong> modélisation que nous avons adoptéeet qui s’inscrit, comme le développem<strong>en</strong>t <strong>de</strong>s mo<strong>de</strong>s d’analyse, dans le contexte particulier <strong>de</strong>l’électrotechnique. Nous mettons <strong>en</strong> va<strong>leur</strong> l’emploi <strong>de</strong> la programmation ori<strong>en</strong>tée objet dans3 / 213


Chapitre 1 : Etat <strong>de</strong> l’artChapitre 1Etat <strong>de</strong> l’art.Avant l’apparition <strong>de</strong>s logiciels <strong>de</strong> CAO durant les années 60-70, la conception et lafabrication d'un système passait par la réalisation <strong>de</strong> prototypes successivem<strong>en</strong>t testés jusqu'àla version finale <strong>de</strong>stinée à la production. Le recours à la <strong>simulation</strong> a permis <strong>de</strong> prévoir, <strong>de</strong>vérifier et d’optimiser le comportem<strong>en</strong>t d'un circuit ou d’un système <strong>en</strong> économisant lafabrication coûteuse <strong>de</strong> prototypes.Dans un <strong>en</strong>vironnem<strong>en</strong>t CAO, on dispose <strong>de</strong> divers outils pour passer du concept à laréalisation <strong>de</strong>s <strong>circuits</strong>. Ces outils regroup<strong>en</strong>t les interfaces <strong>de</strong> saisie <strong>de</strong> schéma, lessimulateurs, les interfaces graphiques qui permett<strong>en</strong>t <strong>de</strong> visualiser les résultats obt<strong>en</strong>us , lesbibliothèques <strong>de</strong> modèles, les outils <strong>de</strong> vérification, etc. Le simulateur est un programme quicalcule les caractéristiques électriques d'un circuit dans <strong>de</strong>s conditions <strong>de</strong> fonctionnem<strong>en</strong>tdéfinies par l'utilisateur, et cela à partir <strong>de</strong> modèles définissant le comportem<strong>en</strong>t <strong>de</strong>scomposants.Le simulateur Simul’Elec, but <strong>de</strong> notre étu<strong>de</strong>, doit pouvoir traiter <strong>de</strong>s <strong>circuits</strong>électrotechniques <strong>en</strong> pr<strong>en</strong>ant <strong>en</strong> considération le comportem<strong>en</strong>t analogique du circuit(variations <strong>de</strong> t<strong>en</strong>sions et <strong>de</strong> courants) ainsi que son évolution séqu<strong>en</strong>tielle au court du temps.Nous nous sommes donc intéressés <strong>de</strong> près aux différ<strong>en</strong>tes techniques <strong>de</strong> modélisation et <strong>de</strong><strong>simulation</strong> classiquem<strong>en</strong>t utilisées <strong>en</strong> électricité ainsi qu’au principe <strong>de</strong> la programmationobjet nécessaire à la création <strong>de</strong> l’outil <strong>de</strong> <strong>simulation</strong>.Le programme <strong>de</strong> l'Université <strong>de</strong> Berkeley, SPICE (Simulation Program with IntegratedCircuit Emphasis), est considéré comme un standard <strong>en</strong> matière <strong>de</strong> <strong>simulation</strong> analogique[Nag75]. Nous prés<strong>en</strong>terons donc tout d’abord dans ce chapitre les principes utilisés par cesimulateur, puis un panorama <strong>de</strong>s simulateurs du commerce les plus utilisés aujourd’hui, avec<strong>leur</strong>s avantages et <strong>leur</strong>s inconvéni<strong>en</strong>ts. Enfin nous prés<strong>en</strong>tons un panorama <strong>de</strong>s techniques et<strong>de</strong> concepts que nous avons employés ou auxquels nous faisons référ<strong>en</strong>ce aussi bi<strong>en</strong> dans ledéveloppem<strong>en</strong>t <strong>de</strong>s mo<strong>de</strong>s d’analyse que dans la modélisation <strong>de</strong>s composants électriques.5 / 213


Chapitre 1 : Etat <strong>de</strong> l’art1 Simulateurs analogiques <strong>de</strong> type SPICE.La plupart <strong>de</strong>s simulateurs analogiques actuels sont basés sur le logiciel SPICE [SP1]. Ce type<strong>de</strong> simulateur permet <strong>de</strong> simuler <strong>de</strong>s <strong>circuits</strong> à base <strong>de</strong> composants exclusivem<strong>en</strong>t analogiquesayant <strong>de</strong>s caractéristiques linéaires ou non. SPICE est aujourd’hui un standard <strong>de</strong> faitinternational pour la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électroniques utilisé aussi bi<strong>en</strong> par lesuniversitaires que par les industriels.1.1 Démarche <strong>de</strong> résolution.Le but d’une telle <strong>simulation</strong> est d’obt<strong>en</strong>ir les va<strong>leur</strong>s <strong>de</strong> tous les courants et t<strong>en</strong>sions <strong>en</strong> touspoints d’un circuit électrique. Pour cela, le simulateur dispose d’un modèle du circuit basé surles modèles <strong>de</strong> chacun <strong>de</strong> ses composants et <strong>de</strong> <strong>leur</strong>s interconnections. Il exploite ce modèlepour <strong>en</strong> extraire un système d’équations qu’il sera à même <strong>de</strong> résoudre.Modèle du circuitSystème d’équations différ<strong>en</strong>tiellesSystème d’équations non linéairesSystème d’équations linéairesSystème résolu :va<strong>leur</strong>s <strong>de</strong>s t<strong>en</strong>sions et courantsMétho<strong>de</strong> <strong>de</strong> <strong>de</strong>scription ducircuitMétho<strong>de</strong> d’approximation <strong>de</strong>sdérivées :intégration numériqueMétho<strong>de</strong> itérative <strong>de</strong>linéarisationMétho<strong>de</strong> <strong>de</strong> résolution dusystèmeFig. 1Démarche <strong>de</strong> résolution, modèle vers solution.1.2 Principe <strong>de</strong> <strong>de</strong>scription <strong>de</strong>s <strong>circuits</strong>.Un circuit électrique peut être décrit par un système d’équations comportant autantd’équations que d’inconnues (généralem<strong>en</strong>t les t<strong>en</strong>sions). Les composants non linéaires sontmodélisés par <strong>de</strong>s élém<strong>en</strong>ts simples ou par une fonction <strong>de</strong> transfert qui doiv<strong>en</strong>t être intégrésdans ce système. L’approche classique <strong>de</strong> ce type <strong>de</strong> problème est l’Analyse Nodale (AN). Lamétho<strong>de</strong> utilisée par Spice <strong>en</strong> est une adaptation pour la <strong>de</strong>scription <strong>de</strong> circuit électrique. Onparle alors d’Analyse Nodale Modifié (ANM) [LIT97].6 / 213


Chapitre 1 : Etat <strong>de</strong> l’art1.2.1 Analyse Nodale.On peut définir la topologie d’un circuit par une succession <strong>de</strong> nœuds et <strong>de</strong> branches : Les branches port<strong>en</strong>t les composants et sont connectées à 2 nœuds (1 à chaque extrémité). Les nœuds réalis<strong>en</strong>t les connections <strong>en</strong>tre les différ<strong>en</strong>tes branches.Chaque branche est traversée par un courant et chaque nœud est porté à un certain pot<strong>en</strong>tiel.Un <strong>de</strong>s nœuds est choisi comme nœud <strong>de</strong> référ<strong>en</strong>ce et porte le pot<strong>en</strong>tiel 0.L’approche <strong>de</strong> l’analyse nodale, consiste à exprimer les relations courants – t<strong>en</strong>sions <strong>de</strong>chaque branche au moy<strong>en</strong> les lois <strong>de</strong> Kirchhoff (loi <strong>de</strong>s mailles et loi <strong>de</strong>s nœuds) et <strong>de</strong> la loid’Ohm sous la forme, dans le cas d’une branche résistive :G AB .(v A – v B ) = i AB(1.1)ou dans le cas <strong>de</strong> source <strong>de</strong> courant.AG ABi ABBI=i 12 .AIi ABB(1.2)v Av Bv Av BFig. 2Expression <strong>de</strong>s relations nœuds – branches : admittance et source <strong>de</strong> courantOn peut exprimer cette relation sous forme matricielle :G . v = i(1.3)Soit :G AB-G ABv Ax =-G AB G ABv Bi ABi AB(1.4)Pour chaque composant, on réalise cette <strong>de</strong>scription <strong>en</strong> regroupant les facteurs communs dans3 matrices globales qui représ<strong>en</strong>tera le système d’équations décrivant tout le circuit. Cesystème d’équations comporte N équations à N inconnues. N est la dim<strong>en</strong>sion <strong>de</strong>s matrices etle nombre <strong>de</strong> nœuds du circuit –1 car le nœud <strong>de</strong> référ<strong>en</strong>ce n’est pas pris <strong>en</strong> compte (il sert <strong>de</strong>référ<strong>en</strong>ce aux autres nœuds). Chaque ligne <strong>de</strong>s matrices correspond à un nœud du circuit.Ainsi, les courants constitu<strong>en</strong>t l’excitation du circuit qui est connue alors que les t<strong>en</strong>sions sontles va<strong>leur</strong>s à déterminer par la résolution. Toutefois cette métho<strong>de</strong> <strong>de</strong> <strong>de</strong>scription n’est vali<strong>de</strong>que si on peut décrire les composants à partir d’élém<strong>en</strong>ts <strong>de</strong>s matrices G et i, c’est à dire <strong>de</strong>stermes d’admittances et d’excitations (courants).Pour décrire <strong>de</strong>s sources réelles <strong>de</strong> t<strong>en</strong>sion, il faut les transformer <strong>en</strong> sources réelles <strong>de</strong>courant pour quelle soit intégrés comme <strong>de</strong>s excitations.RERI =E/RFig. 3Source <strong>de</strong> t<strong>en</strong>sion réelle et son circuit équival<strong>en</strong>t.7 / 213


Chapitre 1 : Etat <strong>de</strong> l’art1.2.2 Analyse Nodale Modifiée.Le but <strong>de</strong> la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> est <strong>de</strong> pouvoir simuler le plus grand nombre <strong>de</strong> composantsdiffér<strong>en</strong>ts. L’analyse Nodale permet <strong>de</strong> décrire correctem<strong>en</strong>t <strong>de</strong>s <strong>circuits</strong> à base <strong>de</strong> source <strong>de</strong>courant et d’admittance, mais elle se heurte à quelques difficultés lorsqu’il s’agit <strong>de</strong> décrire<strong>de</strong>s sources <strong>de</strong> t<strong>en</strong>sions parfaites.Une source <strong>de</strong> t<strong>en</strong>sion est décrite par la relation :v A – v B = E ABOn ne trouve aucune variable <strong>de</strong> courant dans cette équation et on ne peut donc pas formulerla loi <strong>de</strong>s nœuds pour établir le système d’équations.On a vu précé<strong>de</strong>mm<strong>en</strong>t que l’on peut modéliser une source <strong>de</strong> t<strong>en</strong>sion parfaite sous forme <strong>de</strong>source <strong>de</strong> courant équival<strong>en</strong>te à condition <strong>de</strong> l’associer à une admittance <strong>en</strong> série. Cela ne peutêtre fait que si on dispose déjà <strong>de</strong> cette admittance dans le circuit. Une solution alternative estl’introduction d’un giratoire idéal <strong>de</strong> rapport <strong>de</strong> proportionnalité 1.Définition du girateur idéal :Bi-porte passif non réciproque conservant la puissance instantanée et tel que la t<strong>en</strong>sioninstantanée à chaque porte est proportionnelle au courant instantané à l'autre porte.L'impédance <strong>vue</strong> d'une porte est proportionnelle à l'admittance <strong>de</strong> fermeture <strong>de</strong> l'autre porte.Cela se traduit par les relations électriques suivantes :v1 = K.i2 et v2 = K.i1(1.5)(1.6)Ai 1AEv 1v 2i = E i 2 = 1.v 1i 1 = 1.v 2Bv 1BFig. 4 Equival<strong>en</strong>ce d’une source <strong>de</strong> t<strong>en</strong>sion parfaite et d’un girateur +source <strong>de</strong> courant .On peut ainsi, remplacer une source <strong>de</strong> t<strong>en</strong>sion parfaite par ce circuit équival<strong>en</strong>t ce qui permetd’introduire une nouvelle variable <strong>de</strong> courant dép<strong>en</strong>dante <strong>de</strong> la t<strong>en</strong>sion <strong>de</strong> la source.Cette idée décrite par [LIT97] est appelée analyse nodale modifiée. Elle constitue la métho<strong>de</strong>la plus couramm<strong>en</strong>t employée dans ces programmes.Suite à cette modification <strong>de</strong> l’analyse, la structure <strong>de</strong>s matrices se trouve modifiée car on aintroduit <strong>de</strong> nouvelles branches et <strong>de</strong> nouveaux nœuds. Le système n’est plus <strong>de</strong> dim<strong>en</strong>sion N(N+1 = nombre <strong>de</strong> nœuds). On passe alors d’un système :G.v = iGirateur idéal(1.7)A un système :A.x = z(1.8)8 / 213


Chapitre 1 : Etat <strong>de</strong> l’artoùSoitG BviA = , x = et z =C DjeG.v + B.j = i et C.v + D.j = e(1.9)(1.10)Où : G, v et i sont respectivem<strong>en</strong>t les matrices admittances (dim<strong>en</strong>sion NxN), vecteurs t<strong>en</strong>sions(Nx1) et courants (Nx1) que l’on obti<strong>en</strong>t avec une analyse nodale classique. B, C et D sont respectivem<strong>en</strong>t les matrices <strong>de</strong> dim<strong>en</strong>sion NxM, MxN et MxM où M est l<strong>en</strong>ombre <strong>de</strong> nouvelles variables <strong>de</strong> courant. Leurs élém<strong>en</strong>ts réalis<strong>en</strong>t la liaison <strong>en</strong>tre lesanci<strong>en</strong>nes variables t<strong>en</strong>sions <strong>de</strong>s nœuds et variables <strong>de</strong> courants et avec les nouvellesvariables <strong>de</strong> courants et les nouveaux nœuds. j est le vecteur (Mx1) <strong>de</strong>s courants <strong>de</strong>s nouvelles branches. e est le vecteur (Mx1) <strong>de</strong>s excitations dues aux sources <strong>de</strong> t<strong>en</strong>sions.Ce principe est applicable non seulem<strong>en</strong>t pour décrire une source <strong>de</strong> t<strong>en</strong>sion parfaite maiségalem<strong>en</strong>t pour tous les composants qui nécessit<strong>en</strong>t d’introduire <strong>de</strong> nouvelles variables <strong>de</strong>courants (certaines sources contrôlées, transformateurs …).1.3 Modèles <strong>de</strong> composantsPour mettre <strong>en</strong> œuvre une telle analyse, il faut définir pour chaque composant un modèle sousforme matricielle. Ce modèle permet <strong>de</strong> déterminer les cases du systèmes <strong>de</strong> matrices que vamodifier le composant. On utilise une représ<strong>en</strong>tation sous forme <strong>de</strong> table appelée tampon quine prés<strong>en</strong>te que les va<strong>leur</strong>s que l’on va ajouter aux matrices.1.3.1 Source <strong>de</strong> t<strong>en</strong>sion parfaite E kj .On retrouve ici le tampon exprimant les relations courants - t<strong>en</strong>sions résultant <strong>de</strong> latransformation <strong>de</strong> la source au moy<strong>en</strong> d’un gyrateur (fig 3). Sa structure est la suivante : Les cases blanches correspon<strong>de</strong>nt aux données que l’on va ajouter dans les matrices et lescases grises indiqu<strong>en</strong>t <strong>leur</strong> position. Les <strong>de</strong>ux première lignes (A et B) indiqu<strong>en</strong>t <strong>de</strong>s cases relatives aux nœuds A et B ducomposant. La <strong>de</strong>rnière ligne (Brch) spécifie qu’une nouvelle branche a été rajoutée afin <strong>de</strong> pouvoirintroduire une variable <strong>de</strong> courant. Les 3 premières colonnes concern<strong>en</strong>t la matrices admittances (nœuds A, B et branche). La <strong>de</strong>rnière colonnes représ<strong>en</strong>te le vecteur second membre (excitations).v A v B i 1 VSMA 1B -1Brch 1 -1 E kjTab. 1 tampon d’une source <strong>de</strong> t<strong>en</strong>sion parfaite.9 / 213


Chapitre 1 : Etat <strong>de</strong> l’art1.3.2 Source <strong>de</strong> courant parfaite I AB .Une source <strong>de</strong> courant parfaite n’introduit aucun terme d’admittance, uniquem<strong>en</strong>t <strong>de</strong>s va<strong>leur</strong>sd’excitation pour chacun <strong>de</strong> ses 2 nœuds (courants <strong>en</strong>trant et sortant).1.3.3 Admittance.v A v B VSMA -i ABB i ABTab. 2 tampon d’une source <strong>de</strong> courant parfaite.Ce tampon permet <strong>de</strong> définis les trois types <strong>de</strong> composants fondam<strong>en</strong>taux : résistance,con<strong>de</strong>nsateur et inductance <strong>en</strong> adaptant la va<strong>leur</strong> <strong>de</strong> G AB au composant : Pour une résistance R : G AB = 1/ R. Pour une inductance L : G AB = 1 / ( pL) Pour un con<strong>de</strong>nsateur C : G AB = pC.v A v B VSMA G AB -G ABB -G AB G ABTab. 3 tampon d’une admittance quelconque.1.3.4 Transformateur idéal.(1.11)(1.12)(1.13)Si m est le rapport <strong>de</strong> transformation, ce tampon traduit les relations suivantes :V 1 = V 2 / m et I 1 =- I 2 .m(1.14)iA 1 i 2Cv 1 v 2BFig. 5 Transformateur idéal.Dv A v B v C v D i 1 i 2 VSM1-11-1A 1 -1 -1/m 1/mB 1/m 1Tab. 4 tampon d’un transformateur idéal.10 / 213


Chapitre 1 : Etat <strong>de</strong> l’art1.4 Mo<strong>de</strong>s d’analyses <strong>de</strong> SPICE.Dans chaque mo<strong>de</strong> d’analyse [VLA81], on doit obt<strong>en</strong>ir la solution d’un système d’équationsdécrivant le comportem<strong>en</strong>t d’un circuit. Selon le mo<strong>de</strong> d’analyse et les options ret<strong>en</strong>ues parl’utilisateur, on <strong>de</strong>vra calculer plusieurs solutions correspondantes à différ<strong>en</strong>tesapproximations ou états du circuit. Dans tous les cas, la démarche pour obt<strong>en</strong>ir le résultat <strong>en</strong>partant du modèle du circuit est la même (Fig. 1). La différ<strong>en</strong>ce <strong>en</strong>tre les mo<strong>de</strong>s d’analyserési<strong>de</strong> dans l’approximation que l’on va faire pour obt<strong>en</strong>ir un système d’équations non linéaireà partir d’un système d’équations différ<strong>en</strong>tielles.1.4.1 Analyse DC-OP : point <strong>de</strong> fonctionnem<strong>en</strong>t.Le simulateur calcule uniquem<strong>en</strong>t les t<strong>en</strong>sions et courants continus. Ce type d’analyse estparticulièrem<strong>en</strong>t adapté au cas <strong>de</strong>s <strong>circuits</strong> électroniques. Elle permet notamm<strong>en</strong>t <strong>de</strong> trouverles points <strong>de</strong> fonctionnem<strong>en</strong>t <strong>de</strong> composants non-linéaires tels que les transistors au moy<strong>en</strong> <strong>de</strong>métho<strong>de</strong>s itératives (Newton-Raphson, relaxation…) . Pour ce mo<strong>de</strong> d’analyse, les matricesne sont r<strong>en</strong>seignées qu’avec <strong>de</strong>s va<strong>leur</strong>s réelles. Les selfs sont remplacées par <strong>de</strong>s Courts<strong>circuits</strong>et les con<strong>de</strong>nsateurs par <strong>de</strong>s <strong>circuits</strong> ouverts. Cette analyse est notamm<strong>en</strong>t utilisée afin<strong>de</strong> déterminer les conditions initiales d’un circuit pour l’analyse transitoire et avant l’analyseAC (petits signaux) pour calculer les modèles linéarisés <strong>de</strong> composants non linéaires.1.4.2 Analyse Balayage DC.Pour ce type d’analyse, on fait varier une source <strong>de</strong> t<strong>en</strong>sion (ou <strong>de</strong> courant) continue selon uneplage <strong>de</strong> va<strong>leur</strong>s et un pas définis par l’utilisateur. Pour chaque point <strong>de</strong> l’analyse, lesimulateur calcule un point <strong>de</strong> fonctionnem<strong>en</strong>t différ<strong>en</strong>t (DC-OP) <strong>en</strong> utilisant une métho<strong>de</strong>itérative, ce qui <strong>en</strong> fait une analyse coûteuse <strong>en</strong> temps <strong>de</strong> calcul.1.4.3 Analyse AC : étu<strong>de</strong> fréqu<strong>en</strong>tielle linéaire.Après un premier calcul d’un point <strong>de</strong> fonctionnem<strong>en</strong>t, le simulateur effectue un balayagefréqu<strong>en</strong>tiel <strong>en</strong> petit signaux. Les modèles <strong>de</strong>s composants sont remplacés par <strong>de</strong>s modèleslinéarisés correspondant à ce point <strong>de</strong> fonctionnem<strong>en</strong>t. Pour ce type d’analyse, les matricessont r<strong>en</strong>seignées avec <strong>de</strong>s va<strong>leur</strong>s complexes qui pr<strong>en</strong>n<strong>en</strong>t <strong>en</strong> compte les notions d’amplitu<strong>de</strong>et <strong>de</strong> phase. Le simulateur résout le système d’équations pour chaque fréqu<strong>en</strong>ce <strong>de</strong> l’analyse<strong>en</strong> recalculant toutes les admittances <strong>de</strong>s composants liées à la pulsation.Cette analyse étant linéaire, elle ne nécessite pas <strong>de</strong> métho<strong>de</strong> itérative ce qui la r<strong>en</strong>d bi<strong>en</strong> plusrapi<strong>de</strong> qu’une analyse balayage DC ayant le même nombre <strong>de</strong> points <strong>de</strong> calcul. Le résultat <strong>de</strong>cette analyse est généralem<strong>en</strong>t une fonction <strong>de</strong> transfert (gain <strong>en</strong> t<strong>en</strong>sion). Cette analysepermet égalem<strong>en</strong>t <strong>de</strong> pr<strong>en</strong>dre <strong>en</strong> compte certains bruit, <strong>en</strong> simulant notamm<strong>en</strong>t la génération<strong>de</strong> bruit blanc par <strong>de</strong>s résistances ou <strong>de</strong>s semi-conducteurs.1.4.4 Analyse transitoire TRAN.Le terme d’analyse transitoire est généralem<strong>en</strong>t utilisé pour le calcul <strong>de</strong> la réponse d’un circuitélectronique dans le domaine temporel. Cette désignation provi<strong>en</strong>t <strong>de</strong> l’utilisation classiqued’une fonction échelon pour caractériser un circuit dans le domaine temporel. Avec ce typed’excitation, le circuit bascule d’un état stable à un autre état stable d’où le terme transitoire.Or c’est un abus <strong>de</strong> langage que <strong>de</strong> l’employer pour une excitation arbitraire. On <strong>de</strong>vraitplutôt parler d’analyse dans le domaine temporel mais ce terme est toutefois <strong>de</strong>v<strong>en</strong>u standard.11 / 213


Chapitre 1 : Etat <strong>de</strong> l’artCette analyse permet d’obt<strong>en</strong>ir l’évolution temporelle <strong>de</strong> chaque t<strong>en</strong>sion et courant surune durée prédéterminée. L’analyse comm<strong>en</strong>ce par le calcul d’un point <strong>de</strong> fonctionnem<strong>en</strong>tcorrespondant à l’instant t= 0 et qui permet <strong>de</strong> traduire les conditions initiales du circuit.Ensuite, on obti<strong>en</strong>t une série <strong>de</strong> points à intervalle défini par l’utilisateur afin <strong>de</strong> constituerune sortie fonction du temps. Pour les points suivants, le traitem<strong>en</strong>t d’analyse est différ<strong>en</strong>t. Onintègre les impédances <strong>de</strong>s con<strong>de</strong>nsateurs et <strong>de</strong>s selfs (dép<strong>en</strong>dantes du temps) sous forme <strong>de</strong><strong>circuits</strong> équival<strong>en</strong>ts résistifs . Ces <strong>circuits</strong> sont décrits par <strong>de</strong>s équations différ<strong>en</strong>tielles et sontsimplifiés par <strong>de</strong>s métho<strong>de</strong>s d’approximation <strong>de</strong>s dérivées (voir 1-4) dont la plus courante est« Euler arrière ».2 Quelques simulateurs <strong>de</strong> circuit électrique.Il existe une gamme <strong>de</strong> simulateurs qui permet <strong>de</strong> simuler différ<strong>en</strong>ts types <strong>de</strong> <strong>circuits</strong>électriques. Cette offre couvre ess<strong>en</strong>tiellem<strong>en</strong>t la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électroniques aussibi<strong>en</strong> analogique que numérique ou mixte. On r<strong>en</strong>contre égalem<strong>en</strong>t <strong>de</strong>s logiciels dont lavocation est plutôt pédagogique, faciles à utiliser, mais ne prés<strong>en</strong>tant pas un intérêtsci<strong>en</strong>tifique évi<strong>de</strong>nt. Avant <strong>de</strong> démarrer tout travail <strong>de</strong> développem<strong>en</strong>t, nous avons dressé uninv<strong>en</strong>taire <strong>de</strong>s outils <strong>de</strong> <strong>simulation</strong> disponibles afin <strong>de</strong> pouvoir nous donner un <strong>en</strong>semble <strong>de</strong>logiciels existants, <strong>de</strong> <strong>leur</strong>s défauts et avantages pour pouvoir <strong>en</strong> tirer les axes <strong>de</strong>développem<strong>en</strong>t <strong>de</strong> Simul’Elec.Nom du logiciel Développeur DescriptionPSpiceOrcadSimulateur Spice <strong>de</strong> <strong>circuits</strong> électroniques analogiques ounumériqueshttp://www.orcad.com/products/pspice/Psim PowersimSimulateur <strong>de</strong> <strong>circuits</strong> électroniques <strong>de</strong> puissance et <strong>de</strong> contrôlemoteurhttp://www.powersimtech.com/Winecad MicrelecSimulateur Spice <strong>de</strong> <strong>circuits</strong> électroniques analogiques ounumériqueshttp://glao.<strong>de</strong>zai.free.fr/Simplorer AnsoftSimulateur <strong>de</strong> <strong>circuits</strong> électroniques et électromécaniqueshttp://www.ansoft.com/products/em/simplorer/DXPTkgateElectricSchemaplicProtelSimulateur <strong>de</strong> <strong>circuits</strong> électroniques analogiques ou numériqueshttp://www.protel.com/Logiciel <strong>de</strong> <strong>simulation</strong> électronique gratuit, sources libres (lic<strong>en</strong>seJeffery P. Hans<strong>en</strong> GNU)http://www-2.cs.cmu.edu/~hans<strong>en</strong>/tkgate/Logiciel <strong>de</strong> <strong>simulation</strong> électronique et électrique créé <strong>en</strong> 1982 parStev<strong>en</strong> M. Rubin et développé à l'origine sous Linux, sourcesStev<strong>en</strong> M. Rubinlibres (lic<strong>en</strong>se GNU)http://www.gnu.org/software/electric/FitecLogiciel <strong>de</strong> conception et <strong>de</strong> <strong>simulation</strong> <strong>de</strong> schémas électriqueshttp://www.fitec.fr/interactif.htm12 / 213


Chapitre 1 : Etat <strong>de</strong> l’art2.1 PSpice (Orcad) :Pspice utilise le noyau <strong>de</strong> calcul Spice sous Windows avecOrcad [ORC]. Le module <strong>de</strong> <strong>simulation</strong> permet <strong>de</strong> visualiser lest<strong>en</strong>sions, courants, différ<strong>en</strong>ces <strong>de</strong> pot<strong>en</strong>tiels <strong>en</strong> mo<strong>de</strong> temporel et<strong>de</strong> visualiser les diagrammes <strong>de</strong> Bo<strong>de</strong>, Black et Nyquist <strong>en</strong> mo<strong>de</strong>fréqu<strong>en</strong>tiel.Fig. 6 Montage sousPSpice20-010-20-400-60--801.0Hz 3.0Hz 10Hz 30Hz 100Hz 300Hz 1.0KHzFreq-0s20ms 40ms 60ms 80ms 100ms 120ms 140ms 160ms 180ms200msDB((V(C1:1)- V(C1:2))/V(V1:+))DB((V(R1:1)- V(R1:2))/V(V1:+))TimeDB((V(L1:1)- V(L1:2))/V(V1:+))Fig. 7 Analyse temporelle sous PSpiceFig. 8 Analyse fréqu<strong>en</strong>tielle sous PSpice2.2 Psim (Powersim) :Psim est un logiciel qui possè<strong>de</strong> un noyau Spice, son utilisation est exactem<strong>en</strong>t la mêmeque celle <strong>de</strong> PSpice, ses défauts sont que seule la <strong>simulation</strong> temporelle est disponible etque l’outil <strong>de</strong> visualisation <strong>de</strong>s résultats est très rudim<strong>en</strong>taire.Fig. 9 Montage sous PsimFig. 10 Analyse temporelle sous Psim13 / 213


Chapitre 1 : Etat <strong>de</strong> l’art2.3 Simplorer (Ansoft) :Fig. 11 Montage sous SimplorerSimplorer [SIM] est un logiciel qui compr<strong>en</strong>dplusieurs modules. Schematic permet <strong>de</strong>réaliser <strong>de</strong>s schémas <strong>de</strong> <strong>circuits</strong> électriques quipeuv<strong>en</strong>t être simulés dans la même feuille.Différ<strong>en</strong>ts types d'analyse sont disponiblesdans ce module (diagramme <strong>de</strong> Bo<strong>de</strong> ouNyquist) mais il est égalem<strong>en</strong>t possibled'afficher les va<strong>leur</strong>s <strong>de</strong> ces <strong>simulation</strong>s dansun tableau.La <strong>simulation</strong> lancée, les résultats s’affich<strong>en</strong>t au fur et à mesure dans les cadresadéquats comme le montr<strong>en</strong>t les figures pages suivantes.Fig. 12 Analyse temporelle sous SimplorerFig. 13 Analyse fréqu<strong>en</strong>tielle sousSimplorerPour récupérer les points <strong>de</strong> calcul <strong>de</strong>s courbes et avoir une meil<strong>leur</strong>e visualisation <strong>de</strong>srésultats, on lance le module "Day" qui permet l’analyse <strong>de</strong> tous les <strong>circuits</strong>.L’exportation <strong>de</strong> ces résultats pour les visualiser dans un tab<strong>leur</strong> comme par exemple Excelest possible sous le module "Day".2.4 WinEcad (Micrelec) :Micrelec rassemble plusieurs logiciels : Winschem (logiciel <strong>de</strong> DAO), Xsymbol (permet <strong>de</strong>modifier ou créer <strong>de</strong>s composants), WinEcad (logiciel <strong>de</strong> <strong>simulation</strong>).Sur le modèle <strong>de</strong> Spice, on visualise une forme générale <strong>de</strong> courbe, mais les résultats sontdifficilem<strong>en</strong>t exploitables à cause <strong>de</strong> l’imprécision <strong>de</strong>s courbes.Fig. 14 Montage sous MicrelecFig. 15 Analyse temporelle sousMicrelec14 / 213


Chapitre 1 : Etat <strong>de</strong> l’art2.5 DXP (Protel)Ce logiciel semble très complet. En effet,il propose une solution intéressante pourla conception et la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong>électroniques. Il offre une interfacegraphique conviviale et originale.Son module <strong>de</strong> <strong>simulation</strong> est basé surSpice3f5 et Xspice.Fig. 16 Montage sous DXP (Protel)Fig. 17 Analyse temporelle sous DXP(Protel)Fig. 18 Analyse fréqu<strong>en</strong>tielle sous DXP(Protel)2.6 Schemaplic (Fitec)Fig. 19 Montage sous SchemaplicSchemaplic est un logiciel pédagogique <strong>de</strong>visualisation d’états logiques et non pas unlogiciel <strong>de</strong> <strong>simulation</strong> analogique.Les composants ne peuv<strong>en</strong>t pas êtreparamétrés, on ne peut pas choisir la va<strong>leur</strong><strong>de</strong>s résistances par exemple. Il estimpossible <strong>de</strong> crée un court circuit sansque le logiciel n’affiche un messaged’erreur.15 / 213


Chapitre 1 : Etat <strong>de</strong> l’art3 Simulation par Evénem<strong>en</strong>ts Discrets.La SED traite <strong>de</strong>s instants particuliers du temps <strong>en</strong> le discrétisant. On considère qu’<strong>en</strong>tre <strong>de</strong>uxinstants successifs du temps discret l’état du système n’évolue pas. De nombreux simulateurssont basés sur ce principe réducteur et obti<strong>en</strong>n<strong>en</strong>t <strong>de</strong>s résultats satisfaisants. Il existe troisapproches qui correspon<strong>de</strong>nt chacune à un point <strong>de</strong> <strong>vue</strong> sur le système simulé. Nous noussommes intéressés plus particulièrem<strong>en</strong>t aux <strong>de</strong>ux plus répandues : l’approche ori<strong>en</strong>téeévénem<strong>en</strong>ts dont nous nous sommes inspirés et l’approche ori<strong>en</strong>tée processus qui est utiliséedans <strong>de</strong> nombreux simulateurs électroniques mixtes et dont nous avons intégré certainsprincipes dans la solution que nous proposons dans le Chapitre 2.16 / 2133.1 Approches <strong>de</strong> la <strong>simulation</strong> par événem<strong>en</strong>ts discrets.Pour la <strong>simulation</strong> par événem<strong>en</strong>ts discrets, un système va être constitué d’objets, d’acteurs etd’activités (ou tâches) [ERA96]. Les acteurs réalis<strong>en</strong>t certaines activités p<strong>en</strong>dant lesquelles ilsmanipul<strong>en</strong>t les objets. L’activation <strong>de</strong>s tâches est définie par <strong>de</strong>s événem<strong>en</strong>ts. Les processusd’un système correspon<strong>de</strong>nt à ses différ<strong>en</strong>tes tâches actives. L’activité d’une <strong>de</strong> ces tâchespeut être dép<strong>en</strong>dante <strong>de</strong> l’activité d’une autre comme dans une relation cli<strong>en</strong>t serveur.Pour pr<strong>en</strong>dre un exemple simple, nous pouvons considérer une station <strong>de</strong> lavage <strong>de</strong> voiture.Les objets manipulés sont les véhicules, les acteurs sont les différ<strong>en</strong>tes machines <strong>de</strong> la station<strong>de</strong> lavage et son personnel. Les activités sont les différ<strong>en</strong>tes opérations que chaque machineou opérateur peut réaliser. Pour simuler un tel système, on peut pr<strong>en</strong>dre différ<strong>en</strong>ts points <strong>de</strong><strong>vue</strong> <strong>en</strong> fonction du type d’élém<strong>en</strong>t (objet, acteur ou activité) que l’on place au c<strong>en</strong>tre <strong>de</strong> la<strong>simulation</strong>.Il existe donc 3 approches majeures qui correspon<strong>de</strong>nt chacune à ces différ<strong>en</strong>ts points <strong>de</strong> <strong>vue</strong>sur le système à simuler [GAR01] : Balayage <strong>de</strong>s activités. Planification d’événem<strong>en</strong>ts. Interaction <strong>de</strong> processus (tâches actives).Les différ<strong>en</strong>ces <strong>en</strong>tre ces approches sont liées à la manière dont on va décomposer le système<strong>en</strong> différ<strong>en</strong>ts blocs qui représ<strong>en</strong>t<strong>en</strong>t l’unité conceptuelle <strong>de</strong> la <strong>simulation</strong>. Le tableau Tab. 5ci-<strong>de</strong>ssous résume les principales nuances existant <strong>en</strong>tre ces techniques.Approche <strong>de</strong><strong>simulation</strong>Balayage <strong>de</strong>sactivités.Planificationd’événem<strong>en</strong>ts.Interaction <strong>de</strong>processus.Elém<strong>en</strong>ttraitéUnité conceptuelle<strong>de</strong> la <strong>simulation</strong>Actions liées Exécution <strong>de</strong> l’actionActivité Activité Séqu<strong>en</strong>ce Conditionnelled’activitéObjet Evénem<strong>en</strong>t Notice Selon les événem<strong>en</strong>tsd’événem<strong>en</strong>t planifiésActeur Processus interactifs Tache active Activation duprocessusTab. 5 Différ<strong>en</strong>tes approches <strong>de</strong> la <strong>simulation</strong> par événem<strong>en</strong>t discret.Ces concepts peuv<strong>en</strong>t paraître relativem<strong>en</strong>t proches, car ils permett<strong>en</strong>t chacun <strong>de</strong> simuler unsystème constitué <strong>de</strong>s mêmes élém<strong>en</strong>ts (activité, acteur et outils). C’est ess<strong>en</strong>tiellem<strong>en</strong>t <strong>leur</strong>implém<strong>en</strong>tation qui utilisera <strong>de</strong>s techniques informatiques différ<strong>en</strong>tes. Dans la pratique, onr<strong>en</strong>contre presque uniquem<strong>en</strong>t les <strong>de</strong>ux <strong>de</strong>rnières techniques que l’on qualifiera <strong>de</strong> <strong>simulation</strong>ori<strong>en</strong>tée événem<strong>en</strong>t ou ori<strong>en</strong>tée processus.


Chapitre 1 : Etat <strong>de</strong> l’art3.2 Balayage <strong>de</strong>s activitésDans cette approche, l’élém<strong>en</strong>t clé <strong>de</strong> la <strong>simulation</strong> est l’activité. Le modèle d’un système estdécomposé <strong>en</strong> un <strong>en</strong>semble d’activités qu’il peut réaliser à chaque événem<strong>en</strong>t <strong>de</strong> la<strong>simulation</strong>. L’action attachée à une activité est une séqu<strong>en</strong>ce d’activité. Celle ci sera exécutéesi certaines conditions sont remplies. Ainsi pour chaque événem<strong>en</strong>t, les conditions <strong>de</strong>réalisation <strong>de</strong> chaque activité sont testées <strong>en</strong> fonction <strong>de</strong>s variables d’état du système. Sil’<strong>en</strong>semble <strong>de</strong>s conditions est vrai, l’action est réalisée, comme on peut le vérifier <strong>en</strong> Fig. 20.3.3 Planification d’événem<strong>en</strong>ts.L’approche par planification d’événem<strong>en</strong>t est traitée par <strong>de</strong>s simulateurs dit ori<strong>en</strong>tésévénem<strong>en</strong>ts. L’unité conceptuelle du système est alors l’événem<strong>en</strong>t. Une routined’événem<strong>en</strong>t est associée à chaque type d’événem<strong>en</strong>t et décrit les actions qui doiv<strong>en</strong>t êtreréalisées. La réalisation <strong>de</strong> ces événem<strong>en</strong>ts peut provoquer par la suite la planification <strong>de</strong>nouveaux événem<strong>en</strong>ts. Ici, le point <strong>de</strong> <strong>vue</strong> est c<strong>en</strong>tré sur les objets manipulés par les acteursdu système. Un échéancier gère la planification et l’activation séqu<strong>en</strong>tielle <strong>de</strong> chaqueévénem<strong>en</strong>t. Lorsque l’action liée à un événem<strong>en</strong>t est réalisée, le temps <strong>de</strong> la <strong>simulation</strong> estsusp<strong>en</strong>du. Le principe <strong>de</strong> cette approche dite ori<strong>en</strong>tée événem<strong>en</strong>t est résumé <strong>en</strong> Fig. 21.DEBUTÉvénem<strong>en</strong>t courant= 1er événem<strong>en</strong>tActivité courante= 1ère activitéDEBUTÉvénem<strong>en</strong>t courant= 1er événem<strong>en</strong>tmise à l’état initialConditions <strong>de</strong>réalisationvérifiées ?NONRéalisation <strong>de</strong> la noticed’événem<strong>en</strong>tActivité courante= activité suivanteOUIRéaliser ActionGénération <strong>de</strong>s événem<strong>en</strong>tsfuturs et planificationÉvénem<strong>en</strong>t courant= événem<strong>en</strong>t suivantOUIToutesactivitéstraitées ?Événem<strong>en</strong>t courant= événem<strong>en</strong>t suivantTousévénem<strong>en</strong>tstraités ?NONNONOUITousévénem<strong>en</strong>tstraités ?NONFINOUIFINFig. 20 Simulation par balayage <strong>de</strong>sactivitésFig. 21 Simulation par planification <strong>de</strong>sévénem<strong>en</strong>ts17 / 213


Chapitre 1 : Etat <strong>de</strong> l’artCette approche repose sur un principe <strong>de</strong> file d’att<strong>en</strong>te. Cette file va cont<strong>en</strong>ir lesévénem<strong>en</strong>ts et les organiser <strong>en</strong> fonction <strong>de</strong> <strong>leur</strong> date <strong>de</strong> réalisation. Cela constitue uncal<strong>en</strong>drier d’événem<strong>en</strong>ts. Le premier événem<strong>en</strong>t se déroule au temps t=0. Il modifie l’état dusystème simulé pour le mettre dans son état initial. De nouveaux événem<strong>en</strong>ts peuv<strong>en</strong>t êtreplanifiés traduisant la réaction <strong>de</strong> chacun <strong>de</strong>s acteurs à ce nouvel état du système. Ensuite ladate du simulateur jusqu’au prochain événem<strong>en</strong>t afin <strong>de</strong> réaliser la notice d’événem<strong>en</strong>tassociée. Lorsque les actions planifiées dans cette notice ont été réalisées, on obti<strong>en</strong>t unnouvel état du système qui provoque la planification <strong>de</strong> nouveaux événem<strong>en</strong>ts futurs.L’avance du temps repr<strong>en</strong>d alors jusqu’au prochain événem<strong>en</strong>t et ainsi <strong>de</strong> suite jusqu’à arriverà la fin du temps alloué à la <strong>simulation</strong>.3.4 Interaction <strong>de</strong> processus.On considère ici que le système est constitué <strong>de</strong> plusieurs tâches actives qui peuv<strong>en</strong>ts’exécuter simultaném<strong>en</strong>t. Chaque tâche est représ<strong>en</strong>tée par un processus qui interagit avec lesautres. La <strong>simulation</strong> est alors qualifiée d’ori<strong>en</strong>tée processus. Les tâches sont exécutées parces processus qui sont activés ou désactivés par un échéancier. Contrairem<strong>en</strong>t aux approchesprécé<strong>de</strong>ntes, ce ne sont pas les actions ou les objets manipulés qui sont au c<strong>en</strong>tre <strong>de</strong> la<strong>simulation</strong>. En effet, chaque processus représ<strong>en</strong>te un acteur du système. Lorsqu’il est activépar l’échéancier, il réalise sa tâche jusqu’à ce qu’il soit désactivé par un autre événem<strong>en</strong>t. Icila notion d’événem<strong>en</strong>t existe aussi et indique une interv<strong>en</strong>tion sur un ou <strong>de</strong>s processus(activation, susp<strong>en</strong>sion…) ou l’échange <strong>de</strong> message. Contrairem<strong>en</strong>t à l’approche parévénem<strong>en</strong>t, le temps <strong>de</strong> <strong>simulation</strong> continue <strong>de</strong> se dérouler p<strong>en</strong>dant l’exécution <strong>de</strong> la tache.Ainsi plusieurs processus peuv<strong>en</strong>t être actifs <strong>en</strong> même temps et fonctionner <strong>en</strong> parallèle. Ceprincipe est plus naturel et plus réaliste qu’une modélisation séqu<strong>en</strong>tielle du comportem<strong>en</strong>t. Ilest généralem<strong>en</strong>t mis <strong>en</strong> œuvre par une programmation <strong>de</strong> processus parallèles ou répartis.C’est un principe qui s’applique particulièrem<strong>en</strong>t bi<strong>en</strong> à la <strong>simulation</strong> <strong>de</strong> tout type <strong>de</strong> flux(personnes, véhicules, pièces d’assemblage, etc.). Son implém<strong>en</strong>tation est aujourd’huigran<strong>de</strong>m<strong>en</strong>t facilitée par l’utilisation <strong>de</strong> langage <strong>de</strong> programmation ori<strong>en</strong>té objet (C++, Java,Delphi…) ou <strong>de</strong> <strong>simulation</strong>. C’est l’approche qui est la plus utilisée <strong>en</strong> raison <strong>de</strong> son réalismeplus élevé et <strong>de</strong> performance plus gran<strong>de</strong> pour la <strong>simulation</strong> <strong>de</strong> système qui ne sont passéqu<strong>en</strong>tiels. Elle est notamm<strong>en</strong>t employée pour l’implém<strong>en</strong>tation <strong>de</strong> nombreux simulateursélectroniques mixtes dont Saber [SAB].3.4.1 Processus logiques et physiques.Nous avons affaire ici à <strong>de</strong>ux notions distinctes <strong>de</strong> processus suivant que l’on considèrel’activité d’un système ou un processus informatique qui intervi<strong>en</strong>t <strong>en</strong> programmationparallèle. Ainsi Erard et al. [ERA96] définiss<strong>en</strong>t comme processus logique une tâche actived’un système, alors que les composantes logicielles d’un système réparti sont <strong>de</strong>s processusphysiques. Les processus logiques fonctionn<strong>en</strong>t <strong>de</strong> manière autonome mais communiqu<strong>en</strong>tavec les autres processus au travers <strong>de</strong> messages.18 / 213


Chapitre 1 : Etat <strong>de</strong> l’art3.4.2 Gestion et états <strong>de</strong>s processus logiques.Un processus logique peut pr<strong>en</strong>dre 4 états fondam<strong>en</strong>taux. Ces états dép<strong>en</strong><strong>de</strong>nt <strong>de</strong> <strong>leur</strong>positionnem<strong>en</strong>t dans l’échéancier. Les processus peuv<strong>en</strong>t être actifs, différés, passifs outerminés [ERA96].EtatActifDifféréPassifTerminéDescriptionSon heure d’activation est i<strong>de</strong>ntique à celle du système. Il réalise sa tâche.En att<strong>en</strong>te <strong>de</strong> l’activation par l’échéancier.Susp<strong>en</strong>du dans l’att<strong>en</strong>te d’un message d’activation d’un autre processus.La tâche active est terminée.Tab. 6 Etat <strong>de</strong>s processus logiques.Pour gérer le passage <strong>en</strong>tre les différ<strong>en</strong>ts états <strong>de</strong>s processus, le simulateur doit pouvoirpermettre les actions <strong>de</strong> création, activation, réactivation, susp<strong>en</strong>sion, passivation etannulation.Action Description Etat résultantcréation Crée un nouveau processus et mise <strong>en</strong> file d’att<strong>en</strong>te. Passifactivation R<strong>en</strong>d actif un processus immédiatem<strong>en</strong>t ou après un délai. Actifréactivation Modifie l’heure d’activation d’un processus existant. Différésusp<strong>en</strong>sion Susp<strong>en</strong>d un processus actif jusqu’à une date ultérieure. Différépassivation Interrompt l’activité d’un processus <strong>en</strong> att<strong>en</strong>te d’unePassifactivation par un autre processus.annulation Interrompt la tache active. PassifTab. 7 Action <strong>de</strong> modification <strong>de</strong> l’état <strong>de</strong>s processus.3.4.3 Synchronisation <strong>de</strong>s processus.Quand plusieurs processus travaill<strong>en</strong>t <strong>en</strong> même temps, ils réalis<strong>en</strong>t <strong>de</strong>s tâches d’une manièreautonome les uns <strong>de</strong>s autres. Ils doiv<strong>en</strong>t toutefois conserver <strong>de</strong>s li<strong>en</strong>s <strong>en</strong>tre eux car <strong>leur</strong>stâches peuv<strong>en</strong>t être dép<strong>en</strong>dantes. Il est nécessaire <strong>de</strong> communiquer <strong>de</strong>s informations afin <strong>de</strong>maint<strong>en</strong>ir <strong>de</strong>s données partagées dans un état cohér<strong>en</strong>t [ERA96]. Par exemple, <strong>de</strong>ux processuspeuv<strong>en</strong>t traiter un même objet alternativem<strong>en</strong>t et doiv<strong>en</strong>t pour cela savoir quand l’objet estpris par un processus et quand il est r<strong>en</strong>du disponible. Dans certains cas, plusieurs processuspeuv<strong>en</strong>t réaliser une tâche conjointem<strong>en</strong>t. On parle alors <strong>de</strong> coopération synchrone [GAR01].Bi<strong>en</strong> qu’ayant décomposé le système <strong>en</strong> tâches autonomes, les processus qui les réalis<strong>en</strong>t ontdonc nécessairem<strong>en</strong>t besoin d’échanger <strong>de</strong>s messages et <strong>de</strong> se synchroniser. Cettesynchronisation constitue une difficulté <strong>de</strong> ce type <strong>de</strong> <strong>simulation</strong> car les différ<strong>en</strong>tes techniquesdoiv<strong>en</strong>t faire un compromis <strong>en</strong>tre la performance du simulateur et la limitation <strong>de</strong>s risquesd’erreurs. Chaque processus logique peut être implém<strong>en</strong>té par un programme séqu<strong>en</strong>tiel quiva interagir avec les autres à <strong>de</strong>s instants donnés (événem<strong>en</strong>ts). Les techniques <strong>de</strong>synchronisation ret<strong>en</strong>ues dép<strong>en</strong><strong>de</strong>nt notamm<strong>en</strong>t du principe <strong>de</strong> programmation et <strong>de</strong>l’architecture matérielle choisie comme le résume le tableau Tab. 8 ci <strong>de</strong>ssous.19 / 213


Chapitre 1 : Etat <strong>de</strong> l’artSystèmeCo-routinesCommutation<strong>de</strong> processusRépartiArchitecturematérielleRépartition <strong>de</strong>stâchesNote1 processeur1 seule co-routine Changem<strong>en</strong>t <strong>de</strong> tâche par une activationactive à la fois explicite <strong>de</strong> la co-routinePlusieurs tâches Un gestionnaire <strong>de</strong> tâche définit les1 processeur simultaném<strong>en</strong>t <strong>en</strong> priorités et arbitre la répartition <strong>de</strong>satt<strong>en</strong>teressourcesIl faut gérer <strong>de</strong>s problèmesPlusieurs Tâches répartiesd’<strong>en</strong>vironnem<strong>en</strong>t différ<strong>en</strong>ts (systèmesprocesseurs ou sur les différ<strong>en</strong>tsd’exploitation, performances) et le tempsordinateurs processeurs<strong>de</strong> transmission <strong>de</strong>s messagesTab. 8 Gestion <strong>de</strong>s processus selon différ<strong>en</strong>ts systèmes.Le choix <strong>en</strong>tre ces différ<strong>en</strong>ts systèmes dép<strong>en</strong>dra <strong>de</strong> l’architecture matérielle ret<strong>en</strong>ue (un ouplusieurs processeurs et ordinateurs) ainsi que <strong>de</strong>s instructions et fonctions supportées, les coroutineset la gestion <strong>de</strong>s processus physiques (ex :Objets thread <strong>en</strong> Java ou Delphi)notamm<strong>en</strong>t.3.4.4 Synchronisation sûre, risquée et erreur <strong>de</strong> causalité.Les différ<strong>en</strong>tes tâches <strong>de</strong> chaque processus peuv<strong>en</strong>t être plus ou moins coûteuses <strong>en</strong> temps <strong>de</strong>calcul. Un processus dont la tâche est simple la traitera rapi<strong>de</strong>m<strong>en</strong>t et pourra passer à uneautre tâche. Ainsi le temps virtuel <strong>de</strong> la <strong>simulation</strong> y évolue rapi<strong>de</strong>m<strong>en</strong>t par rapport au temps<strong>de</strong> calcul. De même, pour un processus qui réalise une tâche très complexe, le temps <strong>de</strong>traitem<strong>en</strong>t sera plus long et cela ral<strong>en</strong>tira l’avancem<strong>en</strong>t du temps virtuel pour ce processus. Onse retrouve alors avec <strong>de</strong>ux processus n’ayant pas d’horloge commune et au sein <strong>de</strong>squels letemps avance à une vitesse différ<strong>en</strong>te. Si ces processus sont dép<strong>en</strong>dants (un cli<strong>en</strong>t et unserveur par exemple) il est nécessaire qu’ils puiss<strong>en</strong>t échanger <strong>de</strong>s informations pour sesynchroniser.Un <strong>de</strong>s points les plus délicats consiste à définir quels sont les instants <strong>de</strong> la <strong>simulation</strong> ou lasynchronisation doit se faire. Si on synchronise trop fréquemm<strong>en</strong>t, le simulateur va être r<strong>en</strong>dumoins performant par une prolifération <strong>de</strong> messages superflus. Dans le cas contraire, si on nesynchronise pas suffisamm<strong>en</strong>t les processus, on perd <strong>de</strong> l’information et le simulateur peutalors produire <strong>de</strong>s résultats erronés. Le principal risque est l’erreur <strong>de</strong> causalité. Elle seproduit lorsqu’un processus réalise une tâche à partir d’une date donnée alors que d’autrestâches qui doiv<strong>en</strong>t être réalisées à une antérieure mais que <strong>leur</strong> message d’activation arriveultérieurem<strong>en</strong>t. C’est notamm<strong>en</strong>t le cas lorsqu’un processus est plus rapi<strong>de</strong> qu’un autre etmanque <strong>de</strong> ce fait un message <strong>de</strong> synchronisation que le processus l<strong>en</strong>t n’a pas <strong>en</strong>core délivré.Deux approches majeures reflèt<strong>en</strong>t ces options <strong>de</strong> réalisation. L’approche sûre ouconservative privilégie la justesse <strong>de</strong>s résultats au détrim<strong>en</strong>t <strong>de</strong> l’efficacité du simulateur.L’approche risquée ou optimiste va s’ori<strong>en</strong>ter davantage vers la rapidité d’exécution <strong>en</strong>incluant <strong>de</strong>s mécanismes <strong>de</strong> suppression d’erreur.20 / 213


Chapitre 1 : Etat <strong>de</strong> l’art3.5.1 Langages et paquets <strong>de</strong> <strong>simulation</strong>.Un paquet logiciel (ou package) <strong>de</strong> <strong>simulation</strong> est une bibliothèque <strong>de</strong> fonctions(programmation structurée) ou <strong>de</strong> classes (programmation ori<strong>en</strong>tée objet) à <strong>de</strong>stination d’unlangage <strong>de</strong> programmation à usage général. Le développeur <strong>de</strong> l’application exploitera alorsces fonctionnalités prédéfinies pour réaliser son simulateur.Les langages <strong>de</strong> <strong>simulation</strong> qui sont généralem<strong>en</strong>t dérivés <strong>de</strong> langages <strong>de</strong> programmationsplus classiques (ADA, FORTRAN, C, Java…). L’emploi d’un langage <strong>de</strong> <strong>simulation</strong> amèneun niveau d’abstraction et une implém<strong>en</strong>tation <strong>de</strong>s simulateurs plus simple qu’avec unlangage classique grâce à sa spécialisation.Il existe <strong>de</strong> nombreux paquets logiciels ou langages <strong>de</strong> <strong>simulation</strong>. Ils permett<strong>en</strong>t àl’utilisateur <strong>de</strong> réaliser un logiciel <strong>de</strong> <strong>simulation</strong> par événem<strong>en</strong>ts discrets. Pour cela, ilsprés<strong>en</strong>t<strong>en</strong>t un certain nombre <strong>de</strong> fonctionnalités requises pour la plupart <strong>de</strong> ces simulateurs : Génération <strong>de</strong> nombres et <strong>de</strong> va<strong>leur</strong>s aléatoires ou selon <strong>de</strong>s distributions statistiques. Gestion <strong>de</strong> l’avance <strong>de</strong>s temps. Détermination du prochain événem<strong>en</strong>t. Ajout et suppression d’<strong>en</strong>registrem<strong>en</strong>ts à une liste. Collecte et analyse statistique <strong>de</strong>s données. Report <strong>de</strong>s résultats. Détection d’erreur.Ces langages ou paquets <strong>de</strong> <strong>simulation</strong> trait<strong>en</strong>t les approches ori<strong>en</strong>tées événem<strong>en</strong>t et/ouprocessus. On peut toutefois très bi<strong>en</strong> implém<strong>en</strong>ter un simulateur par événem<strong>en</strong>ts discretssans utiliser ni langage ni paquet <strong>de</strong> <strong>simulation</strong>.Le tableau Tab. 9 ci <strong>de</strong>ssous synthétise l’inv<strong>en</strong>taire <strong>de</strong>s paquets et langages <strong>de</strong> <strong>simulation</strong>dressé par Garrido [GAR01].Notations :(1) Approche : A (ori<strong>en</strong>tée activité), E (ori<strong>en</strong>tée événem<strong>en</strong>t) et P (ori<strong>en</strong>tée processus).(2) Paquet (P) ou Langage (L)Approche Paquet, Langage Note, publicAuteurpossible (1) Langage (2) <strong>de</strong> baseSimPack E P C++ Chercheurs,P. FishwickSim++ E P C++ <strong>en</strong>seignantsP. FishwickCSIM P P C++ Programmeurs Mesquite softwareModSim P L Modula2 Armée USGPSS P L Tout usage IBMSimula E, P L Algol Premier langage ori<strong>en</strong>téobjetSimScript E, P L Usage g<strong>en</strong>eral CACIGASP E, P P Fortran P.J. KiviatSLAM A, E, P L Fortran Combinaison <strong>de</strong>sapproches possibleTask library P P C++ Environnem<strong>en</strong>t UNIXPsim P P C++Psim-J P P JavaPSimL P L C++Tab. 9 Principaux paquets et langages <strong>de</strong> <strong>simulation</strong> disponibles.22 / 213


Chapitre 1 : Etat <strong>de</strong> l’artCette liste n’est pas exhaustive mais souligne déjà la multiplicité <strong>de</strong>s solutions possibles. Lamajorité <strong>de</strong>s langages ou paquets sont basés sur les langages C++, Fortran ou Java. Notonsque Law [LAW91] propose d’autres implém<strong>en</strong>tations <strong>de</strong> simulateurs pilotés par événem<strong>en</strong>ts<strong>en</strong> langage classique C, Fortran ou Pascal n’utilisant pas <strong>de</strong> paquet <strong>de</strong> <strong>simulation</strong>.3.5.2 Choix <strong>en</strong>tre un langage classique ou un langage <strong>de</strong> <strong>simulation</strong>.L’emploi d’un langage à usage général sera par définition moins adapté que celui d’unlangage ou d’un paquet <strong>de</strong> SED. Il prés<strong>en</strong>te toutefois <strong>de</strong> multiples avantages justifiant quel’on s’intéresse à cette option. L’utilisation d’un paquet ou d’un langage pour la SED n’estpas inévitable et peut se révéler mal adapté dans certains cas.Les tableaux Tab. 10 et Tab. 11 repr<strong>en</strong>n<strong>en</strong>t la synthèse <strong>de</strong> Law [LAW91] sur les avantagesque prés<strong>en</strong>t<strong>en</strong>t ces <strong>de</strong>ux options sans toutefois les comparer directem<strong>en</strong>t. Le choix <strong>en</strong>tre ces<strong>de</strong>ux solutions dép<strong>en</strong>d <strong>de</strong>s fonctions finales att<strong>en</strong>dues. De plus, pour une implém<strong>en</strong>tation plussûre, on privilégiera un langage <strong>de</strong> <strong>simulation</strong>, qui restera moins souple qu’un langageclassique. L’emploi d’un paquet logiciel représ<strong>en</strong>te une option intermédiaire mais assezproche <strong>de</strong> l’utilisateur d’un langage <strong>de</strong> <strong>simulation</strong>. En effet, il permet d’utiliser un langagestandard qui peut être déjà connu par l’utilisateur <strong>en</strong> intégrant <strong>de</strong>s fonctions prédéfinies pourla SED.Langages et paquets <strong>de</strong> <strong>simulation</strong>Les fonctions nécessaires sont intégrées :réduction du temps <strong>de</strong> programmationEnvironnem<strong>en</strong>t <strong>de</strong> travail spécialisé pour ledéveloppem<strong>en</strong>t <strong>de</strong> simulateurModifications plus simples <strong>de</strong>s modèlesMeil<strong>leur</strong>e détection d’erreurs car lesdiffér<strong>en</strong>ts types d’erreurs sont déjà i<strong>de</strong>ntifiés.Tab. 10 Avantages <strong>de</strong>s paquets etlangages <strong>de</strong> <strong>simulation</strong>Langage <strong>de</strong> programmation classiqueLangages plus répandus, peut être déjàconnu par l’utilisateur.Langage disponible sur plusieurs types <strong>de</strong>plateformes (Fortran, C, Java…).Un programme bi<strong>en</strong> écrit peut être plusefficace car mieux spécialisé.Plus gran<strong>de</strong> souplesse dans laprogrammation.Tab. 11 Avantages <strong>de</strong>s langagesclassiques3.6 Mécanismes d’avance temporelle.En SED, par définition, le temps est discrétisé. Il est constitué d’un nombre fini <strong>de</strong> pointsprécis du temps qui constitu<strong>en</strong>t l’horloge <strong>de</strong> la <strong>simulation</strong>. Comme le temps ne se déroule pas<strong>de</strong> manière continue mais par saut d’un instant au suivant, un <strong>de</strong>s rôles ess<strong>en</strong>tiels d’unsimulateur piloté par événem<strong>en</strong>ts est <strong>de</strong> gérer l’avance du temps quelque soit l’approcheret<strong>en</strong>ue.Law [LAW91] décrit les <strong>de</strong>ux techniques principales dont les tâches seront <strong>de</strong> piloterl’horloge du simulateur et traiter le prochain événem<strong>en</strong>t : Avance à pas fixe. Saut au prochain événem<strong>en</strong>t.Pour ces <strong>de</strong>ux métho<strong>de</strong>s, on n’a généralem<strong>en</strong>t pas <strong>de</strong> relation <strong>en</strong>tre le temps virtuel quis’écoule selon l’horloge <strong>de</strong> la <strong>simulation</strong>, et la durée effective <strong>de</strong>s opérations que réalise lesimulateur. Ceci pose un certain nombre <strong>de</strong> problèmes <strong>de</strong> synchronisation lorsque l’on utiliseune modélisation ori<strong>en</strong>tée processus.23 / 213


Chapitre 1 : Etat <strong>de</strong> l’artLe choix <strong>en</strong>tre ces <strong>de</strong>ux techniques sera ess<strong>en</strong>tiellem<strong>en</strong>t déterminé par la périodicité <strong>de</strong>scomportem<strong>en</strong>ts à modéliser.3.6.1 Avance temporelle à pas fixe.Avec l’avance à pas fixe, le simulateur dispose d’une horloge dont la pério<strong>de</strong> est déterminée.Elle est avancée d’un pas constant t. A chaque instant, le simulateur traite les événem<strong>en</strong>tsqui ont pu être planifiés. Si <strong>de</strong>s événem<strong>en</strong>ts se produis<strong>en</strong>t p<strong>en</strong>dant l’intervalle t, il faudraatt<strong>en</strong>dre la fin <strong>de</strong> l’intervalle pour qu’il soit pris <strong>en</strong> compte par le simulateur. Cette solutionprés<strong>en</strong>te plusieurs inconvéni<strong>en</strong>ts : L’att<strong>en</strong>te <strong>de</strong> fin <strong>de</strong> l’intervalle peut provoquer <strong>de</strong>s erreurs. Lorsque plusieurs événem<strong>en</strong>ts sont inclus dans un intervalle, il faudra déci<strong>de</strong>r à la finquel sera l’ordre <strong>de</strong> priorité.Le simulateur va pr<strong>en</strong>dre <strong>en</strong> compte <strong>de</strong>s instants pour lesquels il ne peut y avoir aucunévénem<strong>en</strong>t.Cette technique est toutefois intéressante si on sait que les événem<strong>en</strong>ts se produis<strong>en</strong>t toujoursà intervalle régulier (multiple <strong>de</strong> t). Elle sera d’autant plus efficace si on trouve unévénem<strong>en</strong>t pour chaque coup <strong>de</strong> l’horloge. On peut l’appliquer à <strong>de</strong>s systèmes périodiquescomme par exemple <strong>de</strong>s <strong>simulation</strong>s <strong>en</strong> économie avec une pério<strong>de</strong> <strong>de</strong> 1 an.e1 e2 e3 e40 t t t tTempsAvance temporelleEvénem<strong>en</strong>tsFig. 23 Exemple d’avance temporelle à pas fixe3.6.2 Avance temporelle par saut au prochain événem<strong>en</strong>t.La technique par saut au prochain événem<strong>en</strong>t ne considère pas <strong>de</strong> pério<strong>de</strong> particulière dansl’arrivée <strong>de</strong>s événem<strong>en</strong>ts. C’est la plus couramm<strong>en</strong>t utilisée dans les simulateurs parévénem<strong>en</strong>ts discrets. Comme son nom l’indique l’horloge avance par saut d’un événem<strong>en</strong>t auprochain. L’avance est alors irrégulière. P<strong>en</strong>dant l’intervalle séparant <strong>de</strong>ux événem<strong>en</strong>ts, lesimulateur ne traite pas le temps. Il ne s’occupe que <strong>de</strong>s instants utiles. Ainsi, sonimplém<strong>en</strong>tation se trouve plus efficace qu’une avance à pas fixe, aussi bi<strong>en</strong> <strong>en</strong> terme <strong>de</strong>rapidité que d’occupation <strong>de</strong> la mémoire.e1 e2 e3 e40 t t t tTempsAvance temporelleEvénem<strong>en</strong>tsFig. 24 Exemple d’avance temporelle par saut au prochain événem<strong>en</strong>t24 / 213


Chapitre 1 : Etat <strong>de</strong> l’art4 Métho<strong>de</strong>s <strong>de</strong> synchronisation <strong>en</strong> <strong>simulation</strong> mixte.Dans les simulateurs mixtes analogique – numérique, on retrouve <strong>de</strong>ux algorithmes pour larésolution analogique et numérique. Il est toujours nécessaire <strong>de</strong> synchroniser ces <strong>de</strong>uxalgorithmes pour qu’ils puiss<strong>en</strong>t échanger <strong>de</strong>s informations <strong>de</strong> façon efficace et <strong>en</strong> minimisantle risque d’erreur. Chaque échange d’informations va provoquer <strong>de</strong>s modifications <strong>de</strong>smatrices <strong>de</strong> <strong>de</strong>scription <strong>de</strong> la partie analogique ayant pour conséqu<strong>en</strong>ces <strong>de</strong> résoudre ànouveau ce système. Il existe différ<strong>en</strong>tes techniques <strong>de</strong> synchronisation qui sont <strong>de</strong>scompromis <strong>en</strong>tre la rapidité <strong>de</strong> la résolution et la précision du résultat. Quatre <strong>de</strong>s pluscourantes sont : Pas verrouillé, pas temporel fixe, ping-pong et Cavaleras.4.1 Pas verrouillé.Cette technique synchronise la <strong>simulation</strong> analogique à chaque événem<strong>en</strong>t <strong>de</strong> la <strong>simulation</strong>numérique. C’est l’un <strong>de</strong>s premiers principes employés avec les simulateurs mixtes. Elle estassez grossière et synchronise systématique, que <strong>de</strong>s données doiv<strong>en</strong>t être transférées ou non.C’est donc très coûteux <strong>en</strong> temps <strong>de</strong> <strong>simulation</strong> car pour chaque événem<strong>en</strong>t on fait un<strong>en</strong>ouvelle résolution du système. Elle n’est utilisée que par peu <strong>de</strong> simulateurs.4.2 Pas temporel fixe.La synchronisation à pas temporel fixe utilise un fond <strong>de</strong> panier qui gère et décl<strong>en</strong>che leséchanges <strong>de</strong> données à intervalles réguliers. Cette métho<strong>de</strong> a pour inconvéni<strong>en</strong>ts <strong>de</strong> perdre lesévénem<strong>en</strong>ts logiques <strong>en</strong>tre <strong>de</strong>ux intervalles et d’introduire <strong>de</strong>s pas temporels supplém<strong>en</strong>tairesqui n’ont pas lieu d’être et augm<strong>en</strong>te inutilem<strong>en</strong>t le temps <strong>de</strong> <strong>simulation</strong>.Source : www.analogy.comFig. 25 Techniques <strong>de</strong> synchronisation d’algorithmes analogique et numérique25 / 213


Chapitre 1 : Etat <strong>de</strong> l’art4.3 Ping-pong.Pour être le plus efficace possible, aussi bi<strong>en</strong> <strong>en</strong> rapidité <strong>de</strong> <strong>simulation</strong> que pour la justesse <strong>de</strong>srésultats, il est nécessaire que la synchronisation n’intervi<strong>en</strong>ne que lorsque l’échange <strong>de</strong>données est absolum<strong>en</strong>t nécessaire. De plus, les algorithmes analogiques doiv<strong>en</strong>t travaillerindép<strong>en</strong>damm<strong>en</strong>t et avec chacun un pas <strong>de</strong> calcul optimisé.Pour être certain que les échanges d’informations arriv<strong>en</strong>t au mom<strong>en</strong>t opportun, on permetaux <strong>de</strong>ux simulateurs <strong>de</strong> décl<strong>en</strong>cher un échange, d’où le terme <strong>de</strong> ping-pong.Comme les <strong>de</strong>ux algorithmes fonctionn<strong>en</strong>t indép<strong>en</strong>damm<strong>en</strong>t, l’un d’eux sera forcem<strong>en</strong>t plusrapi<strong>de</strong> que l’autre et peut continuer ses calculs <strong>en</strong> manquant une synchronisation parce que lesecond algorithme n’est pas <strong>en</strong>core parv<strong>en</strong>u à cet instant. Lorsqu’il y arrive, le 1 er doit rev<strong>en</strong>ir<strong>en</strong> arrière pour que la synchronisation ait lieu, afin <strong>de</strong> préserver la justesse <strong>de</strong>s résultats.4.4 Calaveras.Cette métho<strong>de</strong> est assez proche <strong>de</strong> la précé<strong>de</strong>nte et est déposée par la société Analogy et estutilisée dans le simulateur Saber [SAB]. La différ<strong>en</strong>ce par rapport à la métho<strong>de</strong> ping-pongrési<strong>de</strong> dans le fait qu’elle <strong>en</strong>registre le résultat <strong>de</strong> la précé<strong>de</strong>nte résolution analogique évitantainsi <strong>de</strong> la recalculer. Elle privilégie ainsi la vitesse <strong>de</strong> <strong>simulation</strong> au détrim<strong>en</strong>t <strong>de</strong>l’occupation <strong>de</strong> la mémoire.26 / 213


Chapitre 1 : Etat <strong>de</strong> l’art5 Notion <strong>de</strong> programmation ori<strong>en</strong>té objet.Notre objectif est <strong>de</strong> réaliser un simulateur <strong>de</strong> <strong>circuits</strong> électrique adaptés aux domaines <strong>de</strong>l’électrotechnique et <strong>de</strong>s courants forts. Cela passe par la modélisation <strong>de</strong>s comportem<strong>en</strong>ts <strong>de</strong>sdiffér<strong>en</strong>ts composants mais aussi par l’implém<strong>en</strong>tation <strong>de</strong> l’outil <strong>de</strong> <strong>simulation</strong>. La création <strong>de</strong>cet outil doit répondre à <strong>de</strong>s contraintes définies par notre part<strong>en</strong>aire Algo’Tech Informatique.Le logiciel <strong>de</strong> simuler doit s’intégrer dans l’application <strong>de</strong> schématique existante. Pourfavoriser l’efficacité, la réutilisabilité et la maint<strong>en</strong>abilité du co<strong>de</strong>, il est impératif d’utiliser lestechniques <strong>de</strong> programmation ori<strong>en</strong>tées objets.Le langage <strong>de</strong> développem<strong>en</strong>t utilisé est Delphi (Pascal Objet). Lors <strong>de</strong> la création duprototype du simulateur <strong>en</strong> 1999, Algo’Tech travaillait avec la version 2 <strong>de</strong> Delphi qui nesupportait pas la totalité <strong>de</strong>s concepts <strong>de</strong> la programmation ori<strong>en</strong>tée objet. Au cours <strong>de</strong>sdéveloppem<strong>en</strong>t, nous avons fait évoluer le co<strong>de</strong> du simulateur avec la progression <strong>de</strong> Delphi,<strong>de</strong> la version 2 à la version 7 <strong>en</strong> 2003 qui couvre désormais la presque totalité <strong>de</strong>s concepts <strong>de</strong>la programmation ori<strong>en</strong>tée objets.Les notions attachées à ce type <strong>de</strong> programmation sont utilisées aussi bi<strong>en</strong> dans la <strong>de</strong>scription<strong>de</strong>s mo<strong>de</strong>s d’analyse réalisé que dans la modélisation <strong>de</strong>s composants. C’est pourquoi il estess<strong>en</strong>tiel <strong>de</strong> définir ses concepts et son vocabulaire (Source Nadine Couture - ESTIA).5.1 Les objetsLes caractéristiques fondam<strong>en</strong>tales d'un objet sont : l’état, le comportem<strong>en</strong>t, l’i<strong>de</strong>ntité.5.1.1 Définitions.Un attribut est une information qui qualifie l'objet qui le conti<strong>en</strong>t. Un attribut est à va<strong>leur</strong>dans un domaine <strong>de</strong> définition donné. L’état, regroupe les va<strong>leur</strong>s instantanées <strong>de</strong> tous lesattributs d'un objet.Le comportem<strong>en</strong>t regroupe toutes les compét<strong>en</strong>ces d'un objet et décrit les actions et lesréactions <strong>de</strong> cet objet.L'i<strong>de</strong>ntité permet <strong>de</strong> distinguer tout objet <strong>de</strong> façon non ambiguë quelque soit son état.Les objets communiqu<strong>en</strong>t <strong>en</strong> échangeant <strong>de</strong>s messages.Il existe 5 catégories <strong>de</strong> messages :les constructeurs, qui cré<strong>en</strong>t les objets.les <strong>de</strong>structeurs, qui détruis<strong>en</strong>t les objets.les sélecteurs qui r<strong>en</strong>voi<strong>en</strong>t tout ou partie <strong>de</strong> l'état d'un objetles modificateurs qui chang<strong>en</strong>t tout ou partie <strong>de</strong> l'état d'un objetles itérateurs qui visit<strong>en</strong>t l'état d'un objet ou le cont<strong>en</strong>u d'une structure <strong>de</strong> données quiconti<strong>en</strong>t plusieurs objets.27 / 213


Chapitre 1 : Etat <strong>de</strong> l’art5.1.2 Exemple d’objet : MonVelo.Dans le cas d’un objet MonVelo, nous pouvons exprimer ces attributs et ses métho<strong>de</strong>s :Attributs :Cou<strong>leur</strong> = jaunePoids = 8 kgNombre <strong>de</strong> pignons = 5Pignon actuel = 3eCa<strong>de</strong>nce <strong>de</strong> pédalage = 30 Tr/minVitesse actuelle : 27 km/hMétho<strong>de</strong>s :Changer <strong>de</strong> pignon (+1 ou -1)Afficher vitesse actuelleTourner (droite ou gauche)Augm<strong>en</strong>ter ca<strong>de</strong>nce (nouvelle ca<strong>de</strong>nce)FreinerCalculer vitesseRemarque : pas <strong>de</strong> métho<strong>de</strong> pour augm<strong>en</strong>ter directem<strong>en</strong>t la vitesse. Elle est fonction <strong>de</strong> laca<strong>de</strong>nce et du pignon actuel. La métho<strong>de</strong> Afficher vitesse appelle Calculer vitesse avantd'afficher le résultat.5.2 Les classes.Pour maîtriser la complexité, on regroupe les objets qui se ressembl<strong>en</strong>t. La classe décrit ledomaine <strong>de</strong> définition d'un <strong>en</strong>semble d'objets. Chaque objet apparti<strong>en</strong>t à une classe. Lesgénéralités sont cont<strong>en</strong>ues dans la classe. Les particularités sont cont<strong>en</strong>ues dans l'objet.5.2.1 Exemple <strong>de</strong> classe Bicyclette.attributs :Cou<strong>leur</strong> : cou<strong>leur</strong>Poids (kg) : réelNombre <strong>de</strong> pignons : <strong>en</strong>tierPignon actuel : <strong>en</strong>tierCa<strong>de</strong>nce <strong>de</strong> pédalage (tours/min) : <strong>en</strong>tierVitesse actuelle (km/h) : réelmétho<strong>de</strong>s :Changer <strong>de</strong> pignon (+1 ou -1)Afficher vitesse actuelleTourner (angle)Augm<strong>en</strong>ter ca<strong>de</strong>nce (incrém<strong>en</strong>t)Freiner (int<strong>en</strong>sité)5.2.2 L’instanciation d’un objet.Les objets sont construits à partir <strong>de</strong> classe par un processus (une technique) appeléeinstanciation. Tout objet est une instance <strong>de</strong> classe.Si nous repr<strong>en</strong>ons l’exemple <strong>de</strong> la classe Bicyclette, on peut instancier <strong>de</strong>ux objets <strong>de</strong> la classebicyclettes avec <strong>de</strong>s va<strong>leur</strong>s d’attributs différ<strong>en</strong>tes. On peut avoir par exemple MonVelo dontl’attribut cou<strong>leur</strong> vaut Jaune et un autre objet TonVelo dont l’attribut cou<strong>leur</strong> est rouge.5.2.3 Spécification et réalisation.On retrouve <strong>de</strong>ux parties dans une classe : la spécification, la réalisation. La spécification(interface <strong>en</strong> Delphi) décrit le domaine <strong>de</strong> définition et les propriétés <strong>de</strong>s instances <strong>de</strong> cetteclasse. La réalisation (implém<strong>en</strong>tation <strong>en</strong> Delphi) décrit comm<strong>en</strong>t la spécification est réaliséeet conti<strong>en</strong>t le corps <strong>de</strong>s opérations et les données nécessaires à <strong>leur</strong> fonctionnem<strong>en</strong>t.28 / 213


Chapitre 1 : Etat <strong>de</strong> l’art5.3 Héritage <strong>de</strong>s classes.En programmation objet la technique la plus utilisée pour classifier les objets est l'héritage.Les classes <strong>en</strong>fants hérit<strong>en</strong>t <strong>de</strong>s caractéristiques <strong>de</strong> <strong>leur</strong>s classes par<strong>en</strong>ts, c'est à dire que lesattributs et les opérations déclarées dans la classe par<strong>en</strong>t sont accessibles dans la classe <strong>en</strong>fantcomme si ils avai<strong>en</strong>t été déclarés localem<strong>en</strong>t.Une sous classe peut ét<strong>en</strong>dre les fonctionnalités <strong>de</strong> sa super-classe <strong>en</strong> définissant <strong>de</strong> nouveauxattributs et/ou <strong>de</strong> nouvelles métho<strong>de</strong>s Une sous classe peut spécialiser les fonctionnalités <strong>de</strong>sa super-classe <strong>en</strong> redéfinissant (overri<strong>de</strong>) <strong>de</strong>s métho<strong>de</strong>s dont elle hérite.BicycletteChangerPignon()VTTTypeAmortisseurChangerPignon()VeloDeCourseRoueL<strong>en</strong>ticulairemanette <strong>de</strong>changem<strong>en</strong>t <strong>de</strong>vitesse à la poignéeVeloDeVilleretroviseurbequilleTan<strong>de</strong>m2eSelle2ePedalierFig. 26 Arbre d’héritage <strong>de</strong> la bicycletteOn parlera <strong>de</strong> classes concrètes lorsque qu’un objet peut être une instance <strong>de</strong> cette classe.Dans le cas contraire, la classe est qualifié d’abstraiteSi on pr<strong>en</strong>d le cas <strong>de</strong> la superclasse Herbivoire qui a <strong>de</strong>ux sous classes Lapin et Vache, onconsidère que les classes Lapin et Vache sont concrètes car on va r<strong>en</strong>contrer <strong>de</strong>s instances <strong>de</strong>Lapin (nain, lièvre) ou <strong>de</strong> Vache (Norman<strong>de</strong>, Charollaise) dans la nature. Au contraire, laclasse Herbivore est abstraite car on ne r<strong>en</strong>contera pas d’animal étant un Herbivoire.29 / 213


30 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyseChapitre 2 :Les mo<strong>de</strong>s d’analyse.Pour répondre à un besoin croissant d’outil <strong>de</strong> <strong>simulation</strong> pour l’électrotechnique, nous avonsdéveloppé Simul’Elec, un simulateur disposant <strong>de</strong> trois mo<strong>de</strong>s d’analyses complém<strong>en</strong>taires(régime perman<strong>en</strong>t, événem<strong>en</strong>tiel et fréqu<strong>en</strong>tiel). Son but est <strong>de</strong> proposer une alternative auxoutils actuels <strong>de</strong> <strong>simulation</strong> pour l’électrotechnique qui sont soit trop simplistes, soit tropcomplexes. Ces outils sont <strong>de</strong> plus dissocié <strong>de</strong> l’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> schématique électriqueprofessionnel. Nous proposons un logiciel permettant à toute personne ayant <strong>de</strong>s notionsd’électricité (technici<strong>en</strong>, élève, <strong>en</strong>seignant) <strong>de</strong> simuler facilem<strong>en</strong>t l’évolution séqu<strong>en</strong>tielle <strong>de</strong>l’état d’un circuit. Pour cela nous utilisons notamm<strong>en</strong>t un mo<strong>de</strong> d’analyse événem<strong>en</strong>tieloriginal et innovant avec lequel il est possible <strong>de</strong> mesurer aussi bi<strong>en</strong> les t<strong>en</strong>sions et courantsdu circuit que <strong>de</strong> visualiser l’évolution <strong>de</strong>s états logiques <strong>de</strong>s composants.1 Contexte d’utilisation.Simul’Elec est l’appellation commerciale du simulateur développé au cours <strong>de</strong> ce travail <strong>de</strong>thèse. Il est commercialisé par la société Algo’Tech Informatique [ALG]. Il est intégré aulogiciel <strong>de</strong> DAO-CAO électrique « Elec’View » sous la forme d’un module complém<strong>en</strong>tairequi permet <strong>de</strong> simuler les installations dont on a précé<strong>de</strong>mm<strong>en</strong>t réalisé le schéma. Elec’Viewest un logiciel <strong>de</strong> schématique ori<strong>en</strong>té vers la représ<strong>en</strong>tation d’installation électrotechnique,d’automatisme et <strong>de</strong> distribution électrique basse t<strong>en</strong>sion notamm<strong>en</strong>t.1.1 Les utilisateurs <strong>de</strong> Simul’Elec.Il est principalem<strong>en</strong>t <strong>de</strong>stiné à un public qui utilise le logiciel <strong>de</strong> schématique pour réaliser <strong>de</strong>sdossiers techniques électriques ayant pour partie c<strong>en</strong>trale les schémas. La <strong>simulation</strong> n’est pasla fonctionnalité première qu’ils recherch<strong>en</strong>t mais constitue une va<strong>leur</strong> ajoutée nonnégligeable.On peut cep<strong>en</strong>dant classer les utilisateurs <strong>en</strong> <strong>de</strong>ux gran<strong>de</strong>s catégories qui ont <strong>de</strong>s approchesdiffér<strong>en</strong>tes : Les <strong>en</strong>seignants, pour lesquels la <strong>simulation</strong> apparaît davantage comme un outilpédagogique permettant d’inculquer <strong>de</strong>s notions fondam<strong>en</strong>tales et ouvrant <strong>de</strong>spossibilités d’étudier <strong>de</strong>s phénomènes que l’on pourrait difficilem<strong>en</strong>t reproduirepratiquem<strong>en</strong>t (court-circuit, pannes…), d’un coût trop élevé ou dangereux pour lesélèves. Les industriels : bureau d’étu<strong>de</strong> ou <strong>de</strong> maint<strong>en</strong>ance, électrotechnique, automatisme,distribution électrique, courants forts. La plupart n’ont pas <strong>en</strong>core la culture <strong>de</strong> la31 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse<strong>simulation</strong> qui existe <strong>en</strong> électronique, hormis chez les concepteurs <strong>de</strong> <strong>circuits</strong> intégrant<strong>de</strong> l’électronique <strong>de</strong> puissance. Ceux là utilis<strong>en</strong>t déjà <strong>de</strong>s simulateurs du marché(SPICE ...) qui sont performants sur ces <strong>circuits</strong> et dispos<strong>en</strong>t <strong>de</strong> modèles fins fournispar les constructeurs <strong>de</strong> composants.Simul’Elec est conçu dans l’optique <strong>de</strong> pouvoir être manipulé par <strong>de</strong>s utilisateurs n’ayant pasla maîtrise <strong>de</strong>s modèles ni <strong>de</strong>s mo<strong>de</strong>s d’analyse. Il att<strong>en</strong><strong>de</strong>nt <strong>de</strong>s résultats fiables, à coup sûr,sans toutefois att<strong>en</strong>dre un précision trop fine.L’utilisation d’un logiciel <strong>de</strong> type SPICE avec ses problèmes <strong>de</strong> non-converg<strong>en</strong>ce et sonparamétrage complexe semble donc mal adapté à ce public.1.2 Choix d’une technique <strong>de</strong> modélisation.De nombreux simulateurs <strong>de</strong> réseaux électriques ori<strong>en</strong>tés vers l’électronique et l’électronique<strong>de</strong> puissance exist<strong>en</strong>t sur le marché. Les travaux <strong>de</strong> recherche <strong>en</strong>trepris par F. Seyler <strong>en</strong> 2000[SEY00], antérieurem<strong>en</strong>t à nos travaux <strong>de</strong> thèse, ont permis <strong>de</strong> faire l’inv<strong>en</strong>taire <strong>de</strong>sdiffér<strong>en</strong>tes techniques <strong>de</strong> <strong>simulation</strong> employées pour les <strong>circuits</strong> électriques notamm<strong>en</strong>t.Parmi ces techniques, on retrouvait la métho<strong>de</strong> d’analyse nodale [LIT97], la métho<strong>de</strong> <strong>de</strong>stableaux ou <strong>en</strong>core la métho<strong>de</strong> d’analyse s<strong>en</strong>sitive. Il est apparu que la métho<strong>de</strong> la plus fiableet la plus éprouvée est la Métho<strong>de</strong> d’Analyse Nodale [LIT97]. Cette métho<strong>de</strong> est employé<strong>en</strong>otamm<strong>en</strong>t par SPICE [NEW78] dont le co<strong>de</strong> source est dans le domaine public. SPICE est<strong>de</strong> fait à la base <strong>de</strong> la majorité <strong>de</strong>s simulateurs <strong>de</strong> <strong>circuits</strong> que l’on trouve dans les logiciels <strong>de</strong>CAO électronique. Il nous serait possible d’intégrer un noyau SPICE (la <strong>de</strong>rnière versionSPICE3F5 1995 [SPI2]). Mais intégrer SPICE ne prés<strong>en</strong>te pas forcém<strong>en</strong>t un grand intérêtsci<strong>en</strong>tifique ou technique. Toutefois, <strong>en</strong> faisant certaines restrictions et adaptations, à partir <strong>de</strong>cette métho<strong>de</strong> il est possible d’obt<strong>en</strong>ir <strong>de</strong>s résultats satisfaisants et relativem<strong>en</strong>t bi<strong>en</strong> adaptésau domaine <strong>de</strong> l’électrotechnique.1.3 Simulateur existant SiCi.Suite à une <strong>de</strong>man<strong>de</strong> <strong>de</strong> ses cli<strong>en</strong>ts, Algo’Tech Informatique a développé le premier prototype<strong>de</strong> simulateur « SiCi » (Simulateur <strong>de</strong> Circuit), <strong>en</strong> se basant sur la métho<strong>de</strong> d’analyse nodale.Il permet <strong>de</strong> simuler <strong>de</strong>s <strong>circuits</strong> analogiques linéaires comportant <strong>de</strong>s composantsélém<strong>en</strong>taires (sources sinusoïdales, résistances, inductances, con<strong>de</strong>nsateurs).Ses caractéristiques principales sont les suivantes :Simulation <strong>de</strong> sources sinusoïdales ou continues uniquem<strong>en</strong>t.Analyse <strong>en</strong> régime établi sinusoïdal.Analyse fréqu<strong>en</strong>tielle.Voici ses limitations par rapport à Spice.Pas d’algorithme <strong>de</strong> linéarisation <strong>de</strong> caractéristiques <strong>de</strong>s composants.Pas <strong>de</strong> modélisation <strong>de</strong> composant ayant <strong>de</strong>s caractéristiques t<strong>en</strong>sion/courant non linéaires(ex : semi-conducteurs).Pas <strong>de</strong> réduction d’équations différ<strong>en</strong>tielles. Pas d’algorithme d’intégration, dérivationnumérique.Pas d’analyse transitoire (TRAN) ni <strong>de</strong> point <strong>de</strong> repos <strong>en</strong> continu (DC).Le développem<strong>en</strong>t <strong>de</strong> ce prototype à permit <strong>de</strong> vali<strong>de</strong>r la faisabilité du simulateur et <strong>de</strong> jeterles bases <strong>de</strong> ce travail <strong>de</strong> thèse.32 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse1.4 Solutions ret<strong>en</strong>ues dans Simul’Elec.Dans le domaine <strong>de</strong> la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électriques SPICE [SPI1], où les simulateursintégrant son noyau, constitu<strong>en</strong>t l’imm<strong>en</strong>se majorité <strong>de</strong>s outils disponibles. Ils sont avant tout<strong>de</strong>stinés à la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électroniques où l’on trouve typiquem<strong>en</strong>t quantité <strong>de</strong>dio<strong>de</strong>s, transistors et autres composants « semi-conducteurs ».Ces composants ont un comportem<strong>en</strong>t non-linéaire, ce qui augm<strong>en</strong>te notablem<strong>en</strong>t lacomplexité et la durée <strong>de</strong>s calculs <strong>de</strong>s simulateurs <strong>en</strong> introduisant <strong>en</strong> plus <strong>de</strong>s risques <strong>de</strong> nonconverg<strong>en</strong>ce.Pour éviter ces risques, l’utilisateur doit possé<strong>de</strong>r une bonne connaissance <strong>de</strong>smodèles qu’il utilise et <strong>de</strong> l’outil <strong>de</strong> <strong>simulation</strong>.Dans la plupart <strong>de</strong>s cas, les <strong>circuits</strong> <strong>de</strong> l’électrotechnique que l’on souhaite simuler necomport<strong>en</strong>t pas les composants non linéaires r<strong>en</strong>contrés fréquemm<strong>en</strong>t <strong>en</strong> électronique (dio<strong>de</strong>s,transistor, etc.). Les non-linéarités que l’on doit traiter <strong>en</strong> électrotechnique (seuil,hystérésis…) sont davantage associées à <strong>de</strong>s changem<strong>en</strong>t d’état logique ou à <strong>de</strong>scomportem<strong>en</strong>ts Tout Ou Ri<strong>en</strong>, qui pourrai<strong>en</strong>t d’ail<strong>leur</strong>s être traités plus facilem<strong>en</strong>t par <strong>de</strong>ssimulateurs logiques plutôt qu’analogiques.Ce contexte <strong>de</strong> travail, nous amène à conclure qu’il n’est pas nécessaire <strong>de</strong> traiter les nonlinéarités d’une manière aussi rigoureuse que Spice peut le faire. Pour s’affranchir du risque<strong>de</strong> non converg<strong>en</strong>ce, nous avons donc éliminé dès la conception la possibilité <strong>de</strong> traiter lesnon-linéarités par les métho<strong>de</strong>s classiques <strong>de</strong> linéarisation par itération (Newton-Raphsonnotamm<strong>en</strong>t [RAP98]). Il est toutefois ess<strong>en</strong>tiel <strong>de</strong> pr<strong>en</strong>dre <strong>en</strong> considération les non-linéaritésassociées à un état logique que l’on traitera grâce au mo<strong>de</strong> d’analyse événem<strong>en</strong>tiel. Certainesinstallations ont un comportem<strong>en</strong>t séqu<strong>en</strong>tiel que le simulateur doit reproduire. Chaque étape<strong>de</strong> ce comportem<strong>en</strong>t séqu<strong>en</strong>tiel peut correspondre à un circuit différ<strong>en</strong>t du fait <strong>de</strong> la fermetureet à <strong>de</strong> l’ouverture <strong>de</strong> certaines branches liées par exemple au positionnem<strong>en</strong>t d’un contact <strong>de</strong>relais. De plus, les temps séparant <strong>de</strong>ux étapes successives peuv<strong>en</strong>t être relativem<strong>en</strong>t longs(secon<strong>de</strong>s, minutes, heures…) <strong>de</strong>vant les constantes du temps <strong>de</strong>s systèmes électriques. Lesuivi <strong>de</strong>s évolutions du système avec un mo<strong>de</strong> d’analyse transitoire classique (TRAN dansSPICE) se révèlerai alors trop coûteux <strong>en</strong> temps <strong>de</strong> calcul, complexe à exploiter et mal adaptéà nos préoccupations.Pour ces raisons, nous avons développé un mo<strong>de</strong> d’analyse événem<strong>en</strong>tiel innovant et plusciblé sur nos objectifs.33 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse1.5 Les mo<strong>de</strong>s d’analyse développés.Nous avons montré que la principale att<strong>en</strong>te <strong>de</strong>s utilisateurs <strong>de</strong> notre simulateur concerneprincipalem<strong>en</strong>t le suivi du comportem<strong>en</strong>t séqu<strong>en</strong>tiel du circuit étudié. Cela compr<strong>en</strong>d d’unepart l’étu<strong>de</strong> <strong>de</strong> l’aspect discret <strong>de</strong> ces séqu<strong>en</strong>ces (changem<strong>en</strong>ts d’état logique, continuitéélectrique, prés<strong>en</strong>ce <strong>de</strong> t<strong>en</strong>sion ou non…) qui donne <strong>de</strong>s informations qualitatives sur l’état <strong>de</strong>l’installation. D’autre part, l’utilisateur souhaite aussi obt<strong>en</strong>ir <strong>de</strong>s informations précisesconcernant l’aspect continu traité classiquem<strong>en</strong>t par les simulateurs électriques analogiques.Ce sont <strong>en</strong> général les va<strong>leur</strong>s instantanées <strong>de</strong>s t<strong>en</strong>sions et <strong>de</strong>s courants <strong>en</strong> tout point du circuitqui donn<strong>en</strong>t <strong>de</strong>s informations quantitatives sur l’état du circuit.Pour permettre un étu<strong>de</strong> adaptée à ces différ<strong>en</strong>ts besoins, nous avons développés 3 mo<strong>de</strong>sd’analyse complém<strong>en</strong>taires : Régime perman<strong>en</strong>t : Il donne <strong>de</strong>s va<strong>leur</strong>s <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant correspondant à unétat stable et établi du circuit. Il permet d’obt<strong>en</strong>ir les résultats <strong>de</strong> la <strong>simulation</strong> <strong>de</strong>manière immédiate et sans aucun paramétrage. Fréqu<strong>en</strong>tiel : Il permet d’étudier le comportem<strong>en</strong>t harmonique du circuit dans uneplage <strong>de</strong> fréqu<strong>en</strong>ce donnée. Evénem<strong>en</strong>tiel : Il complète le mo<strong>de</strong> d’analyse <strong>en</strong> régime perman<strong>en</strong>t <strong>en</strong> suivant lecomportem<strong>en</strong>t séqu<strong>en</strong>tiel <strong>de</strong>s composants. Aux va<strong>leur</strong>s <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant, ilajoute la visualisation <strong>de</strong>s états logiques et <strong>de</strong> <strong>leur</strong> impact électrique sur le circuit. Ilfacilite la création <strong>de</strong> stimuli complexes permettant d’interv<strong>en</strong>ir sur le circuit pourobserver ses réactions.34 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse2 Analyse <strong>en</strong> régime perman<strong>en</strong>t.Le mo<strong>de</strong> d’analyse <strong>en</strong> régime perman<strong>en</strong>t (RP) donne pour un instant et un état donné ducircuit les va<strong>leur</strong>s <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant <strong>en</strong> tout point. On considère que le circuit est dansun état stable, tout phénomène transitoire terminé. Ce mo<strong>de</strong> d’analyse pr<strong>en</strong>d <strong>en</strong> compte lesétats logiques <strong>de</strong>s composants et <strong>leur</strong> influ<strong>en</strong>ce sur le comportem<strong>en</strong>t électrique du circuit,comme par exemple la position ouverte ou fermé d’un interrupteur. Par contre, il ne permetpas <strong>de</strong> déterminer l’évolution d’un état logique <strong>en</strong> fonction <strong>de</strong>s résultats (t<strong>en</strong>sion et courant)<strong>de</strong> la <strong>simulation</strong>, tel que l’activation d’un relais ou le décl<strong>en</strong>chem<strong>en</strong>t d’un disjoncteur. Ce type<strong>de</strong> comportem<strong>en</strong>t séqu<strong>en</strong>tiel sera traité par le mo<strong>de</strong> d’analyse événem<strong>en</strong>tiel qui est donc uneext<strong>en</strong>sion séqu<strong>en</strong>tielle <strong>de</strong>s possibilités du mo<strong>de</strong> d’analyse <strong>en</strong> régime perman<strong>en</strong>t.Le mo<strong>de</strong> d’analyse <strong>en</strong> régime perman<strong>en</strong>t est bi<strong>en</strong> adapté aux spécificités <strong>de</strong>s <strong>circuits</strong>électrotechniques et aux composants que l’on y r<strong>en</strong>contre.2.1 Principe <strong>de</strong> l’analyse <strong>en</strong> régime perman<strong>en</strong>tOn va considérer qu’un schéma peut être simulé dès lors que : Tous ses composants sont associés à <strong>de</strong>s modèles connus. Toutes les bornes <strong>de</strong>s composants sont câblées. Il existe au moins une maille fermée dans le circuit. Il existe au moins une source dans le circuit et qu’elle n’est pas court-circuitée.Ces conditions sont nécessaires quel que soit le mo<strong>de</strong> d’analyse.Lorsqu’un circuit simulable est ouvert dans le logiciel <strong>de</strong> schématique, il est possible d’activerle module <strong>de</strong> <strong>simulation</strong>. Dès qu’il est activé, le simulateur effectue une analyse RP. En casd’échec <strong>de</strong> la résolution, l’utilisateur va <strong>de</strong>voir contrôler que les critères énoncésprécé<strong>de</strong>mm<strong>en</strong>t sont respectés. Si la résolution à réussi, l’utilisateur peut choisir les signaux <strong>de</strong>t<strong>en</strong>sion et <strong>de</strong> courant qu’il veut observer et obt<strong>en</strong>ir immédiatem<strong>en</strong>t les résultatscorrespondants. Il peut <strong>en</strong>suite modifier les caractéristiques <strong>de</strong>s composants et <strong>en</strong> constaterl’effet sur les courbes <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant qu’il a sélectionnées.Il existe <strong>de</strong>ux types <strong>de</strong> paramètres qui ont une influ<strong>en</strong>ce sur le résultat <strong>de</strong> la <strong>simulation</strong>prés<strong>en</strong>té à l’utilisateur. Alors que les caractéristiques <strong>de</strong>s composants influ<strong>en</strong>c<strong>en</strong>t larésolution, les paramètres <strong>de</strong> l’analyse modifi<strong>en</strong>t seulem<strong>en</strong>t l’affichage <strong>de</strong>s résultats.2.1.1 Caractéristiques <strong>de</strong>s composants.Les caractéristiques <strong>de</strong>s composants vont r<strong>en</strong>seigner <strong>leur</strong>s modèles et déterminer <strong>leur</strong>scomportem<strong>en</strong>ts. Nous distinguons : Les paramètres tels que l’impédance, l’excitation, la fréqu<strong>en</strong>ce, etc., liés à une va<strong>leur</strong>caractéristique du modèle. L’état logique qui permet <strong>de</strong> choisir <strong>en</strong>tre <strong>de</strong>ux modèles possibles pour un mêmecomposant. C’est par exemple le cas <strong>de</strong> l’interrupteur qui a un modèle <strong>de</strong> circuitouvert lorsqu’il est au repos et un modèle <strong>de</strong> court-circuit quand il est activé. L’étatlogique n’existe que chez les composants où cette notion a une signification.Une modification d’un paramètre va provoquer le changem<strong>en</strong>t d’un coeffici<strong>en</strong>t du systèmed’équations à résoudre alors que la modification <strong>de</strong> l’état logique peut am<strong>en</strong>er à redéfinircomplètem<strong>en</strong>t ce système d’équations pour refléter une modification <strong>de</strong> la structure du circuit35 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse(branche ouverte ou court-circuitée). Dans tous les cas, la modification d’une <strong>de</strong> cescaractéristiques oblige l’utilisateur à relancer une <strong>simulation</strong> pour qu’elle soit prise <strong>en</strong> compte.2.1.2 Paramètres <strong>de</strong> l’analyse.Les paramètres <strong>de</strong> l’analyse sont : La durée <strong>de</strong> la <strong>simulation</strong> qui détermine l’intervalle <strong>de</strong> temps sur lequel les courbes <strong>de</strong>t<strong>en</strong>sion et <strong>de</strong> courant sont tracées. La finesse du tracé qui fixe le nombre <strong>de</strong> points visualisés sur la durée <strong>de</strong> la<strong>simulation</strong>. Le mo<strong>de</strong> <strong>de</strong> représ<strong>en</strong>tation permet <strong>de</strong> choisir un tracé temporel ou sous forme <strong>de</strong>vecteurs <strong>de</strong> Fresnel [EDM97] (utilisable uniquem<strong>en</strong>t <strong>en</strong> régime sinusoïdal).Contrairem<strong>en</strong>t aux caractéristiques <strong>de</strong>s composants, ces paramètres n’ont aucune influ<strong>en</strong>ce surla résolution <strong>en</strong> RP du système. Cela apporte un bénéfice important au niveau du tempsd’affichage car le simulateur re<strong>de</strong>ssine uniquem<strong>en</strong>t les courbes à afficher <strong>en</strong> utilisant lesrésultats <strong>de</strong> la <strong>de</strong>rnière résolution.2.2 Spécificités <strong>de</strong>s <strong>circuits</strong> « électrotechniques ».En électrotechnique, on utilise principalem<strong>en</strong>t <strong>de</strong>ux types <strong>de</strong> courants: Courant alternatif sinusoïdal (<strong>de</strong> fréqu<strong>en</strong>ce 50 Hz <strong>en</strong> Europe). Courant continu.La majorité <strong>de</strong>s appareils r<strong>en</strong>contrés fonctionne sous une t<strong>en</strong>sion nominale fixe (hormis lesvariateurs alim<strong>en</strong>tant <strong>de</strong>s moteurs par exemple) sinusoïdale ou continue. Dans un circuit àtransistors, on trouve la notion <strong>de</strong> point <strong>de</strong> fonctionnem<strong>en</strong>t (voir Chapitre 1) qui correspondaux points autour <strong>de</strong>squels on linéarise la caractéristique <strong>de</strong>s composants. Cette notionassociée à la polarisation <strong>de</strong>s composants n’existe pas <strong>en</strong> électrotechnique.L’analyse RP peut traiter le cas du courant continu, du sinusoïdal et d’une manière générale<strong>de</strong>s <strong>circuits</strong> composés <strong>de</strong> plusieurs sources sinusoïdales <strong>de</strong> fréqu<strong>en</strong>ces différ<strong>en</strong>tes. Cela restetranspar<strong>en</strong>t à l’utilisateur qui choisit uniquem<strong>en</strong>t les signaux <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant qu’ilsouhaite afficher.2.2.1 Régime sinusoïdal.Lorsque l’on veut simuler un circuit, excité par une (ou <strong>de</strong>s) sources sinusoïdales <strong>de</strong> fréqu<strong>en</strong>cedonnée, l’expression <strong>de</strong>s équations différ<strong>en</strong>tielles régissant le comportem<strong>en</strong>t du circuit peutalors se mettre sous la forme simplifiée d’une équations aux termes complexes.Ex : cas d’un circuit RLCdi(t)u(t)R.i(t)L 1dt C<strong>de</strong>vi<strong>en</strong>t pour u(t) = A.cos(t+)U Rj L.I .C. 1T0i(t)dtR1000 OhmsL1 HC1µ FFig. 1 Circuit RLC série36 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyseEn application <strong>de</strong> ce principe, un circuit sera décrit par un système d’équations complexes.Pour la résolution, nous utiliserons la Métho<strong>de</strong> Nodale Modifiée [LIT97] comme le faitl’analyse AC <strong>de</strong> Spice. Mais dans notre cas, nous n’avons pas à effectuer <strong>de</strong> linéarisation,donc nous n’avons pas à calculer au préalable <strong>de</strong> point <strong>de</strong> fonctionnem<strong>en</strong>t comme dansl’analyse OP <strong>de</strong> Spice, opération qui peut se révéler coûteuse <strong>en</strong> temps <strong>de</strong> calcul lié auxmultiples itérations.Ce mo<strong>de</strong> d’analyse nous permet d’obt<strong>en</strong>ir, <strong>en</strong> une seule résolution du système d’équations, lesva<strong>leur</strong>s complexes <strong>de</strong> t<strong>en</strong>sions et <strong>de</strong> courants <strong>en</strong> tout point du circuit, donc <strong>de</strong> connaître trèsrapi<strong>de</strong>m<strong>en</strong>t l’état du circuit <strong>en</strong> régime établi.2.2.2 Régime continu.Le prototype SiCi manipule <strong>de</strong>s va<strong>leur</strong>s complexes d’impédance, <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant.Elles permett<strong>en</strong>t d’obt<strong>en</strong>ir à chaque résolution du système <strong>de</strong>s signaux sinusoïdaux <strong>de</strong> t<strong>en</strong>sionet <strong>de</strong> courant à partir <strong>de</strong> <strong>leur</strong> amplitu<strong>de</strong>, <strong>leur</strong> phase et <strong>leur</strong> fréqu<strong>en</strong>ce.Si les sources sinusoïdales permett<strong>en</strong>t <strong>de</strong> traiter un grand nombre <strong>de</strong> cas <strong>de</strong>s <strong>circuits</strong>électrotechniques, il est indisp<strong>en</strong>sable <strong>de</strong> pouvoir appliquer <strong>de</strong>s excitations continues. Enconservant le principe <strong>de</strong> résolution <strong>de</strong> SiCi, nous avons fait évoluer Simul’Elec pour pouvoirtraiter <strong>de</strong>s t<strong>en</strong>sions et courants continus.Afin d’être résolu numériquem<strong>en</strong>t, le système d’équations complexe est stocké dans <strong>de</strong>smatrices. La fréqu<strong>en</strong>ce d’excitation du circuit va influer sur les va<strong>leur</strong>s qui vont être inscritesdans ces matrices. Par exemple, pour un inductance L, on va insérer un va<strong>leur</strong> Zl =jL.correspondant à son impédance complexe qui dép<strong>en</strong>d donc <strong>de</strong> la pulsation du circuit traité.Mais lorsque les matrices seront complètem<strong>en</strong>t construites pour traduire l’<strong>en</strong>semble dusystème d’équations, il n’y aura plus que <strong>de</strong>s va<strong>leur</strong>s numériques indép<strong>en</strong>dantes <strong>de</strong> lapulsation.Au niveau <strong>de</strong> la résolution, le simulateur considère que pour un signal continu, on traite unsignal <strong>de</strong> fréqu<strong>en</strong>ce nulle. Par contre, l’exploitation <strong>de</strong>s résultats doit être adaptée. En effet,pour une fréqu<strong>en</strong>ce donnée, le simulateur donne les va<strong>leur</strong>s <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant sousforme d’amplitu<strong>de</strong> A et <strong>de</strong> phase . Dans le cas général, le simulateur va tracer un signal <strong>en</strong>appliquant la relation s(t) = A.sin(.t+). Dans le cas du continu, et sont nuls, on auraitdonc <strong>de</strong>s signaux s(t) = 0.sin(0.t+) = 0. Le simulateur utilise alors la relation s(t)=A.Ainsi, pour traiter le cas d’une source continue, nous utilisons la même métho<strong>de</strong> d’analyse et<strong>de</strong> résolution, <strong>en</strong> considérant qu’il s’agit du cas particulier où la fréqu<strong>en</strong>ce <strong>de</strong> travail du circuitest nulle. Alors au lieu <strong>de</strong> termes complexes, on obti<strong>en</strong>t <strong>de</strong>s termes réels dans le systèmed’équations, donc <strong>de</strong>s solutions réelles.Ayant intégré la <strong>simulation</strong> <strong>de</strong>s courants continus, il a été nécessaire <strong>de</strong> modifier les modèlesexistants pour les r<strong>en</strong>dre plus efficaces et faciliter la résolution numérique du système. Ainsil’inductance idéale dont l’impédance Z L est définie par la relation ZL L. <strong>de</strong>vi<strong>en</strong>t un courtcircuit (fusion <strong>de</strong> 2 nœuds) plutôt qu’une impédance nulle.2.2.3 Régime multifréqu<strong>en</strong>ce :Certains <strong>circuits</strong> peuv<strong>en</strong>t être excités par <strong>de</strong>s sources possédant plusieurs fréqu<strong>en</strong>cesd’excitation. Le simulateur effectuera alors une résolution pour chacune <strong>de</strong>s fréqu<strong>en</strong>cesprés<strong>en</strong>tes dans le circuit, <strong>en</strong> éteignant les sources <strong>de</strong> fréqu<strong>en</strong>ce différ<strong>en</strong>tes <strong>de</strong> celle <strong>de</strong> larésolution <strong>en</strong> cours. Ensuite, ayant obt<strong>en</strong>u autant <strong>de</strong> solutions que <strong>de</strong> fréqu<strong>en</strong>ces, l’applicationdu principe <strong>de</strong> superposition permettra <strong>de</strong> combiner les participations <strong>de</strong>s différ<strong>en</strong>tesexcitations et <strong>de</strong> retrouver ainsi l’évolution temporelle du signal.37 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analysePrincipe <strong>de</strong> superposition [EDM97] :Pour obt<strong>en</strong>ir les différ<strong>en</strong>ts t<strong>en</strong>sions et courants <strong>de</strong> branche d’un réseau électrique comportant<strong>de</strong>ux ou plusieurs sources indép<strong>en</strong>dantes, nous pouvons considérer chacune <strong>de</strong>s sourcescomme agissant seule à la fois et <strong>en</strong>suite superposer <strong>leur</strong>s effets. Ce principe peut s’appliquervu le caractère linéaire <strong>de</strong>s relations t<strong>en</strong>sion - courant. Lorsque les sources sont dép<strong>en</strong>dantes,le principe ne s’utilise que lorsque les fonctions <strong>de</strong> comman<strong>de</strong> sont extérieures au réseaucomportant ces sources, ce qui assure que <strong>leur</strong> contrôle reste inchangé même si elles agiss<strong>en</strong>tune à une. Les sources <strong>de</strong> t<strong>en</strong>sion supprimées (lorsque qu’une seule source est maint<strong>en</strong>ue)sont remplacée par <strong>de</strong>s courts-<strong>circuits</strong> ; les sources <strong>de</strong> courant sont remplacées par <strong>de</strong>s<strong>circuits</strong> ouverts.Des cas simples peuv<strong>en</strong>t être par exemple une source délivrant une t<strong>en</strong>sion sinusoïdalecomportant un composante continue. Nous considérons alors que nous disposons d’une sourcecontinue (fréqu<strong>en</strong>ce nulle) et d’une source sinusoïdale <strong>en</strong> série.On peut <strong>en</strong>core traiter une source sinusoïdale représ<strong>en</strong>tant l’alim<strong>en</strong>tation du réseau électriqueperturbée par une secon<strong>de</strong> source sinusoïdale d’une fréqu<strong>en</strong>ce plus élevée et d’amplitu<strong>de</strong> bi<strong>en</strong>plus faible qui modélise <strong>de</strong>s harmoniques qui parasit<strong>en</strong>t le réseau.Ce principe <strong>de</strong> régime multifréqu<strong>en</strong>ce nous permet notamm<strong>en</strong>t <strong>de</strong> modéliser <strong>de</strong>s sourcescomplexes issues <strong>de</strong> l’assemblage <strong>de</strong> plusieurs sources <strong>de</strong> fréqu<strong>en</strong>ces différ<strong>en</strong>tes. Nous avonspu modéliser ainsi <strong>de</strong>s sources <strong>de</strong> t<strong>en</strong>sion carrée ou triangulaire à partir <strong>de</strong> <strong>leur</strong> décomposition<strong>en</strong> série <strong>de</strong> Fourier.Exemple d’une source multifréqu<strong>en</strong>ce, source <strong>de</strong> t<strong>en</strong>sion carrée :En se basant sur le principe décrit précé<strong>de</strong>mm<strong>en</strong>t, il nous est possible <strong>de</strong> simuler la réponsed’un circuit à une t<strong>en</strong>sion carrée. Pour cela, nous modélisons cette source carré sous formed’une superposition <strong>de</strong> sources sinusoïdales dont les caractéristiques (amplitu<strong>de</strong>, pulsation etphase) nous sont données par une décomposition <strong>en</strong> série <strong>de</strong> Fourier [EDM97] .Soit la source <strong>de</strong> t<strong>en</strong>sion carrée d’amplitu<strong>de</strong> A, <strong>de</strong> pulsation , <strong>de</strong> rapport cyclique ½, <strong>de</strong>« déphasage » et <strong>de</strong> va<strong>leur</strong> moy<strong>en</strong>ne nulle.Ve( t) Nai.cosi.tiaveci1 4. Aai , i ( 2. i1). et i.( 2. i1)(2. i1)..2Le modèle ret<strong>en</strong>u apparaît <strong>en</strong> Fig. 2:Fig. 2 Symbole <strong>de</strong> source carréeTt<strong>en</strong>sionCarreeNom = NomSourceAmplitu<strong>de</strong> = EMoy<strong>en</strong>ne = Va<strong>leur</strong> Moy<strong>en</strong>nepulsation = 2.PI.Fréqu<strong>en</strong>cePhase = PhaseNbr harmoniques = Nombre harmoniquesTab. 1 Paramètre <strong>de</strong> la source carrée38 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyseLes paramètres Amplitu<strong>de</strong>, Pulsation et Phase caractéris<strong>en</strong>t la source et permett<strong>en</strong>t <strong>de</strong>déterminer les coeffici<strong>en</strong>ts <strong>de</strong> Fourier pour les différ<strong>en</strong>tes pulsations.Le paramètre « Nombre d’harmoniques » détermine le nombre N <strong>de</strong> pulsation que va cont<strong>en</strong>irla source. Plus cette va<strong>leur</strong> sera élevée, plus la forme <strong>de</strong> la t<strong>en</strong>sion sera proche d’une t<strong>en</strong>sioncarré idéale comme on le vérifie sur les Fig. 3 à Fig. 6 .Par contre, l’amélioration <strong>de</strong> la finesse du signal provoque l’augm<strong>en</strong>tation du temps <strong>de</strong> calculdû à l’ajout d’une résolution par fréqu<strong>en</strong>ce harmonique supplém<strong>en</strong>taire.Fig. 3 Signal Pseudo carré pour N = 3 Fig. 4 Signal Pseudo carré pour N=10Fig. 5 Signal Pseudo carré pour N=100 Fig. 6 Signal Pseudo carré pour N=10002.3 Utilisation <strong>de</strong> source multifréqu<strong>en</strong>ce : réponse d’uncircuit RLC série.En excitant un circuit RLC série avec notre source carrée ( Fig. 7 ), nous pouvons obt<strong>en</strong>ir laréponse indicielle du circuit comme on le ferait expérim<strong>en</strong>talem<strong>en</strong>t. Ainsi, nous obt<strong>en</strong>ons unrésultat similaire à celui donné par à une analyse transitoire réalisée avec Spice.Fig. 7 Circuit RLC série alim<strong>en</strong>té par une source carrée39 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyseLors <strong>de</strong> cette analyse transitoire, on va calculer l’évolution temporelle <strong>de</strong>s signaux point aprèspoint <strong>en</strong> utilisant le système d’équations différ<strong>en</strong>tielles régissant le comportem<strong>en</strong>t du circuit.Il sera nécessaire pour chaque point <strong>de</strong> dériver ces équations et fonctions du point précé<strong>de</strong>nt(calcul <strong>de</strong> la dérivée partielle) puis <strong>de</strong> les linéariser.Par contre, l’utilisation d’une source multifréqu<strong>en</strong>ce pour appliquer une t<strong>en</strong>sion carrée, nouspermet <strong>de</strong> résoudre directem<strong>en</strong>t, pour chaque fréqu<strong>en</strong>ce, un système d’équations complexeslinéaires. Dans ce cas, on n’évalue pas l’évolution temporelle <strong>de</strong>s signaux lors <strong>de</strong> larésolution. C’est uniquem<strong>en</strong>t au mom<strong>en</strong>t du tracé <strong>de</strong> signaux sélectionnés que l’on va calculerpoint par point la courbe <strong>de</strong> t<strong>en</strong>sion ou <strong>de</strong> courant à visualiser (selon la finesse d’affichagevoulue). Pour cette opération, on applique le principe <strong>de</strong> superposition pour ajouter lesrésultats obt<strong>en</strong>us pour les différ<strong>en</strong>tes pulsations.Pour tracer une t<strong>en</strong>sion u(t), on disposera <strong>de</strong>s données suivantes : TabT<strong>en</strong>sion : Tableau <strong>de</strong> va<strong>leur</strong>s complexes <strong>de</strong> taille N (nombre d’harmoniques) oùTabT<strong>en</strong>sion[i].Mod désigne l’amplitu<strong>de</strong> <strong>de</strong> la t<strong>en</strong>sion correspondante à l’harmonique iet TabT<strong>en</strong>sion[i].Arg la phase <strong>de</strong> cette même t<strong>en</strong>sion. TabPulsations : Tableau <strong>de</strong>s N pulsations prés<strong>en</strong>tes dans le circuit. De mêmeTabPulsations[i] conti<strong>en</strong>t la va<strong>leur</strong> réelle <strong>de</strong> la pulsation <strong>de</strong> rang i.On peut alors déterminer la t<strong>en</strong>sion :u(t)Ni1TabT<strong>en</strong>sion[i].Modcos(TabPulsations[i]tTabT<strong>en</strong>sions[i].Arg)Cette opération est certes coûteuse <strong>en</strong> temps <strong>de</strong> calcul, mais elle ne s’applique qu’aux seulssignaux à visualiser. A l’opposé, lors d’une analyse transitoire Spice, on recalcule pourchaque point temporel l’<strong>en</strong>semble <strong>de</strong>s t<strong>en</strong>sions et courants du circuit.Le premier avantage qui apparaît est <strong>de</strong> permettre d’observer une réponse indicielle sans avoirà développer un mo<strong>de</strong> d’analyse transitoire ainsi que les métho<strong>de</strong>s <strong>de</strong> réductions ainsi que lesmétho<strong>de</strong>s <strong>de</strong> « réduction » <strong>de</strong>s équations différ<strong>en</strong>tielles et <strong>de</strong> linéarisation qui doiv<strong>en</strong>t y êtreassociées. Ainsi, on s’affranchit égalem<strong>en</strong>t d’avoir à déterminer un pas <strong>de</strong> calcul temporeloptimal.Dans les <strong>de</strong>ux cas, la durée <strong>de</strong> la <strong>simulation</strong> est directem<strong>en</strong>t liée à la finesse du résultat àobt<strong>en</strong>ir. En analyse transitoire, celle ci est rattachée au pas <strong>de</strong> calcul temporel (dont dép<strong>en</strong>d l<strong>en</strong>ombre <strong>de</strong> points calculés). A l’opposé, pour l’analyse <strong>en</strong> régime perman<strong>en</strong>t <strong>de</strong> notresimulateur, elle est dép<strong>en</strong>dante du nombre d’harmonique existant dans le circuit.Nous allons comparer, sur le cas <strong>de</strong> ce circuit RLC série, l’évolution <strong>de</strong> l’erreur <strong>en</strong>tre le signalthéorique et celui obt<strong>en</strong>u par la <strong>simulation</strong> <strong>en</strong> fonction du nombre <strong>de</strong> points <strong>de</strong> calcul.2.3.1 Conditions <strong>de</strong> l’étu<strong>de</strong>.Nous allons comparer les résultats obt<strong>en</strong>us pour la t<strong>en</strong>sion Uc. L’analyse porte sur un tempsallant <strong>de</strong> 0 à 5, étant la constante <strong>de</strong> temps du circuit. On considère que pour t=5 le régimeest établi. Sur cette durée <strong>de</strong> 5, nous allons calculer théoriquem<strong>en</strong>t 5000 points servant <strong>de</strong>référ<strong>en</strong>ce par rapport aux résultats <strong>de</strong> <strong>simulation</strong>. Nous allons évaluer dans les 2 casl’évolution <strong>de</strong> l’erreur pour un nombre <strong>de</strong> point allant <strong>de</strong> 1 à 1000.On sait qu’<strong>en</strong> appliquant un échelon <strong>de</strong> t<strong>en</strong>sion unitaire, on obti<strong>en</strong>t une t<strong>en</strong>sion au bornes ducon<strong>de</strong>nsateur :Uc( t)(1e(t/))cos(t/To)40 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyset<strong>en</strong>sion <strong>en</strong> VErreurCourbe UcCourbe Uc théoriqueCourbe Uc <strong>en</strong> analyse AC a pas constantavec 10 pointcourbe Simul'Elec1Tot=TAU0temps <strong>en</strong> ms0 2000 4000 6000 8000 10000Fig. 8 Comparaison <strong>de</strong>s réponses du circuit RLC2.3.2 Erreur relevée <strong>en</strong> analyse transitoire Spice.Pour chaque point <strong>de</strong> calcul, on obti<strong>en</strong>t un résultat conforme à la courbe théorique (auxarrondis <strong>de</strong> calcul près). En effet, on applique un échelon <strong>de</strong> t<strong>en</strong>sion idéal et on résoud lesystème à partir <strong>de</strong>s équations différ<strong>en</strong>tielles. Entre 2 points <strong>de</strong> calcul la courbe obt<strong>en</strong>ue estlinéaire, on a donc pour les temps intermédiaires une erreur que l’on va cumuler pour obt<strong>en</strong>irl’erreur globale sur toute la durée <strong>de</strong> l’analyse. On considère ici que le pas <strong>de</strong> calcul temporelest constant alors que dans la pratique on peut avoir un pas variable (optimisé selon la p<strong>en</strong>telocale <strong>de</strong> la courbe notamm<strong>en</strong>t).2.3.3 Erreur relevée avec une source multi-fréqu<strong>en</strong>ce.Contrairem<strong>en</strong>t au cas précé<strong>de</strong>nt, on aura toujours une erreur <strong>en</strong>tre la va<strong>leur</strong> théorique et lava<strong>leur</strong> calculée pour un instant t, d’après la superposition <strong>de</strong>s résultats obt<strong>en</strong>us pour chaquefréqu<strong>en</strong>ce. L’égalité est vraie pour un nombre infini d’harmoniques. Dans la pratique, pour lecas d’une source carrée, l’erreur est quasim<strong>en</strong>t nulle au <strong>de</strong>là <strong>de</strong> 3000 harmoniques. Nousallons calculer la va<strong>leur</strong> <strong>de</strong> Uc pour différ<strong>en</strong>t nombre d’harmoniques <strong>en</strong> appliquant le principe<strong>de</strong> superposition.Evolution <strong>de</strong> l'erreur selon le nombre <strong>de</strong> pointsErreur cumulée1 10 Nombre <strong>de</strong> points 100100001000SPICESimul'Elec1001010,10,010,0010,00010,000010,000001Fig. 9 Evolution <strong>de</strong> l’erreur <strong>en</strong> fonction du nombre <strong>de</strong> points41 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse3 L’analyse fréqu<strong>en</strong>tielle.Le but du mo<strong>de</strong> d’analyse fréqu<strong>en</strong>tielle est <strong>de</strong> permettre <strong>de</strong> réaliser une étu<strong>de</strong> harmoniqued’un circuit. Ce mo<strong>de</strong> d’analyse s’appar<strong>en</strong>te d’assez près au mo<strong>de</strong> d’analyse AC <strong>de</strong> SPICE.Nous verrons que les résultats sont quasim<strong>en</strong>t i<strong>de</strong>ntiques et prés<strong>en</strong>t<strong>en</strong>t <strong>de</strong>s performancessatisfaisantes (chapitre 4 : validation). Ce mo<strong>de</strong> d’analyse n’est pas réellem<strong>en</strong>t original dansson principe mais nous avons essayé <strong>de</strong> le développer dans l’esprit général <strong>de</strong> Simul’Elec axésur le simplicité d’utilisation.Précisons égalem<strong>en</strong>t que pour nos utilisateurs, c’est surtout l’analyse <strong>en</strong> régime perman<strong>en</strong>t oul’analyse événem<strong>en</strong>tielle qui prés<strong>en</strong>te une forte va<strong>leur</strong> ajoutée. L’analyse fréqu<strong>en</strong>tielle n’<strong>en</strong>constitue pas moins un complém<strong>en</strong>t intéressant <strong>de</strong> l’étu<strong>de</strong> temporelle notamm<strong>en</strong>t dans uncadre pédagogique. Il faut tout d’abord noter que ce mo<strong>de</strong> d’analyse va simuler <strong>de</strong>s réponsesharmoniques <strong>de</strong> <strong>circuits</strong> <strong>en</strong> correspondance avec les modèles qui ont pu être introduit. Or pourla plupart <strong>de</strong>s modèles, c’est ess<strong>en</strong>tiellem<strong>en</strong>t sur le comportem<strong>en</strong>t temporel ou séqu<strong>en</strong>tiel quela modélisation s’appuie. De nombreux modèles ont donc <strong>de</strong>s ban<strong>de</strong>s passantes infinies oudonn<strong>en</strong>t <strong>de</strong>s réponses <strong>en</strong> fréqu<strong>en</strong>ces. C’est par exemple le cas <strong>de</strong>s disjoncteurs et <strong>de</strong>stransformateurs qui ont un comportem<strong>en</strong>t i<strong>de</strong>ntique quelle que soit la fréqu<strong>en</strong>ce.Un <strong>de</strong>s champs d’application <strong>de</strong> ce mo<strong>de</strong> d’analyse est l’étu<strong>de</strong> <strong>de</strong> filtres.3.1 Principe <strong>de</strong> l’analyse fréqu<strong>en</strong>tielle.Il va être possible d’appliquer ce mo<strong>de</strong> d’analyse sur un circuit excité par une source unique.Cela peut être par exemple le cas du circuit RLC série que l’on a traité par l’analyse RP <strong>en</strong> 2.3et dont on détaille le comportem<strong>en</strong>t fréqu<strong>en</strong>tiel plus loin ( voir <strong>en</strong> 3.2 ).L’utilisateur doit tout d’abord sélectionner l’<strong>en</strong>trée du circuit où l’on va placer une sourcesinusoïdale dont la fréqu<strong>en</strong>ce va varier pour effectuer un balayage dans la gamme <strong>de</strong>fréqu<strong>en</strong>ce choisie par l’utilisateur. Il d’agit <strong>de</strong> la source qui excite normalem<strong>en</strong>t le circuit.Pour notre circuit RLC ( Fig. 10 ), on sélectionnera la source <strong>de</strong> t<strong>en</strong>sion carrée. L’utilisateurdoit égalem<strong>en</strong>t sélectionner la t<strong>en</strong>sion <strong>de</strong> sortie (2 bornes ou un dipôle).En fonction <strong>de</strong> la finesse désirée, le simulateur va déterminer un certain nombre <strong>de</strong> pointsdans la gamme <strong>de</strong> fréqu<strong>en</strong>ce sélectionnée. La progression <strong>en</strong>tre un point et le suivant se fait <strong>de</strong>manière logarithmique. Pour chaque va<strong>leur</strong> <strong>de</strong> fréqu<strong>en</strong>ce, le système d’équations est résolu,après avoir été réévalué. En effet, toutes les va<strong>leur</strong>s caractéristiques <strong>de</strong> composantsdép<strong>en</strong>dantes <strong>de</strong> la pulsation sont recalculées comme par exemple l’admittance d’uncon<strong>de</strong>nsateur Yc = C..Le simulateur fait ainsi autant <strong>de</strong> résolutions que <strong>de</strong> points <strong>de</strong> fréqu<strong>en</strong>ce souhaitée dans laplage définie par l’utilisateur.Ce <strong>de</strong>rnier a choisi une source associée à une t<strong>en</strong>sion complexe Ve et une sortie à laquelle onpeut associer une autre t<strong>en</strong>sion complexe Vs. Le résultat affiché représ<strong>en</strong>te le rapport Vs/Vequi sera tracé sur <strong>de</strong>s diagrammes <strong>de</strong> Bo<strong>de</strong> d’amplitu<strong>de</strong> et <strong>de</strong> phase ou <strong>en</strong>core <strong>de</strong>s diagrammes<strong>de</strong> Black.42 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse3.2 Cas d’un circuit RLC série.Un circuit RLC série est un cas typique pouvant être traité par l’analyse fréqu<strong>en</strong>tielle. A partirdu même schéma qui nous a permit d’étudier son comportem<strong>en</strong>t temporel <strong>en</strong> réponse à unsignal carré, on va pouvoir <strong>en</strong> faire l’étu<strong>de</strong> harmonique.Fig. 10 Circuit RLC série alim<strong>en</strong>té par une source carréeOn peut ainsi mesurer <strong>de</strong>s va<strong>leur</strong>s caractéristiques telles que le gain statique, la fréqu<strong>en</strong>ce <strong>de</strong>coupure, <strong>de</strong> résonance, etc et vérifier l’influ<strong>en</strong>ce <strong>de</strong>s paramètres <strong>de</strong>s composants du circuit surces va<strong>leur</strong>s. L’utilisateur sélectionne ici la source carrée à laquelle le simulateur substitue unesource sinusoïdale <strong>de</strong> t<strong>en</strong>sion Ve. En sortie on mesurera la t<strong>en</strong>sion Vs aux bornes ducon<strong>de</strong>nsateur C1. L’étu<strong>de</strong> porte sur une plage <strong>de</strong> 1 à 1000 Hz qui compte 650 points.20.log(Vs/Ve) dBDiagramme <strong>de</strong> bo<strong>de</strong> Amplitu<strong>de</strong>100Fréqu<strong>en</strong>ce (Hz)-101 10 100 1000-20-30-40-50Diagramme <strong>de</strong> Bo<strong>de</strong> phasePhase (Vs/Ve) Deg0Fréqu<strong>en</strong>ce (Hz)1 10 100 1000-45-90-135-180Fig. 11 Diagrammes <strong>de</strong> Bo<strong>de</strong> du Circuit RLC43 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse3.2.1 Correction automatique <strong>de</strong> phase.Les résultats bruts issus du simulateurs sont <strong>de</strong>s va<strong>leur</strong>s <strong>de</strong> t<strong>en</strong>sion complexes. On aura <strong>de</strong>sva<strong>leur</strong>s <strong>de</strong> déphasage comprises <strong>en</strong>tre –180° et 180°. Bi<strong>en</strong> que correct, l’affichage cesdonnées brutes peut être déstabilisant car on a un risque <strong>de</strong> discontinuité dans la courbe <strong>de</strong>phase du diagramme <strong>de</strong> Bo<strong>de</strong>. Par exemple pour un filtre d’un ordre 4 ou pourra r<strong>en</strong>contrerune phase qui décroît lorsque la fréqu<strong>en</strong>ce augm<strong>en</strong>te pour passer <strong>de</strong> 0 à –360°. Comme lesimulateur, ne donne que <strong>de</strong>s va<strong>leur</strong>s comprise <strong>en</strong>tre +/-180, toutes les va<strong>leur</strong>s inférieurs à -180° sont affichées comme valant 360° <strong>de</strong> plus que la va<strong>leur</strong> att<strong>en</strong>due, provoquant ainsi unerupture dans la continuité <strong>de</strong> la courbe. Nous avons donc mis <strong>en</strong> place un dispositif permettantd’éliminer l’affichage <strong>de</strong> ces discontinuités pour avoir un r<strong>en</strong>du plus familier pourl’utilisateur. On peut voir l’effet <strong>de</strong> cette correction automatique <strong>de</strong> phase <strong>en</strong> Fig. 12.Phase <strong>en</strong> <strong>de</strong>grés180900-90-180-270-360Effet <strong>de</strong> la correction automatique <strong>de</strong> phase <strong>en</strong> analysefréqu<strong>en</strong>tiellephase corrigéephase non corrigée10 100 Fréqu<strong>en</strong>ce (Hz) 1000Fig. 12 Diagramme <strong>de</strong> Bo<strong>de</strong> (Phase) avec et sans correction <strong>de</strong> phaseTout d’abord, on doit détecter ces discontinuités autour <strong>de</strong>s va<strong>leur</strong>s +/-180° et <strong>leur</strong> multiples.Pour cela, on parcours la courbe jusqu’à r<strong>en</strong>contrer <strong>de</strong>ux points consécutifs caractéristiquesd’une discontinuité (un point à –179° suivi d’un point à + 176 par exemple). Notons que cettecorrection automatique est possible si le nombre <strong>de</strong> points est suffisant pour que ladiscontinuité soit évi<strong>de</strong>nte. Si par exemple on a un point à –165° suivit d’un point à +160°, il<strong>de</strong>vi<strong>en</strong>t moins évi<strong>de</strong>nt que l’on a affaire à une discontinuité, et la correction ne sera pas faite.Dès que ces discontinuités sont isolées, il est nécessaire <strong>de</strong> vérifier qu’il s’agit bi<strong>en</strong> d’unphénomène lié au calcul du simulateur. Si ces <strong>de</strong>ux points sont c<strong>en</strong>sés être placés côte à côtesur une courbe les p<strong>en</strong>tes <strong>de</strong> <strong>leur</strong>s tang<strong>en</strong>tes doiv<strong>en</strong>t être relativem<strong>en</strong>t proches. On mesuredonc la p<strong>en</strong>te <strong>de</strong> la tang<strong>en</strong>te à la courbe pour les points à chaque extrémité <strong>de</strong> la rupture. Si les<strong>de</strong>ux p<strong>en</strong>tes sont suffisamm<strong>en</strong>t proches, on peut alors procé<strong>de</strong>r à la correction. De la mêmemanière que précé<strong>de</strong>mm<strong>en</strong>t, si le nombre <strong>de</strong> points est insuffisant les tang<strong>en</strong>tes peuv<strong>en</strong>t êtretrop différ<strong>en</strong>tes et empêcher la correction.44 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4 L’analyse événem<strong>en</strong>tielle.Les mo<strong>de</strong>s d’analyse <strong>en</strong> régime perman<strong>en</strong>t et fréqu<strong>en</strong>tiel décrits précé<strong>de</strong>mm<strong>en</strong>t permett<strong>en</strong>td’étudier le comportem<strong>en</strong>t d’un circuit dans un état stable. Or, dans un circuitélectrotechnique le comportem<strong>en</strong>t <strong>de</strong> l’installation peut varier subitem<strong>en</strong>t à un instant donné.Le traitem<strong>en</strong>t <strong>de</strong> ces discontinuités peut être pris <strong>en</strong> compte par la Simulation par Evénem<strong>en</strong>tsDiscrets (SED). Après un bilan <strong>de</strong> l’utilisation <strong>de</strong> la SED <strong>en</strong> électricité et <strong>en</strong> électronique,nous proposons une adaptation <strong>de</strong> la SED électrotechnique. Nous terminons par unediscussion <strong>de</strong>s choix <strong>de</strong> conception que nous avons faits, suivi par <strong>de</strong>s détailsd’implém<strong>en</strong>tation <strong>de</strong> l’analyse événem<strong>en</strong>tielle.4.1 Analyse événem<strong>en</strong>tielle et <strong>simulation</strong> par événem<strong>en</strong>tsdiscrets (SED).Le simulateur propose <strong>de</strong>s mo<strong>de</strong>s d’analyse qui offr<strong>en</strong>t différ<strong>en</strong>ts niveaux <strong>de</strong> détail dansl’étu<strong>de</strong> d’un circuit. Les plus basiques sont le mo<strong>de</strong> d’analyse <strong>en</strong> régime perman<strong>en</strong>t (pour uneétu<strong>de</strong> temporelle) et le mo<strong>de</strong> d’analyse fréqu<strong>en</strong>tiel (pour une étu<strong>de</strong> harmonique). Ces mo<strong>de</strong>sd’analyses simul<strong>en</strong>t le comportem<strong>en</strong>t continu du circuit. Or un circuit électrotechnique peutconnaître <strong>de</strong>s changem<strong>en</strong>ts d’état soudains qui constitu<strong>en</strong>t <strong>de</strong>s discontinuités dans soncomportem<strong>en</strong>t. Ces discontinuités sont <strong>de</strong>s événem<strong>en</strong>ts discrets. Pour pouvoir les traiter, nousavons développé l’analyse événem<strong>en</strong>tielle qui utilise les principes <strong>de</strong> la <strong>simulation</strong> parévénem<strong>en</strong>ts discrets ou SED. Nous avons détaillé les différ<strong>en</strong>ts concepts liés à la SED dans lechapitre 1.4.1.1 Les limitations <strong>de</strong> l’analyse <strong>en</strong> régime perman<strong>en</strong>t.Le mo<strong>de</strong> d’analyse <strong>en</strong> régime perman<strong>en</strong>t permet <strong>de</strong> simuler l’état stable d’un circuit à uninstant donné. On obti<strong>en</strong>t <strong>de</strong>s signaux <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant qui sont <strong>de</strong>s sinusoï<strong>de</strong>s ou <strong>de</strong>scompositions <strong>de</strong> sinusoï<strong>de</strong>s. Avec ce mo<strong>de</strong> d’analyse, on peut représ<strong>en</strong>ter ces signaux stablessur une durée <strong>de</strong> temps choisie sans t<strong>en</strong>ir compte <strong>de</strong>s phénomènes transitoires ni <strong>de</strong>l’influ<strong>en</strong>ce <strong>de</strong> cet état stable sur le circuit. Cette analyse affiche <strong>de</strong>s résultats qui ne ti<strong>en</strong>n<strong>en</strong>tpas compte du comportem<strong>en</strong>t séqu<strong>en</strong>tiel du circuit électrotechnique traité. Il pr<strong>en</strong>d <strong>en</strong> comptel’état ouvert ou fermé <strong>de</strong>s composants tels que <strong>de</strong>s interrupteurs, disjoncteurs ou contacteurs,mais ne sait pas modifier <strong>leur</strong> état pour traduire <strong>leur</strong> comportem<strong>en</strong>t.Par exemple, un disjoncteur magnétothermique qui est traversé par un courant trop élevé nedécl<strong>en</strong>chera jamais lors <strong>de</strong> l’analyse <strong>en</strong> régime perman<strong>en</strong>t.Il est donc nécessaire <strong>de</strong> développer un mo<strong>de</strong> d’analyse qui puisse reproduire lecomportem<strong>en</strong>t séqu<strong>en</strong>tiel <strong>de</strong>s élém<strong>en</strong>ts du circuit au cours du temps.4.1.2 Le Concept <strong>de</strong> l’analyse événem<strong>en</strong>tielle.Pour répondre à ce besoin <strong>de</strong> simuler un comportem<strong>en</strong>t séqu<strong>en</strong>tiel, il faut pouvoir déterminerles différ<strong>en</strong>ts états successivem<strong>en</strong>t pris par le circuit. Pour un état donné, l’analyse <strong>en</strong> régimeperman<strong>en</strong>t donne les va<strong>leur</strong>s <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant correspondantes. On peut donc obt<strong>en</strong>irpour les différ<strong>en</strong>ts états possibles du circuit chaque résultat associé.Il reste à définir les conditions <strong>de</strong> passage d’un état stable à un autre. Le résultat que l’onatt<strong>en</strong>d <strong>de</strong> l’analyse événem<strong>en</strong>tielle est un <strong>en</strong>chaînem<strong>en</strong>t d’états stables du circuit <strong>en</strong> faisantabstraction <strong>de</strong>s phénomènes transitoires. Toutefois, il sera possible que chaque modèled’élém<strong>en</strong>t du circuit possè<strong>de</strong> un comportem<strong>en</strong>t événem<strong>en</strong>tiel qui simule ces transitoires.45 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse46 / 2134.1.3 Choix <strong>de</strong> la SED.Avec l’analyse événem<strong>en</strong>tielle, nous introduisons une notion <strong>de</strong> discontinuité dans lecomportem<strong>en</strong>t <strong>de</strong>s composants. Des simulateurs purem<strong>en</strong>t analogiques considèr<strong>en</strong>t que ceschangem<strong>en</strong>ts d’état bi<strong>en</strong> qu’étant très soudains se font d’une manière continue. L’emploi <strong>de</strong> laSED n’est donc pas indisp<strong>en</strong>sable. Cette technique est intéressante dans <strong>de</strong>s cas comme lesnôtres où l’on s’intéresse ess<strong>en</strong>tiellem<strong>en</strong>t aux états avant et après les transitions et pasforcem<strong>en</strong>t aux transitions elles-mêmes. Nous rappelons que notre objectif est d’obt<strong>en</strong>ir <strong>de</strong>srésultats fiables et rapi<strong>de</strong>s sans être exagérém<strong>en</strong>t précis. L’emploi <strong>de</strong> la SED permet ici,comme <strong>en</strong> électronique numérique, d’avoir <strong>de</strong>s résultats fiables avec une plus gran<strong>de</strong> rapiditéque si les événem<strong>en</strong>ts étai<strong>en</strong>t traités <strong>en</strong> temps continus. De plus, pour la majorité <strong>de</strong>scomposants, tels que les interrupteurs, il est réaliste <strong>de</strong> considérer que <strong>leur</strong> changem<strong>en</strong>t d’étatest réellem<strong>en</strong>t discontinu. Notons toutefois que la SED ne constitue pas la totalité <strong>de</strong> l’analyseévénem<strong>en</strong>tielle. Son rôle est uniquem<strong>en</strong>t <strong>de</strong> traiter les discontinuités. Le comportem<strong>en</strong>t ducircuit <strong>en</strong>tre ces discontinuités reste traité à temps continu par <strong>de</strong>s <strong>simulation</strong>s <strong>en</strong> régimeperman<strong>en</strong>t.Ce qui nous intéresse, c’est la <strong>simulation</strong> par événem<strong>en</strong>t discret comme complém<strong>en</strong>t d’unsimulateur à temps continu. C’est une fonctionnalité <strong>de</strong> traitem<strong>en</strong>t <strong>de</strong>s événem<strong>en</strong>ts discrets quidoit compléter la <strong>simulation</strong> mais n’<strong>en</strong> constitue pas le cœur. La souplesse et la facilitéd’intégration qu’apporte l’emploi du langage <strong>de</strong> développem<strong>en</strong>t <strong>de</strong> l’application <strong>de</strong>schématique à laquelle d’intègre le simulateur que nous proposons à été un point déterminantdans ce choix <strong>de</strong> conserver le langage <strong>de</strong> programmation classique Delphi [DEL].4.2 SED <strong>en</strong> électricité : temps continu et temps discret.Lorsque l’on traite un système où le temps s’écoule <strong>de</strong> manière continue, on le qualifie alors<strong>de</strong> système continu. Ainsi un simulateur qui le traitera sera lui aussi qualifié <strong>de</strong> continu, bi<strong>en</strong>que son noyau <strong>de</strong> calcul puisse utiliser <strong>de</strong>s métho<strong>de</strong>s <strong>de</strong> calcul numériques l’am<strong>en</strong>ant àdiscrétiser le temps. Les variables du système peuv<strong>en</strong>t pr<strong>en</strong>dre un nombre infini <strong>de</strong> va<strong>leur</strong>s quipeut changer à n’importe quel instant du temps.On va considérer qu’un système est discret si ses variables pr<strong>en</strong>n<strong>en</strong>t <strong>de</strong>s va<strong>leur</strong>s <strong>en</strong> unnombre fini <strong>de</strong> points du temps.Certains systèmes peuv<strong>en</strong>t être considérés comme discrets ou continus selon le niveau <strong>de</strong>détail souhaité. En électronique par exemple, on considère communém<strong>en</strong>t qu’un transistorfonctionnant <strong>en</strong> mo<strong>de</strong> bloqué / saturé a un comportem<strong>en</strong>t discret, alors que s’il fonctionne <strong>en</strong>mo<strong>de</strong> bloqué / passant / saturé son comportem<strong>en</strong>t est considéré comme continu.Dans ces systèmes, on peut traiter un sous système comme continu et un autre comme discret.C’est le cas notamm<strong>en</strong>t <strong>de</strong>s <strong>circuits</strong> électroniques mixtes comportant <strong>de</strong>s composantsanalogiques (continus) et numériques (discrets).Nous précisons ici l’application <strong>de</strong> ces notions à <strong>de</strong>s systèmes électriques <strong>en</strong> traitant les cas <strong>de</strong>l’électronique et <strong>de</strong> l’électrotechnique.4.2.1 SED <strong>en</strong> électronique.Ces concepts <strong>de</strong> systèmes continus et discrets dans le temps s’appliqu<strong>en</strong>t particulièrem<strong>en</strong>tbi<strong>en</strong> au domaine <strong>de</strong> l’électronique. On va considérer ainsi qu’un circuit a un comportem<strong>en</strong>tcontinu si ses signaux sont traités à tout mom<strong>en</strong>t du temps. Un circuit sera discret lorsqu’il


Chapitre 2 : Les mo<strong>de</strong>s d’analysetraitera les signaux à <strong>de</strong>s instants déterminés. Par abus <strong>de</strong> langage, on qualifie les <strong>circuits</strong>continus <strong>de</strong> <strong>circuits</strong> analogiques alors que les <strong>circuits</strong> discrets sont considérés comm<strong>en</strong>umériques (ou logiques). Un circuit qui réunit <strong>de</strong>s composants <strong>de</strong>s <strong>de</strong>ux catégories est ditmixte analogique - numérique.Fig. 13 Circuits et signaux analogiquesFig. 14 Circuits et signaux numériqueIl convi<strong>en</strong>t égalem<strong>en</strong>t <strong>de</strong> distinguer la discrétisation du temps qui définit si un système estcontinu ou discret <strong>de</strong> la discrétisation <strong>de</strong> l’amplitu<strong>de</strong> <strong>de</strong>s signaux. Ainsi, un composantnumérique peut traiter <strong>de</strong>s signaux d’amplitu<strong>de</strong> continue ou discrétisée (le nombre <strong>de</strong> va<strong>leur</strong>spossibles est fini).4.2.2 SED <strong>en</strong> électrotechnique.L’analyse <strong>en</strong> régime perman<strong>en</strong>t exploite <strong>de</strong>s modèles qui décriv<strong>en</strong>t le comportem<strong>en</strong>t continud’un circuit. En introduisant le concept <strong>de</strong> l’analyse événem<strong>en</strong>tiel, nous allons compléter lemodèle <strong>de</strong> certains élém<strong>en</strong>ts du circuit pour <strong>leur</strong> ajouter une composante discrète. En effet,certains élém<strong>en</strong>ts du circuit dispos<strong>en</strong>t <strong>de</strong> plusieurs modèles continus possibles. Le modèleutilisé par le simulateur est défini par un paramètre du modèle (<strong>en</strong> général, l’état logique).C’est le cas notamm<strong>en</strong>t du modèle <strong>de</strong> l’interrupteur qui possè<strong>de</strong> <strong>de</strong>ux modèles continuspossibles : un modèle <strong>de</strong> circuit ouvert pour l’état logique ouvert et un modèle <strong>de</strong> court <strong>circuits</strong>i son état logique est fermé.Le choix du modèle alternatif est lié à l’état logique peut être défini par l’utilisateur. Il peutégalem<strong>en</strong>t être déterminé par <strong>de</strong>s événem<strong>en</strong>ts précis qui provoqu<strong>en</strong>t une discontinuité dans lefonctionnem<strong>en</strong>t du circuit. Par exemple, un disjoncteur magnétothermique peut voir son étatlogique (<strong>en</strong>cl<strong>en</strong>ché / décl<strong>en</strong>ché) modifié s’il est parcouru par un courant dépassant son calibre.La <strong>de</strong>scription <strong>de</strong> ces événem<strong>en</strong>ts va constituer une définition discrète du comportem<strong>en</strong>t.Celle-ci complète la <strong>de</strong>scription continue du comportem<strong>en</strong>t.On disposera donc <strong>de</strong> 2 types <strong>de</strong> modèles : Des modèles purem<strong>en</strong>t continus. Des modèles continus et discrets. Dans <strong>de</strong> nombreux cas, il s’agit <strong>de</strong> composantsélectromécaniques (relais, interrupteurs, disjoncteur…). L’aspect continu traduit <strong>leur</strong>comportem<strong>en</strong>t électrique alors que l’aspect discret exprime un changem<strong>en</strong>t d’état ou<strong>de</strong> position mécanique.On ne trouve par contre pas <strong>de</strong> composants purem<strong>en</strong>t discrets comme les composants logiques<strong>en</strong> électronique. L’aspect continu est définit par les relations <strong>en</strong>tre les t<strong>en</strong>sions et les courantspour chacun <strong>de</strong> ses nœuds (Analyse Nodale Modifiée). Si aucune <strong>de</strong> ses relations n’est47 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyseexprimée, le composant n’a aucune connection électrique avec le reste du circuit, donc aucuneinflu<strong>en</strong>ce sur son comportem<strong>en</strong>t. Si on supprime toute liaison électrique avec le circuit, cecomposant peut avoir uniquem<strong>en</strong>t un li<strong>en</strong> via son état logique avec celui d’un autrecomposant. Ce type <strong>de</strong> li<strong>en</strong> s’établit lors <strong>de</strong> la création <strong>de</strong>s référ<strong>en</strong>ces croisées, où différ<strong>en</strong>tscomposants possédant le même nom sont mis <strong>en</strong> relation. Ainsi un composant maître connaîtses esclaves et peut ainsi <strong>leur</strong> imposer un changem<strong>en</strong>t d’état. C’est par exemple le cas <strong>de</strong> labobine <strong>de</strong> relais (maître) qui peut imposer son état à ses contacts (esclaves).Ainsi, si un modèle possè<strong>de</strong> exclusivem<strong>en</strong>t un comportem<strong>en</strong>t séqu<strong>en</strong>tiel, sans l’aspectélectrique continu, son état n’a pas d’effet sur le comportem<strong>en</strong>t électrique du circuit simulé etpeut uniquem<strong>en</strong>t être transmis à ses év<strong>en</strong>tuels esclaves. De même, son état ne peut êtremodifié que par une interv<strong>en</strong>tion <strong>de</strong> l’utilisateur ou par son composant maître.Le seul intérêt <strong>de</strong> ce type <strong>de</strong> composant est <strong>de</strong> permettre une transmission plus ou moinsdirecte <strong>de</strong> l’état <strong>de</strong> son maître vers celui <strong>de</strong> ses esclaves. On peut imaginer qu’il le modifie <strong>en</strong>le retardant, <strong>en</strong> transformant un état haut <strong>en</strong> horloge, <strong>en</strong> l’inversant, etc.Dans notre contexte, aucune application <strong>de</strong> ce type <strong>de</strong> modèle n’est apparue, donc aucunmodèle <strong>de</strong> ce type n’a été implém<strong>en</strong>té bi<strong>en</strong> que cela soit théoriquem<strong>en</strong>t faisable.4.3 SED <strong>en</strong> électronique mixte analogique-numérique.Comme nous l’avons évoqué plus tôt, les concepts <strong>de</strong> la <strong>simulation</strong> pilotées par événem<strong>en</strong>tss’appliqu<strong>en</strong>t bi<strong>en</strong> à la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électroniques mixtes analogiques-numériques.Ces simulateurs sont généralem<strong>en</strong>t constitués d’un noyau <strong>de</strong> <strong>simulation</strong> à temps continu quiva traiter les composants analogiques du circuit. Dans <strong>leur</strong> majorité, ces noyaux analogiquessont dérivés du simulateur Spice. Les élém<strong>en</strong>ts logiques seront pris <strong>en</strong> compte par un secondnoyaux <strong>de</strong> calcul à temps discret. L’interface <strong>en</strong>tre les <strong>de</strong>ux sous <strong>circuits</strong> analogiques etnumériques est réalisée par <strong>de</strong>s composants mixtes (convertisseur analogique numérique ounumérique analogique).Un <strong>de</strong>s points cruciaux pour l’efficacité du simulateur est la synchronisation <strong>de</strong>s <strong>de</strong>ux noyaux<strong>de</strong> calcul. La métho<strong>de</strong> <strong>de</strong> synchronisation ret<strong>en</strong>ue sera toujours un compromis <strong>en</strong>tre la rapidité<strong>de</strong>s calculs et <strong>leur</strong> précision. Cette problématique générale à la SED a <strong>de</strong>s solutions adaptéesau domaine <strong>de</strong> l’électronique.4.3.1 Simulation hybri<strong>de</strong> ou mixte analogique numérique.La réunion <strong>de</strong> <strong>de</strong>ux simulateurs analogique et numérique est qualifiée <strong>de</strong> mixte ou <strong>en</strong>cored’hybri<strong>de</strong> car y on y combine une approche continue et discrète du comportem<strong>en</strong>t <strong>de</strong>scomposants. Le premier simulateur <strong>de</strong> ce type employait l’approche ori<strong>en</strong>tée événem<strong>en</strong>t,comme SPLICE [NEW78] prés<strong>en</strong>té <strong>en</strong> 1978 et basé sur le simulateur <strong>de</strong> circuit analogique(continu) Spice2. Désormais, <strong>de</strong> nombreux simulateurs mixtes adopt<strong>en</strong>t l’approche ori<strong>en</strong>téeprocessus où les 2 tâches concurr<strong>en</strong>tes sont la <strong>simulation</strong> analogique (continue) et la<strong>simulation</strong> logique (discrète). C’est notamm<strong>en</strong>t le cas <strong>de</strong> Saber, édité jusque récemm<strong>en</strong>t parAnalogy [SAB]. Nous disposons alors d’un processus qui réalise la <strong>simulation</strong> du circuitanalogique et un <strong>de</strong>uxième processus concurr<strong>en</strong>t qui traite le circuit logique. Le simulateurmixte <strong>en</strong> gère la synchronisation.48 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.3.2 Synchronisation <strong>de</strong>s algorithmes.Les <strong>de</strong>ux algorithmes <strong>de</strong> calcul analogiques et numériques (qui emploi<strong>en</strong>t tous <strong>de</strong>ux <strong>de</strong>smétho<strong>de</strong>s <strong>de</strong> résolution numériques) vont évoluer simultaném<strong>en</strong>t et <strong>de</strong> manière concurr<strong>en</strong>teFig. 15. Si on considère le fait qu’un circuit logique est un circuit analogique (comportem<strong>en</strong>tcontinu) pour lequel on fait l’approximation que son comportem<strong>en</strong>t est discret. Cetteapproximation permet <strong>de</strong>s <strong>simulation</strong>s beaucoup plus rapi<strong>de</strong>s pour un circuit <strong>de</strong> mêmedim<strong>en</strong>sion lorsqu’il est traité par le noyau numérique plutôt que par le noyau analogique.La conséqu<strong>en</strong>ce immédiate <strong>de</strong> cette efficacité sur la <strong>simulation</strong> mixte est que pour un circuitcomportant <strong>de</strong>s sous <strong>circuits</strong> analogiques et numériques <strong>de</strong> dim<strong>en</strong>sions équival<strong>en</strong>tes et pourune même durée <strong>de</strong> calcul, le temps virtuel avancera plus vite dans le noyau numérique. Lasynchronisation <strong>de</strong>s algorithmes analogique et numérique a donc ici une gran<strong>de</strong> importance.De ce fait, les différ<strong>en</strong>ts simulateurs possè<strong>de</strong>nt diverses métho<strong>de</strong>s <strong>de</strong> synchronisation adaptéesà cette <strong>simulation</strong>, au type d’événem<strong>en</strong>ts traités et à la nature <strong>de</strong>s signaux échangés.Source : www.analogy.comFig. 15 Répartition <strong>de</strong> la <strong>simulation</strong> analogique et numérique dans Saber .La synchronisation <strong>de</strong>s algorithmes analogique et numérique a donc ici une gran<strong>de</strong>importance. De ce fait, les différ<strong>en</strong>ts simulateurs possè<strong>de</strong>nt diverses métho<strong>de</strong>s <strong>de</strong>synchronisation adaptées à cette <strong>simulation</strong>, au type d’événem<strong>en</strong>ts traités et à la nature <strong>de</strong>ssignaux échangés. Nous <strong>en</strong> donnons une <strong>de</strong>scription dans le chapitre 1.4.3.3 Conclusion sur la synchronisation.Devant la multiplicité <strong>de</strong>s métho<strong>de</strong>s <strong>de</strong> synchronisation, on se r<strong>en</strong>d compte que c’est un pointdélicat dans la mise <strong>en</strong> œuvre <strong>de</strong> simulateurs mêlant <strong>de</strong>s comportem<strong>en</strong>ts continus et discrets.Chaque métho<strong>de</strong> reste un compromis <strong>en</strong>tre rapidité et précision <strong>de</strong>s résultats, sans négligerl’occupation <strong>de</strong> la mémoire. Notre approche <strong>de</strong> la <strong>simulation</strong> <strong>de</strong> circuit électrotechniques,nous permet <strong>de</strong> travailler avec un seul algorithme <strong>de</strong> <strong>simulation</strong>. Ainsi, nous évitons cesproblèmes <strong>de</strong> synchronisation. Nous détaillons ce principe <strong>en</strong> 4.4.3.49 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.4 SED <strong>en</strong> électrotechnique ou l’analyse événem<strong>en</strong>tielle.En électrotechnique, on va traiter un mon<strong>de</strong> continu et un mon<strong>de</strong> discret est défini par lesmodèles continus <strong>de</strong>s composants qui traduis<strong>en</strong>t <strong>leur</strong> comportem<strong>en</strong>t électrique. Dans le mon<strong>de</strong>discret, nous allons considérer les événem<strong>en</strong>ts et les discontinuités liées aux changem<strong>en</strong>tsd’état logique <strong>de</strong>s composants. Dans le mon<strong>de</strong> continu, les variables d’état sont <strong>de</strong>s t<strong>en</strong>sionset <strong>de</strong>s courants, alors que dans le mon<strong>de</strong> discret on traite <strong>de</strong>s états logiques.On peut aisém<strong>en</strong>t faire le parallèle <strong>en</strong>tre les <strong>circuits</strong> que trait<strong>en</strong>t les simulateurs électroniquesmixtes et Simul’Elec. Dans les <strong>de</strong>ux cas, les simulateurs doiv<strong>en</strong>t considérer un circuitanalogique et d’autres composants ayant un comportem<strong>en</strong>t discret. Dans Simul’Elec parcontre, on ne trouvera pas <strong>de</strong> composants purem<strong>en</strong>t logiques. La transmission <strong>de</strong>s variablesd’états discrètes se fait directem<strong>en</strong>t d’un élém<strong>en</strong>t à un autre comme on peut le voir <strong>en</strong> Fig. 17et qui est représ<strong>en</strong>té par le pointillé liant les différ<strong>en</strong>ts élém<strong>en</strong>ts du contacteur KM1. Lessignaux d’un simulateur électronique logique sont <strong>de</strong>s niveaux logiques. Selon la norme et latechnologie employée, ils exprim<strong>en</strong>t la prés<strong>en</strong>ce d’un certain niveau <strong>de</strong> t<strong>en</strong>sion ou <strong>de</strong> courant,ou <strong>de</strong> l’impédance <strong>de</strong>s composants. Il s’agit d’une <strong>vue</strong> simplifiée <strong>de</strong> variables d’états quiserai<strong>en</strong>t <strong>en</strong> réalité continues et que l’on considère comme discrètes. Au contraire, les variablesd’état à temps discret qu’un circuit électrotechnique échange sont <strong>de</strong>s états logiques et nonpas <strong>de</strong>s niveaux. Ils traduis<strong>en</strong>t <strong>de</strong>s états réellem<strong>en</strong>t discrets qui correspon<strong>de</strong>nt généralem<strong>en</strong>t àune position mécanique du composant simulé. Il ne s’agit pas <strong>de</strong> variables d’état continues(t<strong>en</strong>sions et courants) que l’on a discrétisé pour diminuer la complexité et le temps <strong>de</strong> calculdu simulateur. Ces signaux sont donc transmis directem<strong>en</strong>t d’un composant à un autre, sanstraitem<strong>en</strong>t. Il n’existe pas ici d’équival<strong>en</strong>t du circuit logique. Ce constat va nous permettre <strong>de</strong>déterminer quelle est la technique la plus adaptée pour l’implém<strong>en</strong>tation <strong>de</strong> l’analyseévénem<strong>en</strong>tielle.BPKM113KM1231424KM1L1Fig. 16 Circuit électronique mixteFig. 17 Circuit électrotechnique <strong>de</strong>relayage4.4.1 Variables d’état discrètes d’un circuit électrique.Les variables d’état discrètes sont ces données exprimant l’état du circuit et dont la va<strong>leur</strong>change à un instant précis du temps et <strong>de</strong> manière discontinue. En électronique, ces variablesà temps discret sont les niveaux logiques alors qu’<strong>en</strong> électrotechnique on parlera d’étatlogique. Il est ess<strong>en</strong>tiel <strong>de</strong> bi<strong>en</strong> définir ces notions afin <strong>de</strong> compr<strong>en</strong>dre comm<strong>en</strong>t elles sonttraitées dans d’autres simulateurs et <strong>de</strong> quelle manière elles doiv<strong>en</strong>t être prise <strong>en</strong> compte pour<strong>de</strong>s <strong>circuits</strong> électrotechniques.50 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.4.1.1 Niveaux logiques d’un circuit électronique numérique.Dans un simulateur logique, les gran<strong>de</strong>urs que l’on considère sont les états logiques(correspondant généralem<strong>en</strong>t aux niveaux <strong>de</strong> t<strong>en</strong>sion) prés<strong>en</strong>ts sur les bornes <strong>de</strong>s composants.Pour cela, on distingue : Les bornes d’<strong>en</strong>trée qui observ<strong>en</strong>t les états. Les bornes <strong>de</strong> sortie qui fix<strong>en</strong>t les états logiques Les bornes d’<strong>en</strong>trée – sortie qui observ<strong>en</strong>t et fix<strong>en</strong>t les états logiques.Pour chaque composant, le modèle va exprimer les états que l’on doit retrouver <strong>en</strong> sortie <strong>en</strong>fonction <strong>de</strong> ceux que l’on observe <strong>en</strong> <strong>en</strong>trée. Ces relations sont généralem<strong>en</strong>t établies sousforme d’équation logique ou <strong>de</strong> table <strong>de</strong> vérité et pr<strong>en</strong>n<strong>en</strong>t <strong>en</strong> compte les temps <strong>de</strong>propagation <strong>de</strong>s signaux dans le composant. Un événem<strong>en</strong>t va ici correspondre auchangem<strong>en</strong>t d’état d’une sortie. On considère <strong>en</strong> général une logique 4 états (seuls les états 0,1 et Z peuv<strong>en</strong>t être appliqués sur les sorties) décrit dans le Tab. 2 :Etat NomSignification courante0 Etat bas Borne à un Pot<strong>en</strong>tiel <strong>de</strong> t<strong>en</strong>sion bas.1 Etat haut Borne à un Pot<strong>en</strong>tiel <strong>de</strong> t<strong>en</strong>sion haut.X Indéterminé Etat d’<strong>en</strong>trée n’influant pas sur l’état <strong>de</strong> sortie .Z Haute Impédance Etat électrique (et non logique) : Sortie électriquem<strong>en</strong>t déconnectée.Tab. 2 Etats possibles <strong>de</strong>s bornes d’<strong>en</strong>trées et <strong>de</strong> sortie <strong>en</strong> <strong>simulation</strong> logique.Dans la plus simple <strong>de</strong>s approximations, on ne considère que les états logiques 0 et 1. Lessimulateurs exploitant le langage <strong>de</strong> <strong>de</strong>scription VHDL peuv<strong>en</strong>t pr<strong>en</strong>dre <strong>en</strong> compte jusqu’à 9états différ<strong>en</strong>ts [AIR98] lorsqu’ils suiv<strong>en</strong>t la norme IEEE 1164.U Non-Initialisé X Inconnu fort 0 0 fort1 1 fort Z Haute impédance W Inconnu faibleL 0 faible H 1 fort - Sans importanceTab. 3 Etats logiques définis par la norme IEEE 1164.4.4.1.2 Simulateurs mixtes analogique - numérique.Un simulateur mixte est composé d’un simulateur numérique qui gère les événem<strong>en</strong>ts et d’unsimulateur analogique qui lui est couplé via <strong>de</strong>s convertisseurs analogique - numérique (CAN)et numérique - analogique (CNA). Pour un simulateur hybri<strong>de</strong> le rôle <strong>de</strong>s événem<strong>en</strong>ts estnotamm<strong>en</strong>t <strong>de</strong> transmettre les évolutions du simulateur numérique au simulateur analogique etinversem<strong>en</strong>t. Les événem<strong>en</strong>ts vont d’abord se traduire par <strong>de</strong>s changem<strong>en</strong>ts d’états dans lesimulateur numérique (comme précé<strong>de</strong>mm<strong>en</strong>t). Ils seront <strong>en</strong>suite répercutés sur la partieanalogique par les CNA par <strong>de</strong>s changem<strong>en</strong>ts <strong>de</strong>s niveaux <strong>de</strong> t<strong>en</strong>sion. Les échangesd’information et le rythme <strong>de</strong>s événem<strong>en</strong>ts est étroitem<strong>en</strong>t lié à la technique employée poursynchroniser les <strong>de</strong>ux cœurs <strong>de</strong> <strong>simulation</strong>.4.4.1.3 Etats logiques <strong>en</strong> électrotechnique.Contrairem<strong>en</strong>t au domaine électronique, la notion d’état logique n’est pas rattaché à unegran<strong>de</strong>ur électrique. L’état logique est ici une variable boolé<strong>en</strong>ne (vraie ou fausse) qui peutcaractériser un élém<strong>en</strong>t du circuit et qui peut être transmise à un autre. Dans les cas qui nousconcern<strong>en</strong>t, cet état logique va traduire une position mécanique (Actif / repos) <strong>de</strong> certains51 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyseélém<strong>en</strong>ts électromécaniques. Ainsi, la transmission <strong>de</strong> cet état d’un élém<strong>en</strong>t à un autre peuttraduire une liaison mécanique <strong>en</strong>tre eux.Notons que ri<strong>en</strong> ne restreint l’application <strong>de</strong> l’état logique à l’expression d’un état mécanique.La notion <strong>de</strong> l’état logique n’est pas non plus rattaché à la continuité électrique. Souv<strong>en</strong>t, unétat logique exprime la position d’un contact, à savoir s’il est activé ou non. Toutefois, selonsi on affaire à un contact à fermeture (NO) ou à ouverture (NF), la position du contact donc lacontinuité électrique sera différ<strong>en</strong>te.Type <strong>de</strong>Etat logiquecontact 0 (Repos) 1 (Actif)NO Ouvert FerméNF Fermé OuvertTab. 4 Etats logiques <strong>de</strong> contact NO et NFDans le cas <strong>de</strong> la bobine <strong>de</strong> relais, par contre, l’état logique actif traduit que celle-ci a reçu unequantité d’énergie suffisante pour déplacer ses contacts. Ce changem<strong>en</strong>t d’état n’exprime pasune modification <strong>de</strong> la continuité électrique du composant. L’état sera toutefois transmis à sescontacts qui sont ses esclaves et dont l’état se calquera sur celui <strong>de</strong> <strong>leur</strong> maître.C’est le modèle <strong>de</strong> chaque élém<strong>en</strong>t du circuit qui définit quels sont les effets <strong>de</strong> l’état logiquesur le comportem<strong>en</strong>t du composant ainsi que les changem<strong>en</strong>ts d’état liés à <strong>de</strong>s événem<strong>en</strong>ts(variation <strong>de</strong> t<strong>en</strong>sion ou <strong>de</strong> courant par exemple).4.4.2 Variables d’état d’un circuit électrotechnique.Le but <strong>de</strong> l’analyse événem<strong>en</strong>tielle est d’<strong>en</strong>chaîner <strong>de</strong>s analyses <strong>en</strong> régime perman<strong>en</strong>t. Lesjonctions <strong>en</strong>tre ces analyses constitu<strong>en</strong>t les événem<strong>en</strong>ts où l’on peut voir changer les variablesd’état du système, c’est à dire l’<strong>en</strong>semble <strong>de</strong>s t<strong>en</strong>sions et courants et <strong>de</strong>s états logiques.Rappelons que le noyau <strong>de</strong> résolution analogique du simulateur manipule <strong>de</strong>s va<strong>leur</strong>scomplexes <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant. Il ne connaît donc que l’amplitu<strong>de</strong> et la phase <strong>de</strong>s signauxpour une fréqu<strong>en</strong>ce donnée. La résolution ne détermine pas les va<strong>leur</strong>s instantanées <strong>de</strong> t<strong>en</strong>sionet <strong>de</strong> courant. C’est uniquem<strong>en</strong>t l’interface graphique qui représ<strong>en</strong>te les signaux sinusoïdaux.De la même manière, l’analyse événem<strong>en</strong>tielle ne connaît pas les va<strong>leur</strong>s instantanées <strong>de</strong>t<strong>en</strong>sion et <strong>de</strong> courant bi<strong>en</strong> que l’interface graphique puisse les <strong>de</strong>ssiner. De ce fait, ces va<strong>leur</strong>sinstantanées ne sont pas exploitées par ce mo<strong>de</strong> d’analyse pour déterminer les événem<strong>en</strong>ts.Les variables d’état du circuit seront donc : t<strong>en</strong>sion (amplitu<strong>de</strong>, phase et fréqu<strong>en</strong>ce) <strong>en</strong> chaque nœud du circuit. courant (amplitu<strong>de</strong>, phase et fréqu<strong>en</strong>ce) <strong>en</strong> chaque branche du circuit. Les états logiques <strong>de</strong>s élém<strong>en</strong>ts du circuit.4.4.3 Séqu<strong>en</strong>ce <strong>de</strong> la <strong>simulation</strong> événem<strong>en</strong>tielle.Les modèles <strong>de</strong>s élém<strong>en</strong>ts du circuit peuv<strong>en</strong>t définir le comportem<strong>en</strong>t séqu<strong>en</strong>tiel à partir <strong>de</strong>sva<strong>leur</strong>s ou <strong>de</strong>s variations que subiss<strong>en</strong>t les variables d’état. Ils <strong>de</strong>vrai<strong>en</strong>t donc être s<strong>en</strong>siblespar exemple à un changem<strong>en</strong>t <strong>de</strong> la va<strong>leur</strong> efficace d’une t<strong>en</strong>sion mais pas à la variation <strong>de</strong> sava<strong>leur</strong> instantanée qui ne peut constituer un événem<strong>en</strong>t.52 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.4.3.1 Succession <strong>de</strong>s étapes <strong>de</strong> la <strong>simulation</strong>.Ainsi lors <strong>de</strong> l’analyse événem<strong>en</strong>tielle, la première résolution analogique <strong>en</strong> régimeperman<strong>en</strong>t peut être suivie immédiatem<strong>en</strong>t <strong>de</strong> l’évaluation <strong>de</strong> la réaction <strong>de</strong>s composants quiproduiront év<strong>en</strong>tuellem<strong>en</strong>t <strong>de</strong>s événem<strong>en</strong>ts futurs. Le simulateur passe alors à la réalisation <strong>de</strong>l’événem<strong>en</strong>t suivant dans l’échéancier puis à la résolution analogique qui <strong>en</strong> découle. Cecycle sera r<strong>en</strong>ouvelé jusqu’au terme <strong>de</strong> la <strong>simulation</strong>. Nous allons donc avoir un répétition <strong>de</strong>séqu<strong>en</strong>ces Simulation analogique – Planification – Réalisation <strong>de</strong> l’événem<strong>en</strong>t.Les figures Fig. 18 et Fig. 19 représ<strong>en</strong>t<strong>en</strong>t respectivem<strong>en</strong>t la répartition <strong>de</strong>s tâches <strong>de</strong>l’analyse événem<strong>en</strong>tielle dans la durée <strong>de</strong> l’analyse (temps <strong>de</strong> résolution) et sur le tempsvirtuel <strong>de</strong> l’horloge du simulateur qui révèle le comportem<strong>en</strong>t du circuit. On notera que lescycles <strong>de</strong> <strong>simulation</strong> sont <strong>de</strong> durées variables car les changem<strong>en</strong>ts d’états peuv<strong>en</strong>t modifier ladim<strong>en</strong>sion du circuit <strong>en</strong> ouvrant et <strong>en</strong> fermant certaines branches.Résolution analogiqueTemps d'activitéPlanification d'événem<strong>en</strong>ts futursdu simulateurRéalisation <strong>de</strong> l'événem<strong>en</strong>t suivantFig. 18 Répartition <strong>de</strong>s tâches <strong>de</strong> l’analyse événem<strong>en</strong>tielle dans la durée <strong>de</strong> l’analyseEtat stable 1 Etat stable 2 Etat stable 3Activités du simulateurEtat stable 4Temps virtuel <strong>de</strong> l'horloge<strong>de</strong> l'analyse événem<strong>en</strong>tielleFig. 19 Répartition <strong>de</strong>s tâches sur le temps virtuel <strong>de</strong> l’horloge du simulateur4.4.3.2 Synchronisation <strong>de</strong>s échanges <strong>de</strong> variables continues et discrètes.Cette analyse gère comme <strong>en</strong> <strong>simulation</strong> mixte analogique – numérique <strong>de</strong>s variables d’étatcontinues et discrètes. En électronique, elle est réalisée par <strong>de</strong>ux noyaux <strong>de</strong> calculconcurr<strong>en</strong>ts. Dans notre cas par contre, la gestion <strong>de</strong>s variables continues est alternée aveccelle <strong>de</strong>s variables discrètes. Cela nous rapproche <strong>de</strong> la technique <strong>de</strong> synchronisation à pasverrouillé. Or, <strong>en</strong>tre <strong>de</strong>ux synchronisations, on ne réalise aucune <strong>simulation</strong> bi<strong>en</strong> que l’horlogedu simulateur avance, car nous sommes dans un régime perman<strong>en</strong>t. Le traitem<strong>en</strong>t <strong>de</strong>sdomaines continus et discrets n’est pas concurr<strong>en</strong>t mais alterné.Maint<strong>en</strong>ant que nous savons quand traiter les variables d’état continues et discrètes, il reste àdéterminer comm<strong>en</strong>t le faire et <strong>de</strong> quelle manière nous gérons les échanges <strong>en</strong>tre les domainescontinus et discrets. En effet, ici nous avons affaire à un processus unique qui modifie lesvariables d’état discrètes et continues. Celles ci sont transmises par le cal<strong>en</strong>drier d’événem<strong>en</strong>tsans qu’elles soi<strong>en</strong>t traitées par un autre processus. En ayant un seul processus, nous n’avonspas d’échange <strong>de</strong> message ni besoin <strong>de</strong> synchronisation qui sont les fonctions ess<strong>en</strong>tielles <strong>de</strong>l’analyse ori<strong>en</strong>tée processus. Les constats que nous v<strong>en</strong>ons <strong>de</strong> faire nous éloign<strong>en</strong>t d’unesolution ori<strong>en</strong>tée processus au profit d’une approche ori<strong>en</strong>tée événem<strong>en</strong>t.53 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.4.4 Traitem<strong>en</strong>t et transfert <strong>de</strong> l’information discrète.L’apport <strong>de</strong> l’analyse événem<strong>en</strong>tielle par rapport à l’analyse <strong>en</strong> régime perman<strong>en</strong>t estconstitué pour l’ess<strong>en</strong>tiel par la prise <strong>en</strong> compte <strong>de</strong> variables discrètes et <strong>de</strong> <strong>leur</strong> interactionavec le comportem<strong>en</strong>t continu du circuit.Contrairem<strong>en</strong>t à un circuit logique, dans Simul’Elec, l’information à temps discret (les étatslogiques) n’est pas traitée. Elle est uniquem<strong>en</strong>t transférée du composant à un autre. Aucuncomposant que nous traitons ne réalise d’opération sur ces va<strong>leur</strong>s.De ce fait, faute <strong>de</strong> traitem<strong>en</strong>t à réaliser, il semble superflu <strong>de</strong> disposer d’un noyau <strong>de</strong>résolution numérique <strong>en</strong> plus du noyau analogique comme cela existe <strong>en</strong> <strong>simulation</strong> mixte. Enrejetant ainsi l’idée d’un <strong>de</strong>uxième processus <strong>de</strong> <strong>simulation</strong>, il nous reste le noyau <strong>de</strong>résolution analogique que l’on doit piloter au moy<strong>en</strong> d’un échéancier propre à la <strong>simulation</strong>par événem<strong>en</strong>ts discrets. Pour un processus unique (l’algorithme <strong>de</strong> résolution analogique), ilserait injustifié <strong>de</strong> conserver une approche <strong>de</strong> <strong>simulation</strong> ori<strong>en</strong>tée processus.C’est donc assez naturellem<strong>en</strong>t que nous avons adopté l’approche ori<strong>en</strong>té événem<strong>en</strong>t qui setrouve plus adaptée à notre problématique, bi<strong>en</strong> qu’elle soit, dans un cadre général, moinsréaliste et moins performante que l’approche ori<strong>en</strong>tée processus.Ce choix est <strong>de</strong> plus conforté par l’alternance que nous avons relevée <strong>en</strong>tre le traitem<strong>en</strong>t <strong>de</strong>l’information à temps continu et discret. De cette manière, on écarte les problèmes <strong>de</strong>synchronisation ainsi que les erreurs <strong>de</strong> causalité qui peuv<strong>en</strong>t <strong>en</strong> découler.4.5 Choix <strong>de</strong> conception pour l’analyse événem<strong>en</strong>tielle.L’analyse événem<strong>en</strong>tielle doit remplir les rôles <strong>de</strong> tout simulateur piloté par événem<strong>en</strong>t soit : Génération <strong>de</strong> nombres et <strong>de</strong> va<strong>leur</strong>s aléatoires ou selon <strong>de</strong>s distributions statistiques. Gestion <strong>de</strong> l’avance <strong>de</strong>s temps. Détermination du prochain événem<strong>en</strong>t. Ajout et suppression d’<strong>en</strong>registrem<strong>en</strong>ts à une liste. Collecte et analyse statistique <strong>de</strong>s données. Report <strong>de</strong>s résultats. Détection d’erreur.Actuellem<strong>en</strong>t la génération <strong>de</strong> nombres ou <strong>de</strong> va<strong>leur</strong>s aléatoires ainsi que le traitem<strong>en</strong>tstatistique <strong>de</strong>s résultats ne prés<strong>en</strong>te pas d’intérêt. Ces fonctions n’ont pas été implém<strong>en</strong>tées.Toutefois lors <strong>de</strong> la conception <strong>de</strong> l’analyse événem<strong>en</strong>tielle, nous avons gardé prés<strong>en</strong>t àl’esprit que ces fonctions pourrai<strong>en</strong>t <strong>de</strong>v<strong>en</strong>ir nécessaires. L’architecture logicielle ret<strong>en</strong>ue peutdonc intégrer <strong>de</strong> nouvelle fonctionnalités.Pour être adapté au plus près <strong>de</strong> notre problématique, nous avons redéfini les notionsess<strong>en</strong>tielles <strong>de</strong> ce type <strong>de</strong> <strong>simulation</strong>, dans le but d’implém<strong>en</strong>ter les classes d’objetscorrespondantes : L’événem<strong>en</strong>t. Le cal<strong>en</strong>drier d’événem<strong>en</strong>t ou échéancier. La notice d’événem<strong>en</strong>t (action associée).54 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.5.1 Utilisation <strong>de</strong> Delphi.L’emploi du langage Delphi pour l’implém<strong>en</strong>tation <strong>de</strong> ce mo<strong>de</strong> d’analyse fait partie <strong>de</strong>scontraintes techniques définies par Algo’Tech Informatique. De nombreux langages etpaquets logiciels pour la <strong>simulation</strong> par événem<strong>en</strong>ts discrets exist<strong>en</strong>t (voir Chapitre 1).Malheureusem<strong>en</strong>t, aucun d’<strong>en</strong>tre eux n’existe pour Delphi, ni le langage Pascal (rappelonsque Delphi est un Pascal Objet). Toutefois Law et Kelton [LAW91] prés<strong>en</strong>t<strong>en</strong>t plusieursexemples <strong>de</strong> tels simulateurs implém<strong>en</strong>tés <strong>en</strong> Pascal , <strong>en</strong> langage C et <strong>en</strong> Fortran. Ceci nous apermis <strong>de</strong> nous conforter dans la faisabilité <strong>de</strong> ce mo<strong>de</strong> d’analyse. L’utilisation <strong>de</strong> Delphipermettra d’ajouter une implém<strong>en</strong>tation ori<strong>en</strong>tée objet tout <strong>en</strong> spécialisant au mieuxl’application grâce à la souplesse et à la puissance <strong>de</strong> ce langage.4.5.2 Gestion <strong>de</strong>s événem<strong>en</strong>ts.On r<strong>en</strong>contre différ<strong>en</strong>tes techniques <strong>de</strong> gestion <strong>de</strong>s événem<strong>en</strong>ts. Un événem<strong>en</strong>t estgénéralem<strong>en</strong>t défini par un changem<strong>en</strong>t d’état du système que l’on simule et qui intervi<strong>en</strong>t àun mom<strong>en</strong>t bi<strong>en</strong> précis. Par état, on <strong>en</strong>t<strong>en</strong>d l’<strong>en</strong>semble <strong>de</strong>s variables qui peuv<strong>en</strong>t caractériserce système. Pour un circuit électrique, cela peut être aussi bi<strong>en</strong> <strong>de</strong>s t<strong>en</strong>sions, <strong>de</strong>s courants, <strong>de</strong>sétats logiques ou les caractéristiques physiques <strong>de</strong>s composants (impédance, puissance, etc.).Toutes ces métho<strong>de</strong>s <strong>de</strong> gestion <strong>de</strong>s événem<strong>en</strong>ts ont pour rôle d’ordonnancer les événem<strong>en</strong>tsselon un cal<strong>en</strong>drier qui les regroupe chronologiquem<strong>en</strong>t selon la date à laquelle il doiv<strong>en</strong>t seproduire. Pour permettre le traitem<strong>en</strong>t numérique <strong>de</strong> ces événem<strong>en</strong>ts, le temps est découpéselon une pério<strong>de</strong> d’échantillonnage notée T e .4.5.2.1 File Temporelle (Simulateur <strong>de</strong> <strong>circuits</strong> logiques).La file temporelle est le principe le plus couramm<strong>en</strong>t utilisé dans les simulateurs <strong>de</strong> <strong>circuits</strong>logiques [NEW78]. Cette file est un tableau à une dim<strong>en</strong>sion dont chaque case représ<strong>en</strong>te uninstant <strong>de</strong> la <strong>simulation</strong> Fig. 20. Le temps séparant <strong>de</strong>ux instants est fixe et correspond à lapério<strong>de</strong> d’échantillonnage définie pour cette <strong>simulation</strong> (automatiquem<strong>en</strong>t ou parl’utilisateur).La première case est le temps 0 où débute la <strong>simulation</strong> et la <strong>de</strong>rnière représ<strong>en</strong>te le <strong>de</strong>rnierinstant <strong>de</strong> la <strong>simulation</strong>.Chaque case répertorie tous les événem<strong>en</strong>ts <strong>de</strong>vant se produire <strong>en</strong> cet instant. Dans le cas d’unsimulateur <strong>de</strong> circuit logique, chaque événem<strong>en</strong>t correspond au changem<strong>en</strong>t d’état logiqued’une borne. Certaines cases peuv<strong>en</strong>t donc rester vi<strong>de</strong>s si aucun événem<strong>en</strong>t n’est planifié.Lorsqu’un nouvel événem<strong>en</strong>t est prévu, s’il correspond au passage à un état d’une bornei<strong>de</strong>ntique à l’état courant, l’événem<strong>en</strong>t est annulé et n’est pas ajouté au cal<strong>en</strong>drier. Par contre,si l’état prévu est différ<strong>en</strong>t <strong>de</strong> l’état courant, un nouvel événem<strong>en</strong>t est ajouté au cal<strong>en</strong>drier. Onpeut dissocier la file temporelle (le cal<strong>en</strong>drier) qui constitue l’<strong>en</strong>semble <strong>de</strong>s données relativesaux événem<strong>en</strong>ts et le planificateur qui assure le contrôle du cal<strong>en</strong>drier.4.5.2.2 Ext<strong>en</strong>sion à la <strong>simulation</strong> hybri<strong>de</strong>.SPLICE (à ne pas confondre avec SPICE) décrit par [NEW78] fut l’un <strong>de</strong>s premierssimulateurs <strong>de</strong> <strong>circuits</strong> électriques mixtes à réunir un simulateur analogique comme SPICE etun simulateur numérique. Les algorithmes d’analyse <strong>de</strong> SPLICE sont contrôlés par unplanificateur d’événem<strong>en</strong>ts similaire à ceux employés par la <strong>simulation</strong> logique à filetemporelle. Contrairem<strong>en</strong>t à un simulateur logique, les élém<strong>en</strong>ts pris <strong>en</strong> compte sont nonseulem<strong>en</strong>t <strong>de</strong>s portes logiques mais égalem<strong>en</strong>t <strong>de</strong>s élém<strong>en</strong>ts ayant un comportem<strong>en</strong>t continu55 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse(non discret) ou <strong>en</strong>core <strong>de</strong>s groupes <strong>de</strong> transistors. La nature <strong>de</strong>s événem<strong>en</strong>ts ne change pasdans le s<strong>en</strong>s où les modifications liées qui y sont liées rest<strong>en</strong>t <strong>de</strong>s changem<strong>en</strong>ts d’état logique(et les changem<strong>en</strong>ts <strong>de</strong> niveaux <strong>de</strong> t<strong>en</strong>sion qui <strong>en</strong> découl<strong>en</strong>t).t=0début <strong>de</strong>l’analyseTempsprés<strong>en</strong>t <strong>de</strong>l’analyseDernierinstant <strong>de</strong>l’analyseE E E E EE E E E EEt=1.TeE = événem<strong>en</strong>tFig. 20 File temporelle <strong>de</strong> gestion <strong>de</strong>s événem<strong>en</strong>ts d’un simulateur <strong>de</strong> circuit logique.4.5.2.3 Application à l’électrotechnique.La technique <strong>de</strong> file temporelle semble assez bi<strong>en</strong> adapté à notre cas. En effet, noussouhaitons simplem<strong>en</strong>t ca<strong>de</strong>ncer une succession d’analyse <strong>en</strong> régime perman<strong>en</strong>t alternée avecles évaluations et les réalisations <strong>de</strong>s événem<strong>en</strong>ts. Toutefois, le rôle du cal<strong>en</strong>drierd’événem<strong>en</strong>t qui gère les événem<strong>en</strong>ts ne se résume pas uniquem<strong>en</strong>t à une planification etréalisation systématique <strong>de</strong> ces <strong>de</strong>rniers. Il convi<strong>en</strong>t notamm<strong>en</strong>t <strong>de</strong> gérer <strong>de</strong>s réalisationsconditionnelles ou <strong>en</strong>core <strong>de</strong>s blocages définis par l’utilisateur.Dans Simul’Elec, la planification d’un événem<strong>en</strong>t traduit la conséqu<strong>en</strong>ce d’un état stable(régime perman<strong>en</strong>t) sur les élém<strong>en</strong>ts du circuit. Or dans certains cas, l’événem<strong>en</strong>t planifié nesaurait être réalisé si un événem<strong>en</strong>t contradictoire est évalué avant sa réalisation, même s’ilsera planifié ultérieurem<strong>en</strong>t. Cela peut traduire l’inertie <strong>de</strong>s composants. C’est par exemple lecas d’un relais dont le changem<strong>en</strong>t d’état est planifié <strong>en</strong> fonction du franchissem<strong>en</strong>t d’un seuil<strong>de</strong> t<strong>en</strong>sion haut ou bas. Ce changem<strong>en</strong>t d’état ne sera effectif que si la condition qui l’aprovoqué est maint<strong>en</strong>ue jusqu’à sa réalisation.On retrouve la même problématique <strong>en</strong> <strong>simulation</strong> <strong>de</strong> circuit logique lorsque l’on doit pr<strong>en</strong>dre<strong>en</strong> compte les temps <strong>de</strong> propagation <strong>de</strong>s portes logiques par exemple.Le second point d’importance concerne le forçage <strong>de</strong> l’état logique. En effet, l’utilisateur peutvouloir forcer un état logique p<strong>en</strong>dant une certaine durée <strong>de</strong> temps afin <strong>de</strong> simuler undysfonctionnem<strong>en</strong>t par exemple. Dans ce cas, d’év<strong>en</strong>tuels événem<strong>en</strong>ts pouvant modifier cesétats forcés doiv<strong>en</strong>t être ignorés p<strong>en</strong>dant ce laps <strong>de</strong> temps. Il est donc nécessaire <strong>de</strong> mettre <strong>en</strong>place <strong>de</strong>s mécanismes permettant <strong>de</strong> maint<strong>en</strong>ir ces forçages.56 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.6 Implém<strong>en</strong>tation <strong>de</strong> l’analyse événem<strong>en</strong>tielle.Notre préoccupation est d’offrir un mo<strong>de</strong> d’analyse piloté par événem<strong>en</strong>t qui permette <strong>de</strong>suivre l’évolution naturelle ou forcée <strong>de</strong> l’installation traitée et pas <strong>de</strong> simuler <strong>de</strong>s composantsélectroniques comme peut le faire SPLICE.La <strong>simulation</strong> mixte telle qu’on la retrouve dans SPLICE a pour but ess<strong>en</strong>tiel d’obt<strong>en</strong>ir lesrésultats d’une manière plus rapi<strong>de</strong> qu’avec un simulateur exclusivem<strong>en</strong>t analogique. Pourcela, on fait l’approximation que les composants dits logiques ont un comportem<strong>en</strong>t logique etseront ainsi traités par l’algorithme <strong>de</strong> <strong>simulation</strong> logique. En réalité, les portes logiques sontconstituées <strong>en</strong> partie <strong>de</strong> transistors fonctionnant <strong>en</strong> mo<strong>de</strong> bloqué - saturé qu’on peut simuleranalogiquem<strong>en</strong>t avec un temps <strong>de</strong> calcul bi<strong>en</strong> supérieur. Dans ce cadre, l’intérêt <strong>de</strong> la<strong>simulation</strong> pilotée par événem<strong>en</strong>t est <strong>de</strong> modéliser simplem<strong>en</strong>t ces changem<strong>en</strong>ts d’étatslogiques. La nature <strong>de</strong>s actions événem<strong>en</strong>tielles est donc restreinte au changem<strong>en</strong>t d’étatslogiques.L’objectif <strong>de</strong> cette analyse est <strong>de</strong> reproduire virtuellem<strong>en</strong>t le fonctionnem<strong>en</strong>t <strong>de</strong> l’installation,ainsi que toutes les manipulations que l’utilisateur pourrait faire d’une manière expérim<strong>en</strong>tale.Ces interv<strong>en</strong>tions ne doiv<strong>en</strong>t pas être restreintes et on doit permettre <strong>de</strong> réaliser <strong>de</strong>s actions quine serai<strong>en</strong>t pas faisables pratiquem<strong>en</strong>t dans la réalité comme <strong>de</strong>s <strong>simulation</strong>s <strong>de</strong> panne dumatériel ou <strong>en</strong>core <strong>de</strong> courts-<strong>circuits</strong>.4.6.1 Notions d’état et d’événem<strong>en</strong>t.Les besoins d’interv<strong>en</strong>tions <strong>de</strong> l’utilisateur sur le système nous amèn<strong>en</strong>t à reconsidérer lesnotions d’événem<strong>en</strong>t et d’état <strong>de</strong> l’installation. Gardons à l’esprit qu’un événem<strong>en</strong>t estreprés<strong>en</strong>tatif d’un changem<strong>en</strong>t d’état du circuit. Un simulateur mixte classique réduit l’état àl’<strong>en</strong>semble <strong>de</strong>s états du circuit. Pour couvrir toute la gamme <strong>de</strong>s évolutions possibles, nous<strong>de</strong>vons rev<strong>en</strong>ir à une définition plus globale <strong>de</strong> l’état. <strong>en</strong> ce qui concerne les résultats <strong>de</strong><strong>simulation</strong>, le changem<strong>en</strong>t d’état du circuit se traduit par l’évolution : Des t<strong>en</strong>sions <strong>de</strong>s nœuds du <strong>circuits</strong> (va<strong>leur</strong>s complexes, pas instantanées). Des courants traversant ses branches (va<strong>leur</strong>s complexes, pas instantanées). Des états logiques <strong>de</strong>s composants.Il est important <strong>de</strong> noter que l’état logique d’un composant n’est pas ici le reflet d’un niveau<strong>de</strong> t<strong>en</strong>sion ou <strong>de</strong> courant comme <strong>en</strong> <strong>simulation</strong> logique mais l’image d’une configurationphysique du composant (position d’un interrupteur, d’un relais, d’un fusible).Les interv<strong>en</strong>tions du simulateur ou <strong>de</strong> l’utilisateur m<strong>en</strong>ant à ces changem<strong>en</strong>ts d’état peuv<strong>en</strong>têtre <strong>de</strong> natures différ<strong>en</strong>tes : Changem<strong>en</strong>t <strong>de</strong> l’état logique d’un composant. Modification d’un paramètre d’un composant.Ces actions vont aller modifier la modélisation du composant et ainsi influer sur le résultat <strong>de</strong><strong>simulation</strong>. Elles peuv<strong>en</strong>t être dues au système (décl<strong>en</strong>chem<strong>en</strong>t d’un disjoncteur, fermetured’un relais ) ou résulter d’un forçage provoqué par l’utilisateur (appui sur un bouton, etc.).4.6.2 Signaux traités.L’analyse événem<strong>en</strong>tielle repose sur l’<strong>en</strong>chaînem<strong>en</strong>t <strong>de</strong> plusieurs analyses <strong>en</strong> régimeperman<strong>en</strong>t. Cet <strong>en</strong>chaînem<strong>en</strong>t est piloté par un cal<strong>en</strong>drier d’événem<strong>en</strong>ts. Chaque événem<strong>en</strong>tcorrespond à une modification <strong>de</strong> l’état du circuit et provoque une nouvelle résolution dusystème d’équations. Toutes les solutions successivem<strong>en</strong>t obt<strong>en</strong>ues décriv<strong>en</strong>t tous les étatsstables que pr<strong>en</strong>d le circuit sur la durée <strong>de</strong> l’analyse. L’instant où se produit l’événem<strong>en</strong>t et57 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analysequi sépare 2 états stables est appelé transition. L’analyse ne ti<strong>en</strong>t pas compte <strong>de</strong>s phénomènestransitoires, mais on se réserve toutefois la possibilité d’intégrer par la suite une forme <strong>de</strong>calcul <strong>de</strong> phénomènes transitoires.58 / 2134.6.2.1 Stimuli : variables d’<strong>en</strong>trée.L’utilisateur peut planifier un certain nombre <strong>de</strong> modifications successives ou simultanées àappliquer sur le circuit. On peut ainsi tester <strong>de</strong> multiples configurations dans le but <strong>de</strong> vérifierle fonctionnem<strong>en</strong>t <strong>de</strong> l’installation ou <strong>de</strong> trouver <strong>de</strong>s va<strong>leur</strong>s optimales <strong>de</strong> composants parexemple. Il existe 2 types d’interv<strong>en</strong>tions : Changem<strong>en</strong>t <strong>de</strong> la va<strong>leur</strong> d’un paramètre d’un composant. Tous les paramètres du modèlessont modifiables. Ces changem<strong>en</strong>ts peuv<strong>en</strong>t être effectués <strong>de</strong> manière simple oul’utilisateur définit les va<strong>leur</strong>s une par une mais égalem<strong>en</strong>t <strong>de</strong> manière automatique <strong>en</strong>prévoyant <strong>de</strong>s balayages <strong>de</strong> va<strong>leur</strong>s selon <strong>de</strong>s échelles linéaires, logarithmiques ou <strong>en</strong>respectant <strong>de</strong>s séries normalisées (E12…). Forçage <strong>de</strong> l’état logique <strong>de</strong>s composants (fermeture ou ouverture interrupteur,décl<strong>en</strong>chem<strong>en</strong>t disjoncteur, etc.). Le terme forçage indique que quoiqu’il arrive , c’estl’état défini par l’utilisateur qui est prioritaire sur l’état naturel du composant. Les 3 étatsque l’on peut définir sont : Aucun forçage : on laisse le système définir l’état du composant. Forçage à l’état actif. Forçage au repos.4.6.2.2 Sorties : états et courbes.Par sorties, on <strong>en</strong>t<strong>en</strong>d tous les résultats <strong>de</strong> l’analyse que l’on peut exploiter : Les t<strong>en</strong>sions et courants mesurés (sous forme <strong>de</strong> courbes ou <strong>de</strong> va<strong>leur</strong>s efficaces). Les états logiques résultants. Ils peuv<strong>en</strong>t être différ<strong>en</strong>ts <strong>de</strong>s états prédéfinis dans les zonessans forçage.4.6.3 Structure <strong>de</strong> données ret<strong>en</strong>ue.Le simulateur SPLICE implém<strong>en</strong>té <strong>en</strong> Fortran utilise une structure qui compr<strong>en</strong>d : un cal<strong>en</strong>drier d’événem<strong>en</strong>ts qui est une file temporelle qui conti<strong>en</strong>t <strong>de</strong>s pointeurs sur lesévénem<strong>en</strong>ts à réaliser. Un planificateur qui gère la mise <strong>en</strong> place <strong>de</strong>s événem<strong>en</strong>ts dans la file. Les événem<strong>en</strong>ts qui conti<strong>en</strong>n<strong>en</strong>t les actions à réaliser. Un algorithme qui déroule le temps <strong>de</strong> <strong>simulation</strong> et décl<strong>en</strong>che les événem<strong>en</strong>ts planifiés.L’emploi <strong>de</strong> Delphi qui est un langage ori<strong>en</strong>té objet nous a permis d’avoir une structure <strong>de</strong>données plus lisible et plus flexible. On y retrouve : L’algorithme <strong>de</strong> <strong>simulation</strong> événem<strong>en</strong>tielle qui gère toute l’analyse et <strong>en</strong>globel’algorithme <strong>de</strong> résolution analogique <strong>en</strong> régime perman<strong>en</strong>t. Le cal<strong>en</strong>drier d’événem<strong>en</strong>ts qui ti<strong>en</strong>t les rôles <strong>de</strong> file temporelle et <strong>de</strong> planificateur. Les événem<strong>en</strong>ts qui conti<strong>en</strong>n<strong>en</strong>t les actions <strong>de</strong> modification et stock<strong>en</strong>t les résultats <strong>de</strong><strong>simulation</strong> qui <strong>en</strong> découl<strong>en</strong>t.Les événem<strong>en</strong>ts sont associés à un objet instance <strong>de</strong> la classe TSimEv<strong>en</strong>t. Ils sont touscont<strong>en</strong>us dans une table qui fait partie <strong>de</strong> l’objet unique instance <strong>de</strong> la classe TEv<strong>en</strong>tSchedulequi est l’implém<strong>en</strong>tation du cal<strong>en</strong>drier d’événem<strong>en</strong>ts (Fig. 21).


Chapitre 2 : Les mo<strong>de</strong>s d’analyseOn trouve <strong>de</strong> plus <strong>de</strong>s objets <strong>de</strong> moindre importance qui complèt<strong>en</strong>t et structure l’information.Il permett<strong>en</strong>t d’i<strong>de</strong>ntifier plus rapi<strong>de</strong>m<strong>en</strong>t certaines informations: Classe d’objets TForcedEv<strong>en</strong>t qui désign<strong>en</strong>t les événem<strong>en</strong>ts forcés par l’utilisateur. Classe d’objets TActionWatcher qui désign<strong>en</strong>t les actions à surveiller. Classe d’objets TEv<strong>en</strong>tAction qui implém<strong>en</strong>te les actions associées aux événem<strong>en</strong>ts.TEv<strong>en</strong>tScheduleTabForcedStatesTabSimEv<strong>en</strong>tsTabActionWatchersTForcedStateListin<strong>de</strong>xTSimEv<strong>en</strong>tListin<strong>de</strong>xTActionWatcherListin<strong>de</strong>xobjectsobjectsTForcedStateTSimEv<strong>en</strong>tobjectsTabEv<strong>en</strong>tActionsTEv<strong>en</strong>tActionListin<strong>de</strong>xobjectsActionTActionWatcherTEv<strong>en</strong>tActionFig. 21 Relations <strong>en</strong>tre les classes <strong>de</strong> l’analyse événem<strong>en</strong>tielle.TStringListTtypedListTSimEv<strong>en</strong>tListTEv<strong>en</strong>tActionListTActionWatcherListTForcedStateListin<strong>de</strong>xin<strong>de</strong>xin<strong>de</strong>xin<strong>de</strong>xobjectsobjectsobjectsobjectsTSimEv<strong>en</strong>tTEv<strong>en</strong>tActionTActionWatcherTForcedStateFig. 22 Héritage <strong>de</strong>s tables <strong>de</strong> hachage <strong>de</strong> l’analyse événem<strong>en</strong>tielle59 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.6.4 Gestion <strong>de</strong>s types.Les classes <strong>de</strong> l’analyse événem<strong>en</strong>tielle conti<strong>en</strong>n<strong>en</strong>t un certain nombre <strong>de</strong> tables <strong>de</strong> hachagepermettant <strong>de</strong> pointer sur un certain nombre d’objets (Fig. 21). Chaque table conti<strong>en</strong>t toujoursle même type d’objet. Il existe <strong>en</strong> Delphi la classe TStringlist définissant une table <strong>de</strong> hachagegénérique. Cette table peut cont<strong>en</strong>ir tout type d’objet dérivé <strong>de</strong> la classe TObject qui est laclasse la plus fondam<strong>en</strong>tale <strong>en</strong> Delphi. Ce fonctionnem<strong>en</strong>t est problématique car chaque tabl<strong>en</strong>e doit cont<strong>en</strong>ir qu’un type précis d’objet et l’utilisation <strong>de</strong> la TStringlist ne permet pas <strong>de</strong> lecontrôler. De plus Delphi est un langage fortem<strong>en</strong>t typé qui nécessite <strong>de</strong> forcer le type d’unobjet lorsqu’on le récupère d’une TStringlist après avoir testé son type. Nous avons ainsidéfini une classe abstraite TTypedList dérivant <strong>de</strong> TStringlist dont une <strong>de</strong>s propriétés est l<strong>en</strong>om <strong>de</strong> la classe <strong>de</strong>s objets qu’elle peut cont<strong>en</strong>ir. Nous avons ainsi implém<strong>en</strong>té les quatretables <strong>de</strong> hachages TSimEv<strong>en</strong>tList, TEv<strong>en</strong>tActionList, TActionWatcherList et TforcedStateListqui peuv<strong>en</strong>t cont<strong>en</strong>ir respectivem<strong>en</strong>t <strong>de</strong>s objets TSimEv<strong>en</strong>t, TEv<strong>en</strong>tAction , TActionWatcher etTForcedState (Fig. 22). Ainsi pour chaque table, un contrôle <strong>de</strong> conformité estautomatiquem<strong>en</strong>t effectué lors <strong>de</strong> l’insertion <strong>de</strong> l’objet dans la liste. L’ajout d’un objet nonconforme est impossible et génère une exception. On évite ainsi d’avoir à contrôler le type età le forcer a posteriori, améliorant ainsi la stabilité du co<strong>de</strong>.4.6.5 L’algorithme d’analyse événem<strong>en</strong>tielle.Cet algorithme Fig. 23 va initialiser un nouveau cal<strong>en</strong>drier d’événem<strong>en</strong>ts à partir <strong>de</strong>s stimulidéfinis par l’utilisateur. Il va <strong>en</strong>suite faire évoluer l’état du circuit <strong>en</strong> fonction <strong>de</strong>s événem<strong>en</strong>tsplanifiés et pour chaque événem<strong>en</strong>t, il décl<strong>en</strong>che une nouvelle résolution. Après cetterésolution, les résultats sont stockés dans l’objet événem<strong>en</strong>t associé à cet instant. En fonction<strong>de</strong> ces résultats, on teste la réaction du circuit à ce nouvel état Fig. 24. On va consulter chaquecomposant du circuit pour déterminer si <strong>de</strong> nouveaux événem<strong>en</strong>ts doiv<strong>en</strong>t être planifiés.Lorsque l’analyse est terminée, il met à jour l’affichage <strong>de</strong>s signaux.DEBUTCréation du cal<strong>en</strong>drier d’événem<strong>en</strong>tsPlanification <strong>de</strong>s stimuli définis par l’utilisateurModification du modèle du circuit par l’événem<strong>en</strong>tRésolution du nouveau système d’équationsNONStockage <strong>de</strong>s résultats T<strong>en</strong>sion et CourantRéaction du circuit à l’événem<strong>en</strong>tPassage à l’événem<strong>en</strong>t suivantOUITous lesévénem<strong>en</strong>ts sont-ilstraités ?Mise à jour <strong>de</strong>s signaux <strong>de</strong> sortie sélectionnésFINFig. 23 Algorithme <strong>de</strong> l’analyse événem<strong>en</strong>tielle.DEBUTComposant courant = 1 er du circuitRéaction du composant à l’événem<strong>en</strong>tNONComposant suivantTous lescomposantssont ilstraités ?OUIContrôle <strong>de</strong>s conditions <strong>de</strong> réalisation <strong>de</strong>OUIConditionsOK ?NONSupprimer l’actionFINFig. 24 Détail <strong>de</strong> la réaction àl’événem<strong>en</strong>t.60 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.6.6 Description d’un événem<strong>en</strong>t : classe TSimEv<strong>en</strong>t.Toutes les données et opérations directem<strong>en</strong>t liées à la notion d’événem<strong>en</strong>t sont regroupéesdans la classe d’objet TSimEv<strong>en</strong>t que l’on désignera plus simplem<strong>en</strong>t par événem<strong>en</strong>t. Chaqueinstance <strong>de</strong> cette classe correspond à un événem<strong>en</strong>t, c’est à dire un changem<strong>en</strong>t d’état ducircuit simulé. Les événem<strong>en</strong>ts sont stockés dans le cal<strong>en</strong>drier d’événem<strong>en</strong>tschronologiquem<strong>en</strong>t <strong>en</strong> fonction <strong>de</strong> <strong>leur</strong> date <strong>de</strong> réalisation. On retrouve ici une notiond’événem<strong>en</strong>t légèrem<strong>en</strong>t différ<strong>en</strong>te <strong>de</strong> celle précé<strong>de</strong>mm<strong>en</strong>t décrite. L’événem<strong>en</strong>t conti<strong>en</strong>t nonseulem<strong>en</strong>t une liste <strong>de</strong> modifications (actions) à effectuer sur le circuit mais égalem<strong>en</strong>t tousles résultats <strong>de</strong> <strong>simulation</strong> consécutifs à ces modifications (t<strong>en</strong>sions, courants et états logiques.Il convi<strong>en</strong>t <strong>de</strong> distinguer les actions <strong>de</strong>s événem<strong>en</strong>ts. Une action est une modification uniqued’une caractéristique d’un composant. Aucune notion temporelle n’est attachée à l’action.L’événem<strong>en</strong>t intègre par contre cette notion <strong>de</strong> temps, il regroupe toutes les actions <strong>de</strong>vant seréaliser à un instant précis. Il pr<strong>en</strong>d égalem<strong>en</strong>t <strong>en</strong> charge le stockage <strong>de</strong> l’état résultant <strong>de</strong>smodifications qu’il a appliqué au circuit soit les courants, t<strong>en</strong>sions et états logiques.4.6.6.1 Attributs <strong>de</strong> TSimEv<strong>en</strong>t.Attributs Type Dim RôleNTeEntierIndique l’instant auquel doit se réaliser l’événem<strong>en</strong>t <strong>en</strong>nombre <strong>de</strong> pério<strong>de</strong>s d’échantillonnage. A ne pas confondreavec l’instant auquel il a été intégré dans le cal<strong>en</strong>drier.Ev<strong>en</strong>tActionListTableau<strong>de</strong>pointeursNaListe <strong>de</strong>s Na actions à réaliser. Ces actions <strong>de</strong> modificationdu circuit sont implém<strong>en</strong>tées par les objets <strong>de</strong> la classeTEv<strong>en</strong>tAction .TabBranchCurr<strong>en</strong>tTabNo<strong>de</strong>VoltageTabPulsationsTabCurr<strong>en</strong>tRMSTabVoltageRMSTabCircStateTabCompStateTableau<strong>de</strong> réelsTableau<strong>de</strong> réelsTableau<strong>de</strong> réelTableau<strong>de</strong> RéelTableau<strong>de</strong> RéelTableau<strong>de</strong>Boolé<strong>en</strong>sTableau<strong>de</strong>Boolé<strong>en</strong>sNfxNbNfxNnNfNbNnNcNeConti<strong>en</strong>t les Nb courants parcourant tous les composants(<strong>leur</strong>s branches) du circuit et pour toutes les Nf fréqu<strong>en</strong>cessimulées. Chaque colonne <strong>de</strong> la table correspond à unebranche et chaque ligne à une fréqu<strong>en</strong>ce. Le cont<strong>en</strong>u <strong>de</strong> cetableau et du suivant est directem<strong>en</strong>t issu <strong>de</strong> la résolution.Conti<strong>en</strong>t les Nn t<strong>en</strong>sions <strong>de</strong> chaque nœud du circuit et pourles Nf fréqu<strong>en</strong>ces. Chaque colonne <strong>de</strong> la table correspond àun nœud et chaque ligne à une fréqu<strong>en</strong>ce.Liste <strong>de</strong>s Nf pulsations couvertes par l’analyse. Enrecoupant avec les 2 tableaux précé<strong>de</strong>nts, on peutreconstituer tous les signaux <strong>de</strong> courants et t<strong>en</strong>sions (série <strong>de</strong>Fourier).A partir <strong>de</strong>s tableaux <strong>de</strong> courants et <strong>de</strong> fréqu<strong>en</strong>ce, on calculeles va<strong>leur</strong>s efficaces vraies sur une pério<strong>de</strong> correspondant àla fréqu<strong>en</strong>ce la plus faible.Même calcul appliqué aux t<strong>en</strong>sions <strong>de</strong> chaque nœud.Conti<strong>en</strong>t les états logiques <strong>de</strong> tous les composants que l’ontrouve sur le schémaConti<strong>en</strong>t les états logiques <strong>de</strong> tous les élém<strong>en</strong>ts (composants<strong>de</strong> base) que l’obti<strong>en</strong>t par décomposition hiérarchique <strong>de</strong>sélém<strong>en</strong>ts du circuit.Tab. 5 Liste <strong>de</strong>s attributs <strong>de</strong> la classe TSimEv<strong>en</strong>t.61 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyseOn peut dissocier ces propriétés <strong>en</strong> 2 catégories : Nte et Ev<strong>en</strong>tActionList sont les propriétés avant événem<strong>en</strong>t qui concern<strong>en</strong>t la modificationdu circuit. Les autres sont les propriétés après événem<strong>en</strong>t et conti<strong>en</strong>n<strong>en</strong>t les résultats <strong>de</strong> la résolutionliés à cet événem<strong>en</strong>t.Les dim<strong>en</strong>sions <strong>de</strong> ces résultats <strong>de</strong> la résolution peuv<strong>en</strong>t varier d’un événem<strong>en</strong>t à l’autre selonles actions à réaliser. Elles sont déterminées par : Nn : nombre <strong>de</strong> nœuds du circuit. Nb : nombre <strong>de</strong> branches du circuit. Nf : Nombre <strong>de</strong> fréqu<strong>en</strong>ces différ<strong>en</strong>tes prés<strong>en</strong>tes dans le circuit. Nc : Nombre <strong>de</strong> composants prés<strong>en</strong>ts sur le schéma (ne varie jamais lors <strong>de</strong> l’analyse).Ne : Nombre d’élém<strong>en</strong>ts (composants <strong>de</strong> base) qui form<strong>en</strong>t le circuit ( ne varie jamais lors <strong>de</strong>l’analyse).4.6.6.2 Métho<strong>de</strong>s <strong>de</strong> TSimEv<strong>en</strong>t.La programmation ori<strong>en</strong>tée objet permet <strong>de</strong> <strong>de</strong>man<strong>de</strong>r à notre objet événem<strong>en</strong>t d’effectuer <strong>de</strong>sopérations sur lui-même. Opérations qui serai<strong>en</strong>t autrem<strong>en</strong>t réalisées par <strong>de</strong>s routinesdissociées <strong>de</strong> la structure <strong>de</strong> données « événem<strong>en</strong>t ». On peut ainsi associer à l’objetTSimEv<strong>en</strong>t <strong>de</strong>s métho<strong>de</strong>s le concernant directem<strong>en</strong>t.Métho<strong>de</strong>sCreateAddActionMakeEv<strong>en</strong>tStoreSimulationReactToEv<strong>en</strong>tDestroyRôleCréation d’un événem<strong>en</strong>t. On lui passe <strong>en</strong> paramètre la premièreaction qu’il <strong>de</strong>vra réaliser et à quel instant.Ajoute l’action passée <strong>en</strong> paramètre à sa liste.Réalise les actions qui se trouv<strong>en</strong>t dans la liste.Stocke les résultats <strong>de</strong> <strong>simulation</strong> (courants, t<strong>en</strong>sions et états) dans sestableaux et effectue les calculs <strong>de</strong> va<strong>leur</strong>s efficaces.Après l’événem<strong>en</strong>t et <strong>en</strong> fonction <strong>de</strong>s résultats qu’il a <strong>en</strong>registrés, ilscrute tous les élém<strong>en</strong>ts du circuit pour déterminer si on doiv<strong>en</strong>tplanifier d’autres événem<strong>en</strong>ts <strong>en</strong> réaction.Libère l’objetTab. 6 Liste <strong>de</strong>s métho<strong>de</strong>s <strong>de</strong> la classe TSimEv<strong>en</strong>t.62 / 2134.6.7 Gestion <strong>de</strong>s actions : classe TEv<strong>en</strong>tAction , TActionWatcher .Le cal<strong>en</strong>drier d’événem<strong>en</strong>ts regroupe tous les événem<strong>en</strong>ts <strong>de</strong> l’analyse. Chacun conti<strong>en</strong>t uneou plusieurs actions décrivant une modification à appliquer sur le circuit. Les actions sontimplém<strong>en</strong>tées sous forme d’un objet <strong>de</strong> la classe TEv<strong>en</strong>tAction . Une action va modifier uneseule propriété d’un élém<strong>en</strong>t (Telem<strong>en</strong>t) qui peut être un <strong>de</strong> ses paramètres ou son étatlogique.Attributs Type RôleThisElem<strong>en</strong>t Telem<strong>en</strong>t Composant que l’on doit modifier.ParamIn<strong>de</strong>x Entier Indice du paramètre que l’on doit modifier. S’il vaut–1 on va modifier l’état.NewValue Réel Nouvelle va<strong>leur</strong> du paramètre à modifier.NewState Boolé<strong>en</strong> Nouvel état à appliquer au composantTab. 7 Liste <strong>de</strong>s attributs <strong>de</strong> la classe TEv<strong>en</strong>tAction .


Chapitre 2 : Les mo<strong>de</strong>s d’analyseMétho<strong>de</strong>s RôleCreate Créer une action <strong>en</strong> suivant les instructions passées <strong>en</strong> paramètre :élém<strong>en</strong>t nouvelle va<strong>leur</strong> du paramètre, nouvel état.DoActionRéalise la modification sur le circuit.DestroyLibère l’objet.Tab. 8 Métho<strong>de</strong>s <strong>de</strong> la classe TEv<strong>en</strong>tAction .Certaines actions sont particulières dans le s<strong>en</strong>s où <strong>en</strong>tre l’instant où on va les planifier etcelui où elles s’exécuteront, il faut que les conditions qui ont produit l’action soi<strong>en</strong>tmaint<strong>en</strong>ues. Ces actions conditionnelles sont considérées comme <strong>de</strong>s actions « à surveiller »et concerne uniquem<strong>en</strong>t les actions produites par le simulateur. C’est par exemple le cas duchangem<strong>en</strong>t d’état d’un relais suite à sa mise sous t<strong>en</strong>sion. A l’instant où la bobine du relaisest alim<strong>en</strong>té sous une t<strong>en</strong>sion suffisante pour l’activer, le simulateur planifie une action <strong>de</strong>fermeture du relais (passage à l’état activé) dans un temps correspondant au retard à lafermeture. Mais cette action ne doit se réaliser que si ces conditions sont maint<strong>en</strong>ues jusqu’àl’instant d’exécution. On attache donc un observateur à l’action (TActionWatcher ) qui précisele caractère conditionnel <strong>de</strong> l’action. L’observateur a un rôle totalem<strong>en</strong>t passif et ne peut pasgérer la réalisation ou non <strong>de</strong> l’action qu’il désigne. C’est le planificateur qui par la prés<strong>en</strong>ce<strong>de</strong> l’observateur va déterminer le mainti<strong>en</strong> ou le retrait <strong>de</strong> l’action du cal<strong>en</strong>drier. Tous cesobjets TActionWatcher sont regroupés dans la liste ActionWatcherList du cal<strong>en</strong>drierd’événem<strong>en</strong>t.Attributs Type RôleAction TEv<strong>en</strong>tAction Désigne l’objet Action à surveillerEv<strong>en</strong>t TSimEv<strong>en</strong>t Désigne l’événem<strong>en</strong>t cont<strong>en</strong>ant l’action à surveillerMétho<strong>de</strong>s RôleCreateInstancie un observateur sur l’action qu’on lui passe <strong>en</strong> paramètre.DestroyLibère l’objet.Tab. 9 Attributs et métho<strong>de</strong>s <strong>de</strong> la classe TActionWatcher .4.6.8 Cal<strong>en</strong>drier d’événem<strong>en</strong>ts : classe TEv<strong>en</strong>tSchedule.La classe TEv<strong>en</strong>tSchedule décrit la planification <strong>de</strong>s événem<strong>en</strong>ts. Il ne peut exister qu’unseule instance <strong>de</strong> cette classe qui correspond à l’échéancier <strong>de</strong>s événem<strong>en</strong>ts <strong>de</strong> l’analyseévénem<strong>en</strong>tielle <strong>en</strong> cours. Cet objet va permettre à l’algorithme <strong>de</strong> l’analyse événem<strong>en</strong>tielle(Fig. 23 et Fig. 24) <strong>de</strong> piloter les différ<strong>en</strong>tes <strong>simulation</strong>s successives. Il assure les fonctions <strong>de</strong>cal<strong>en</strong>drier d’événem<strong>en</strong>ts et <strong>de</strong> planificateur, on le retrouvera sous les désignations <strong>de</strong>cal<strong>en</strong>drier, échéancier ou planificateur si on parle <strong>de</strong> ses données ou <strong>de</strong> ses procédures. Ilassure non seulem<strong>en</strong>t la mise <strong>en</strong> place <strong>de</strong>s événem<strong>en</strong>ts dans son échéancier <strong>en</strong> fonction <strong>de</strong> <strong>leur</strong>date d’exécution mais gère égalem<strong>en</strong>t <strong>leur</strong> év<strong>en</strong>tuel retrait. Certains seront retirés ducal<strong>en</strong>drier avant <strong>leur</strong> instant d’exécution car il ne satisfont plus aux conditions nécessairesdécrites dans le modèles du composant à modifier (voir le cas <strong>de</strong> l’inertie du relais).63 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.6.8.1 Attributs <strong>de</strong> TEv<strong>en</strong>tSchedule.Parmi ces propriétés, on a d’une part <strong>de</strong>s données liées à l’échéancier, au stockage <strong>de</strong>sévénem<strong>en</strong>ts et d’autre part <strong>de</strong>s informations qui ont pour but d’i<strong>de</strong>ntifier <strong>de</strong>s actionsparticulières qui ont une influ<strong>en</strong>ce sur la planification d’événem<strong>en</strong>t futurs.Attributs Type Visibilité RôleSimEv<strong>en</strong>tList Tableau <strong>de</strong>pointeursPrivée Liste <strong>de</strong>s événem<strong>en</strong>ts à réaliser (objetsTSimEv<strong>en</strong>t).SimEv<strong>en</strong>ts[i] TSimEv<strong>en</strong>t Publique Evénem<strong>en</strong>t i <strong>de</strong> la liste précé<strong>de</strong>nte.Ev<strong>en</strong>tCount <strong>en</strong>tier Publique Dim<strong>en</strong>sion <strong>de</strong> la liste d’événem<strong>en</strong>ts.ForcedStateList Tableau <strong>de</strong> Privée Liste <strong>de</strong> tous les états forcéspointeursTForcedEv<strong>en</strong>t résultant <strong>de</strong> laplanification <strong>de</strong>s <strong>en</strong>trées.ForcedStates[i] TforcedState public Etat forcé i <strong>de</strong> la liste précé<strong>de</strong>nteActionWatcherList Tableau <strong>de</strong>pointeursPrivée Liste <strong>de</strong> tous les observateurs d’actionsTActionWatcher .ActionWatchers[i] TActionWatcher Publique Observateurs d’action i <strong>de</strong> la listeprécé<strong>de</strong>nte.Tab. 10 Liste <strong>de</strong>s attributs <strong>de</strong> la classe TEv<strong>en</strong>tSchedule.4.6.8.2 Métho<strong>de</strong>s <strong>de</strong> TEv<strong>en</strong>tSchedule.La plupart <strong>de</strong>s métho<strong>de</strong>s du cal<strong>en</strong>drier d’événem<strong>en</strong>ts réalis<strong>en</strong>t les procédures <strong>de</strong> planification,d’ordonnancem<strong>en</strong>t <strong>de</strong>s événem<strong>en</strong>ts et <strong>de</strong>s actions. Cela compr<strong>en</strong>ds aussi bi<strong>en</strong> l’insertion dansla file d’att<strong>en</strong>te que le retrait <strong>de</strong> cette file.Métho<strong>de</strong>s RôlecreateCréation et initialisation <strong>de</strong> l’objet.PlanInputA partir <strong>de</strong>s stimuli d’<strong>en</strong>trées défini par l’utilisateur, il planifie lesévénem<strong>en</strong>ts correspondants.AddEv<strong>en</strong>tAjoute à la liste l’événem<strong>en</strong>t passé <strong>en</strong> paramètre.AddAction Ajoute l’action passée <strong>en</strong> paramètre à l’instant passé <strong>en</strong> paramètre :Si un événem<strong>en</strong>t existe <strong>en</strong> cet instant on ajoute l’action à cetévénem<strong>en</strong>t sinon on crée un nouvel événem<strong>en</strong>t pour cet action.AddWatchedAction Ajoute une action comme la métho<strong>de</strong> précé<strong>de</strong>nte et lui accole unobservateur (TActionWatcher ).Ev<strong>en</strong>tIn<strong>de</strong>xOfRemoveActionDestroyR<strong>en</strong>voie l’indice <strong>de</strong> l’événem<strong>en</strong>t planifié à l’instant reçu <strong>en</strong> paramètre.Retire l’action passée <strong>en</strong> paramètre. Si c’est la seule action <strong>de</strong>l’événem<strong>en</strong>t concerné, il n’est pas détruit ce qui permet <strong>de</strong> gar<strong>de</strong>r latrace <strong>de</strong> ce retrait.Vi<strong>de</strong> toutes ses listes, libère l’objet.Tab. 11 Liste <strong>de</strong>s métho<strong>de</strong>s <strong>de</strong> la classe TEv<strong>en</strong>tSchedule.64 / 213


Chapitre 2 : Les mo<strong>de</strong>s d’analyse4.6.8.3 Planification <strong>de</strong>s <strong>en</strong>trées.Au début <strong>de</strong> l’analyse événem<strong>en</strong>tielle, l’algorithme <strong>de</strong>man<strong>de</strong> au cal<strong>en</strong>drier <strong>de</strong> planifier tousles signaux d’<strong>en</strong>trée définis par l’utilisateur. Chaque transition décrite par ces stimuli vaproduire un objet action (TEv<strong>en</strong>tAction ) qui sera cont<strong>en</strong>u dans un événem<strong>en</strong>t lié à l’instantd’exécution <strong>de</strong> l’action. Les actions peuv<strong>en</strong>t être soit la modification d’un paramètre d’uncomposant ou <strong>de</strong> son état logique. Dans le cas du changem<strong>en</strong>t d’état, on a affaire à un forçage,où l’utilisateur impose l’état que doit pr<strong>en</strong>dre un composant p<strong>en</strong>dant un temps déterminé.Quelque soit l’état naturel calculé par le simulateur, c’est l’état forcé qui sera appliqué. Pourtout forçage, le cal<strong>en</strong>drier va créer un objet Indicateur d’état forcé <strong>de</strong> la classe Tforce dStatequi précise quel composant on force ainsi que les dates <strong>de</strong> début et <strong>de</strong> fin <strong>de</strong> cettemodification. Tous ces objets sont regroupés dans la liste ForcedStateList du planificateurd’événem<strong>en</strong>t. Si le simulateur veut rajouter une action <strong>de</strong> changem<strong>en</strong>t d’état p<strong>en</strong>dant cetintervalle le cal<strong>en</strong>drier la rejettera. Il faut noter que l’on <strong>en</strong> retrouve pas <strong>de</strong> notion <strong>de</strong> forçage àpropos <strong>de</strong>s modifications <strong>de</strong>s paramètres. En effet, la va<strong>leur</strong> d’un paramètre d’un composantne peut évoluer qu’à la <strong>de</strong>man<strong>de</strong> <strong>de</strong> l’utilisateur. Le simulateur ne peut pas faire changer cesva<strong>leur</strong>s <strong>en</strong> réaction à un événem<strong>en</strong>t comme il le fait avec un état logique. Ces paramètrespermett<strong>en</strong>t <strong>de</strong> r<strong>en</strong>seigner le modèle pour décrire le comportem<strong>en</strong>t du composant <strong>en</strong> toutescirconstances. Changer une <strong>de</strong> ces va<strong>leur</strong>s équivaut à remplacer ce composant par un autre etnon pas à traduire une évolution <strong>de</strong> son état comme c’est le cas avec l’état logique.65 / 213


66 / 213


Chapitre 3 : ModélisationChapitre 3Modélisation.1 Contexte – démarche <strong>de</strong> modélisation.Nous allons d’abord rappeler le contexte lié au développem<strong>en</strong>t <strong>de</strong> notre simulateur et à lamodélisation <strong>de</strong>s composants <strong>de</strong> façon à justifier nos différ<strong>en</strong>ts choix lors <strong>de</strong> la démarche <strong>de</strong>modélisation.Notre étu<strong>de</strong> est consacrée aux simulateurs <strong>de</strong> <strong>circuits</strong> électriques ori<strong>en</strong>tés versl’électrotechnique et l’électricité <strong>de</strong>s courants forts pour un usage « grand public »,typiquem<strong>en</strong>t bureau d’étu<strong>de</strong> <strong>de</strong> PME et <strong>en</strong>seignem<strong>en</strong>t. L’outil et les modèles doiv<strong>en</strong>t doncêtre simples d’emploi et minimiser les risques d’obt<strong>en</strong>ir <strong>de</strong>s résultats erronés. Les résultatsdoiv<strong>en</strong>t être obt<strong>en</strong>us rapi<strong>de</strong>m<strong>en</strong>t. Nous privilégierons d’avantage la rapidité <strong>de</strong> la résolutionplutôt que la finesse <strong>de</strong>s résultats. La modélisation s’appuie sur les techniques et le prototypedécrits dans le chapitre précé<strong>de</strong>nt.1.1 Domaine traité.Le simulateur Simul’Elec est ori<strong>en</strong>té vers les <strong>circuits</strong> électrotechniques. Le rôle ess<strong>en</strong>tiel d’unsimulateur <strong>de</strong> <strong>circuits</strong> électriques est d’estimer la va<strong>leur</strong> <strong>de</strong>s t<strong>en</strong>sions et <strong>de</strong>s courants prés<strong>en</strong>ts<strong>en</strong> tout point du circuit. Ainsi dans un premier temps, nous traiterons <strong>de</strong>s <strong>circuits</strong> <strong>en</strong> nousbasant sur <strong>de</strong>s relations électriques <strong>en</strong>tre t<strong>en</strong>sion et courant. Cep<strong>en</strong>dant, le comportem<strong>en</strong>t d’uncircuit électrique n’est pas uniquem<strong>en</strong>t régi par <strong>de</strong>s lois électriques mais égalem<strong>en</strong>t par <strong>de</strong>sphénomènes dép<strong>en</strong>dant <strong>de</strong> domaines tels que la thermique, la mécanique ou <strong>en</strong>core l’optique.En outre, les installations à simuler ne sont pas toujours purem<strong>en</strong>t électriques. On va trouverfréquemm<strong>en</strong>t <strong>de</strong>s composants pneumatiques, hydrauliques, électropneumatiques, etc. Afin <strong>de</strong>pouvoir simuler ces différ<strong>en</strong>ts composants dans <strong>leur</strong> <strong>en</strong>vironnem<strong>en</strong>t, il est nécessaire <strong>de</strong> nepas restreindre le simulateur au domaine électrique, aussi bi<strong>en</strong> par la conception <strong>de</strong> l’outil quepar la métho<strong>de</strong> <strong>de</strong> modélisation ret<strong>en</strong>ue. Nous nous sommes donc naturellem<strong>en</strong>t intéressés parla suite à <strong>de</strong>s principes <strong>de</strong> modélisation et <strong>de</strong>s langages comme VHDL-AMS [VHD2], quipermett<strong>en</strong>t <strong>de</strong>s <strong>de</strong>scriptions couvrant <strong>de</strong> multiples domaines.67 / 213


Chapitre 3 : Modélisation1.2 Contraintes.La modélisation est fortem<strong>en</strong>t dép<strong>en</strong>dante du simulateur. Ainsi elle est attachée à certainescontraintes inhér<strong>en</strong>tes à tous projets <strong>de</strong> Recherche et Développem<strong>en</strong>t.1.2.1 Liées à l’existant.En partant <strong>de</strong> ce prototype <strong>de</strong> simulateur, on définit la démarche <strong>de</strong> modélisation ainsi que labase d’évolution du simulateur et <strong>de</strong>s modèles. La métho<strong>de</strong> <strong>de</strong> calcul utilisée par le simulateurinflue directem<strong>en</strong>t sur le cont<strong>en</strong>u <strong>de</strong>s modèles et le type <strong>de</strong> comportem<strong>en</strong>t qu’ils peuv<strong>en</strong>tdécrire.1.2.2 Liées aux moy<strong>en</strong>s humains.Ce projet <strong>de</strong> recherche et développem<strong>en</strong>t est à une échelle réaliste qui correspond à une nichetechnologique assez ciblée. Les moy<strong>en</strong>s humains mis <strong>en</strong> œuvre pour ces travaux repos<strong>en</strong>t surl’auteur <strong>de</strong> cette thèse appuyé par son <strong>en</strong>cadrem<strong>en</strong>t sci<strong>en</strong>tifique, Hervé Lévi (IXL, ENSEIRB,Bor<strong>de</strong>aux 1, CNRS) qui intervi<strong>en</strong>t sur l’aspect modélisation et mo<strong>de</strong>s d’analyse, NadineRouillon Couture (LIPSI – ESTIA) qui apporte son expertise à la conception <strong>de</strong>s outilslogiciels, avec l’apport ponctuel <strong>de</strong> R<strong>en</strong>aud Briand (LIPSI-ESTIA) [LEG03] et l’expertise <strong>en</strong>développem<strong>en</strong>t informatique d’Algo’Tech. Ces moy<strong>en</strong>s, limités, nous impos<strong>en</strong>t <strong>de</strong> cibler lesefforts <strong>de</strong> recherche et <strong>de</strong> développem<strong>en</strong>t sur le développem<strong>en</strong>t <strong>de</strong> fonctionnalités, <strong>de</strong> mo<strong>de</strong>sd’analyses et <strong>de</strong> modèles originaux innovants et performants.Rappelons que SPICE existe <strong>de</strong>puis plus <strong>de</strong> 30 ans (première version <strong>en</strong> 1972 [NAG75]) etque <strong>de</strong> nombreuses équipes <strong>de</strong> recherche et développem<strong>en</strong>t n’ont eu <strong>de</strong> cesse d’améliorerl’outil, <strong>de</strong> développer <strong>de</strong>s modèles ou <strong>de</strong> créer <strong>de</strong>s outils alternatifs, sans <strong>en</strong>core parv<strong>en</strong>ir ausimulateur idéal réalisant le compromis <strong>en</strong>tre la facilité d’utilisation, la finesse et la rapidité<strong>de</strong>s résultats. Il est donc indisp<strong>en</strong>sable d’avoir <strong>de</strong>s objectifs à court terme réalistes (bi<strong>en</strong>qu’ambitieux) aussi bi<strong>en</strong> concernant les capacités <strong>de</strong> l’outil que la finesse <strong>de</strong>s résultats.1.2.3 Technologiques.Les principales contraintes technologiques sont liées à l’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> développem<strong>en</strong>t et àl’<strong>en</strong>vironnem<strong>en</strong>t cible. L’application « schématique+<strong>simulation</strong> » est développée <strong>en</strong> langageDelphi [DEL] (Pascal objet). Elle est <strong>de</strong>stinée à fonctionner sur <strong>de</strong>s PC standard sous MSWindows. Le simulateur doit être précis et rapi<strong>de</strong> dans ce type <strong>de</strong> configuration. Notons qu’audébut <strong>de</strong> ces travaux (janvier 2001) un ordinateur équipé d’un processeur <strong>de</strong> type P<strong>en</strong>tium 3 à1Ghz était considéré comme très performant.1.2.4 Liées aux utilisateurs.Dans la majorité <strong>de</strong>s cas, les simulateurs analogiques <strong>de</strong> <strong>circuits</strong> électriques, Spice et sesdérivés notamm<strong>en</strong>t, sont avant tout <strong>de</strong>stinés à un public averti, possédant une bonneperception <strong>de</strong> l’outil et <strong>de</strong>s modèles (ingénieurs, chercheurs). De ce fait, l’acc<strong>en</strong>t a étégénéralem<strong>en</strong>t mis sur la fiabilité <strong>de</strong>s résultats, la finesse <strong>de</strong> paramétrage <strong>de</strong>s mo<strong>de</strong>s d’analyse,au détrim<strong>en</strong>t parfois <strong>de</strong> l’ergonomie et <strong>de</strong> la simplicité d’utilisation. D’autre part, il existe <strong>de</strong>soutils didactiques tels que Schémaplic [FIT] ou Crocodile [CRO] qui offr<strong>en</strong>t <strong>de</strong>s possibilitésbasiques <strong>de</strong> <strong>simulation</strong> conviviales mais plus approximatives. Simul’Elec et ses modèles sont<strong>de</strong>stinés à <strong>de</strong>s utilisateurs qui peuv<strong>en</strong>t avoir une exig<strong>en</strong>ce dans la précision <strong>de</strong>s résultatsrelativem<strong>en</strong>t importante mais qui att<strong>en</strong><strong>de</strong>nt une gran<strong>de</strong> simplicité d’utilisation. Comme nous68 / 213


Chapitre 3 : Modélisationl’avons détaillé au chapitre 2, parmi ces utilisateurs, on peut distinguer <strong>de</strong>ux types <strong>de</strong> publicqui vont déterminer la démarche <strong>de</strong> modélisation : Technici<strong>en</strong> bureau d’étu<strong>de</strong> : approche métier. Enseignem<strong>en</strong>t : approche pédagogique.1.3 Démarche <strong>de</strong> modélisation.Le processus <strong>de</strong> modélisation s’est déroulé <strong>en</strong> alternance avec le développem<strong>en</strong>t <strong>de</strong> l’outil <strong>de</strong><strong>simulation</strong>, pour ne pas dire <strong>en</strong> parallèle. Les modèles implém<strong>en</strong>tés doiv<strong>en</strong>t être exploitables<strong>en</strong> totalité par le simulateur. Ainsi, les besoins d’obt<strong>en</strong>ir <strong>de</strong>s résultats plus fins et <strong>de</strong> nouveauxmodèles amèn<strong>en</strong>t à modifier le simulateur pour améliorer ses performances ou ajouter <strong>de</strong>nouveaux mo<strong>de</strong>s d’analyses par exemple. D’autres part, les améliorations du simulateurpermett<strong>en</strong>t d’affiner la <strong>de</strong>scription <strong>de</strong>s modèles. On a donc une interdép<strong>en</strong>dance très forte<strong>en</strong>tre <strong>simulation</strong> et modélisation.1.3.1 Modélisation et développem<strong>en</strong>t <strong>de</strong> l’outil.La Fig. 1 ci après montre les différ<strong>en</strong>tes étapes du processus <strong>de</strong> développem<strong>en</strong>t. L’écriture etla validation <strong>de</strong>s modèles font apparaître les défauts et les lacunes <strong>de</strong> l’outil et <strong>en</strong>traîn<strong>en</strong>tl’évolution et la correction du simulateur Simul’Elec. Avant la traduction <strong>de</strong>s modèles <strong>en</strong>VHDL-AMS, les modèles rest<strong>en</strong>t inscrits dans le programme <strong>de</strong> <strong>simulation</strong> lui-même. Bi<strong>en</strong>que peu flexible et fermé, ce principe permet <strong>de</strong> maint<strong>en</strong>ir une bonne cohér<strong>en</strong>ce <strong>en</strong>tre lesmodèles et le simulateur. En effet, l’<strong>en</strong>semble est compilé et exécuté simultaném<strong>en</strong>t. Lesoutils <strong>de</strong> déboguage <strong>de</strong> Delphi permett<strong>en</strong>t <strong>en</strong> cas <strong>de</strong> problème <strong>de</strong> suivre le comportem<strong>en</strong>t dusimulateur et <strong>de</strong>s modèles, ce qui serait impossible avec <strong>de</strong>s modèles compilés séparém<strong>en</strong>t.C’est donc une solution très satisfaisante, durant la phase <strong>de</strong> stabilisation et <strong>de</strong> fiabilisation dusimulateur. Nous verrons par la suite que pour passer du sta<strong>de</strong> <strong>de</strong> prototype à celui d’unlogiciel ouvert vers l’utilisateur, il est nécessaire <strong>de</strong> r<strong>en</strong>dre la modélisation accessible à tousvia un langage <strong>de</strong> modélisation externe.Etape 1 2 3 4 5 6 7 8PrototypeAméliorationAnalyseSimulateurSiCiOutil et interfaceévénem<strong>en</strong>tielleModélisationPremièresprimitivesAjout <strong>de</strong>primitivesFig. 1 Séqu<strong>en</strong>ce <strong>de</strong>s étapes <strong>de</strong> développem<strong>en</strong>t du simulateur et <strong>de</strong> modélisationParmi les gran<strong>de</strong>s étapes du développem<strong>en</strong>t du simulateur nous allons dissocier les <strong>de</strong>uxpremières qui sont antérieures à cette thèse :1 / Développem<strong>en</strong>t du premier prototype « SiCi ».2 / Modélisation <strong>de</strong>s premières primitives : Source <strong>de</strong> t<strong>en</strong>sion et <strong>de</strong> courant sinusoïdales. Résistance, inductance, con<strong>de</strong>nsateur. Interrupteur, fil conducteur.Modèles structurelsplus complexesModèlesévénem<strong>en</strong>tielsTraductionVHDL-AMS69 / 213


Chapitre 3 : ModélisationEnsuite, nous retrouvons celles qui font partie du travail <strong>de</strong> thèse :3 / Enrichissem<strong>en</strong>t <strong>de</strong> la bibliothèque <strong>de</strong>s primitives et amélioration <strong>de</strong> celles existantes. Sources continues, carrées. Quadripôles élém<strong>en</strong>taires : sources contrôlées, transformateur idéal.4 / Amélioration <strong>de</strong> l’outil et <strong>de</strong> l’interface. Fiabilisation.5 / Ecriture <strong>de</strong> macro-modèles (sous-<strong>circuits</strong>) plus complexes : Moteurs divers mono et triphasés. Transformateurs réels mono et triphasés. …6 / Développem<strong>en</strong>t <strong>de</strong> l’analyse événem<strong>en</strong>tielle.7 / Modélisation <strong>de</strong> composant ayant un comportem<strong>en</strong>t séqu<strong>en</strong>tiel : Bobine et contact <strong>de</strong> relais. Dispositif <strong>de</strong> protection : disjoncteurs fusibles.8 / Traduction <strong>de</strong>s modèles existants <strong>en</strong> VHDL-AMS.1.3.2 Choix <strong>de</strong>s modèles.A priori, les composants à modéliser sont ceux que les utilisateurs souhait<strong>en</strong>t pouvoir simuleravec l’outil que l’on propose. Cep<strong>en</strong>dant, les modèles à développer dép<strong>en</strong><strong>de</strong>nt égalem<strong>en</strong>t <strong>de</strong>spossibilités du simulateur. Il serait <strong>en</strong> effet superflu <strong>de</strong> modéliser <strong>de</strong>s composants si la totalité<strong>de</strong> <strong>leur</strong> <strong>de</strong>scription ne peut pas être traitée par l’outil <strong>de</strong> <strong>simulation</strong>.Afin <strong>de</strong> caractériser les modèles, nous avons rassemblé <strong>de</strong>s informations et <strong>de</strong>s connaissancessur les composants concernés. D’une manière générale, nous avons essayé d’utiliser <strong>de</strong>ssources d’information accessibles aux utilisateurs du simulateur. Ainsi, on peut obt<strong>en</strong>ir <strong>de</strong>smodèles compréh<strong>en</strong>sibles par l’utilisateur et dont il doit savoir r<strong>en</strong>seigner les paramètres àpartir <strong>de</strong> ses connaissances et <strong>de</strong>s docum<strong>en</strong>ts à sa disposition. En effet, il serait contradictoire<strong>de</strong> développer <strong>de</strong>s modèles extrêmem<strong>en</strong>t fins au paramétrage complexe s’ils ne peuv<strong>en</strong>t pasêtre utilisés.Toutefois, un modèle simple à paramétrer n’est pas nécessairem<strong>en</strong>t simpliste dans la<strong>de</strong>scription <strong>de</strong> son comportem<strong>en</strong>t. C’est par exemple le cas du disjoncteur magnétothermiquedont le modèle reçoit <strong>en</strong> paramètre une simple courbe caractéristique <strong>de</strong> fonctionnem<strong>en</strong>tnormal. Le modèle va prévoir <strong>de</strong>s phénomènes complexes que cette courbe ne définit pasmais qui sont nécessaires pour une représ<strong>en</strong>tation réaliste <strong>de</strong> son fonctionnem<strong>en</strong>t.Les modèles les plus simples, comme ceux <strong>de</strong>s primitives sont inspirés <strong>de</strong>s modèlesclassiques <strong>de</strong> la Métho<strong>de</strong> d’Analyse Nodale Modifiée utilisée égalem<strong>en</strong>t par SPICE. Ce sont<strong>de</strong>s modèles largem<strong>en</strong>t décrits dans la littérature [LIT97], [LIE98], [NEW78], [NAG75],[VLA81], que nous avons adapté à nos besoins. Ces modèles sont <strong>de</strong>s modèles idéaux etgénériques.Pour la modélisation <strong>de</strong> matériels plus complexes tels que les transformateurs, moteurs ouappareils <strong>de</strong> protection, nous avons <strong>de</strong>s sources beaucoup plus diverses. Tout d’abord, nousavons utilisé la connaissance <strong>de</strong>s lois régissant <strong>leur</strong> comportem<strong>en</strong>t (électrique notamm<strong>en</strong>t)[MER98], [EDM97], [FOU84], [QUE88], [DEP91] ainsi que <strong>de</strong>s normes et <strong>de</strong>s docum<strong>en</strong>tstechniques constructeur permettant <strong>de</strong> définir un paramétrage intelligible à l’utilisateur etcorrespondant aux règles métier [BOU92], [BLA82], [SCH01], [LEG00], [SOC00], [NFC98],[CEI88], [CIT94], [BOY81].70 / 213


Chapitre 3 : Modélisation2 Approche Ori<strong>en</strong>tée Objet <strong>de</strong> la modélisation.Le simulateur développé <strong>en</strong> langage Delphi exploite <strong>de</strong>s modèles eux aussi écrits <strong>en</strong> Delphi.Nous <strong>en</strong>visageons d’ores et déjà une évolution vers une modélisation externe au simulateur.Chaque modèle sera compilé séparém<strong>en</strong>t du simulateur permettant ainsi à l’utilisateur <strong>de</strong>développer ses propres modèles.Le langage <strong>de</strong> modélisation ret<strong>en</strong>u est VHDL-AMS. Les critères nous ayant am<strong>en</strong>é à choisirce langage est détaillé dans le paragraphe 4 <strong>de</strong> ce chapitre. Les principaux intérêts <strong>de</strong>l’utilisation <strong>de</strong> ce langage sont d’apporter une plus gran<strong>de</strong> lisibilité aux modèles <strong>en</strong>s’appuyant sur une norme internationale qui permet d’écrire <strong>de</strong>s modèles couvrant différ<strong>en</strong>tsdomaines pouvant faire interv<strong>en</strong>ir différ<strong>en</strong>ts niveaux <strong>de</strong> <strong>de</strong>scription. Les modèles existants <strong>en</strong>Delphi doiv<strong>en</strong>t être traduits <strong>en</strong> VHDL-AMS pour <strong>de</strong>v<strong>en</strong>ir accessible. Les principes <strong>de</strong> latraduction Delphi vers VHDL-AMS sont développés dans le paragraphe 5 <strong>de</strong> ce chapitre.Notons que cette traduction <strong>de</strong>vra être suivie d’une étape <strong>de</strong> développem<strong>en</strong>t d’un compilateurVHDL-AMS vers Delphi permettant <strong>de</strong> réaliser le processus inverse et ainsi <strong>de</strong> r<strong>en</strong>drecompréh<strong>en</strong>sible au simulateur les modèles définis par l’utilisateur. Mais cette partie sort ducadre <strong>de</strong> ce travail et sera réalisée ultérieurem<strong>en</strong>t. Ici, nous détaillerons tout d’abord ladémarche <strong>de</strong> modélisation <strong>de</strong>s composants et <strong>leur</strong> implém<strong>en</strong>tation <strong>en</strong> langage Delphi.2.1 Description d’un modèle « ori<strong>en</strong>té objet ».L’emploi <strong>de</strong> Delphi pour la <strong>de</strong>scription <strong>de</strong>s modèles permet d’obt<strong>en</strong>ir une <strong>de</strong>scriptionstructurée et réutilisable d’un modèle. Il est important <strong>de</strong> souligner que Delphi est un langage<strong>de</strong> programmation. Il n’est ni un langage <strong>de</strong> modélisation ni un langage <strong>de</strong> <strong>de</strong>scription dumatériel (HDL : Hardware Description Language). Chaque modèle <strong>de</strong> composant est décritpar une classe d’objet. Ainsi pour chaque élém<strong>en</strong>t du schéma électrique à simuler, le modèlecorrespondant sera instancié <strong>en</strong> un objet <strong>de</strong> la classe associée au modèle. Pour bi<strong>en</strong> saisir lesdétails <strong>de</strong> l’implém<strong>en</strong>tation <strong>de</strong>s modèles <strong>en</strong> Delphi, il est préférable <strong>de</strong> compr<strong>en</strong>dre quelquestermes élém<strong>en</strong>taires <strong>de</strong> la programmation ori<strong>en</strong>tée objet. Classe, objet , héritage, surcharge,classe abstraite, concrète, polymorphisme, etc. On se reportera au Chapitre 1 où ces conceptssont développés.2.1.1 Intérêt <strong>de</strong> la programmation ori<strong>en</strong>tée objet <strong>en</strong> modélisation.L’application <strong>de</strong> schématique et le simulateur étant développés <strong>en</strong> Delphi, l’emploi <strong>de</strong> laprogrammation ori<strong>en</strong>tée objet pour la <strong>de</strong>scription était une contrainte technologique imposéepar Algo’Tech Informatique. Elle se justifie notamm<strong>en</strong>t par le fait <strong>de</strong> maint<strong>en</strong>ir une cohér<strong>en</strong>ceet une compatibilité maximum <strong>en</strong>tre le simulateur et les modèles. De plus, ce choix permet <strong>de</strong>tirer parti <strong>de</strong>s avantages d’une programmation ori<strong>en</strong>tée objet notamm<strong>en</strong>t l’héritage, lasurcharge <strong>de</strong> métho<strong>de</strong>s.L’<strong>en</strong>semble <strong>de</strong> ces caractéristiques permet <strong>de</strong> définir un comportem<strong>en</strong>t commun à tout modèleà partir <strong>de</strong> la classe par<strong>en</strong>te TElem<strong>en</strong>t. Les modèles obt<strong>en</strong>us par héritage <strong>de</strong> TElem<strong>en</strong>t sontréutilisables et modifiables par la surcharge <strong>de</strong> métho<strong>de</strong>s par exemple.2.1.2 Elém<strong>en</strong>ts, composants et <strong>circuits</strong>.Les principes <strong>de</strong> la modélisation Delphi étant posés, nous allons décrire les gran<strong>de</strong>s familles<strong>de</strong> modèles. Elles sont définies par 3 classes abstraites fondam<strong>en</strong>tales : TElem<strong>en</strong>t,TComposant et TCircuit. La brève <strong>de</strong>scription qui suit permet d’éclaircir ces notionsd’élém<strong>en</strong>ts, <strong>de</strong> composants et <strong>de</strong> <strong>circuits</strong> et d’introduire le vocabulaire.71 / 213


Chapitre 3 : Modélisation2.1.2.1 TElem<strong>en</strong>t : élém<strong>en</strong>t d’un circuit électrique.La classe TElem<strong>en</strong>t est la classe abstraite dont dérive tout modèle. Elle représ<strong>en</strong>te toutélém<strong>en</strong>t d’un circuit électrique qui peut être un composant ou un sous circuit. Ainsi chaqueélém<strong>en</strong>t du circuit à simuler sera instancié <strong>en</strong> un objet dont la classe dérive <strong>de</strong> TElem<strong>en</strong>t. Iln’y a que <strong>de</strong>ux classes (abstraites égalem<strong>en</strong>t) qui dériv<strong>en</strong>t directem<strong>en</strong>t <strong>de</strong> TElem<strong>en</strong>t: TComposant: modèle d’un composant <strong>de</strong> base <strong>de</strong> l’électricité (primitive). TCircuit: modèle d’un sous-circuit (macro-composant).Pour les familiers <strong>de</strong>s simulateurs <strong>de</strong> type Spice [VLA81], on peut faire le parallèle <strong>en</strong>tre ces<strong>de</strong>ux classes et respectivem<strong>en</strong>t : Les primitives Spice. Les sous-<strong>circuits</strong> définit par l’instruction SUBCKT.TElem<strong>en</strong>tTComposantTCircuitFig. 2 Héritages <strong>de</strong>s classes fondam<strong>en</strong>tales <strong>de</strong>s modèles.2.1.2.2 TComposant : composant <strong>de</strong> base du circuit.La classe TComposant décrit les élém<strong>en</strong>ts les plus basiques du simulateur. C’est dans cetteclasse que l’on trouve les métho<strong>de</strong>s équival<strong>en</strong>tes aux primitives au s<strong>en</strong>s <strong>de</strong> SPICE. Elle décritles relations <strong>en</strong>tre les t<strong>en</strong>sions et courants au sein du composant. Lorsqu’un schéma électriqueest analysé, le simulateur crée un réseau d’élém<strong>en</strong>ts <strong>de</strong> type composant (TComposant) ou <strong>de</strong>type circuit (TCircuit). Pour pouvoir simuler ce circuit, image du schéma, il est alorsnécessaire <strong>de</strong> décomposer tous les <strong>circuits</strong> qu’il conti<strong>en</strong>t jusqu’au niveau élém<strong>en</strong>taire, afind’obt<strong>en</strong>ir un réseau uniquem<strong>en</strong>t constitué d’élém<strong>en</strong>ts <strong>de</strong> type composant (TComposant).2.1.2.3 TCircuit : sous-circuit, assemblage d’élém<strong>en</strong>ts.La classe TCircuit décrit <strong>de</strong>s sous-<strong>circuits</strong>. Un sous-circuit est un assemblage <strong>de</strong> composants(TComposant) ou <strong>de</strong> sous-<strong>circuits</strong> (TCircuit). Le schéma que l’on simule est un objet <strong>de</strong> laclasse TCircuit, construit à l’analyse du schéma.2.2 Composition d’un modèle.Chaque modèle est décrit au sein d’une classe concrète dérivant <strong>de</strong> la classe TElem<strong>en</strong>t oud’une classe abstraite décrivant un méta-modèle, elle même issue <strong>de</strong> la classe TElem<strong>en</strong>t.Chaque modèle <strong>de</strong> comporte 2 aspects distincts : Description du comportem<strong>en</strong>t électrique et séqu<strong>en</strong>tiel : c’est le modèle <strong>en</strong> tant que tel. Intégration du modèle dans le simulateur.Rappelons que les modèles Delphi sont <strong>de</strong>s modèles <strong>de</strong> <strong>simulation</strong> au s<strong>en</strong>s <strong>de</strong> [GAR01]. Outrela <strong>de</strong>scription du comportem<strong>en</strong>t, il doit pr<strong>en</strong>dre <strong>en</strong> considération un certain nombre <strong>de</strong>contraintes liées notamm<strong>en</strong>t à l’<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> <strong>simulation</strong>. Nous exploitons ici pleinem<strong>en</strong>tle phénomène <strong>de</strong> l’héritage <strong>en</strong> décrivant au maximum le comportem<strong>en</strong>t commun <strong>de</strong>s modèles72 / 213


Chapitre 3 : Modélisationau niveau <strong>de</strong>s classes abstraites les plus près possibles <strong>de</strong> la classe TElem<strong>en</strong>t dans l’arbred’héritage. Ainsi au niveau <strong>de</strong>s classes concrètes seules les parties spécifiques à la <strong>de</strong>scriptiondu comportem<strong>en</strong>t du modèle sont traitées.2.2.1 Description du comportem<strong>en</strong>t.La structure du modèle définit son aspect extérieur, son interface avec l’<strong>en</strong>vironnem<strong>en</strong>t, ses<strong>en</strong>trées, ses sorties et ses paramètres. Le comportem<strong>en</strong>t du modèle définit l’état <strong>de</strong>s sorties etles réactions <strong>en</strong> fonction <strong>de</strong> ses <strong>en</strong>trées et <strong>de</strong>s événem<strong>en</strong>ts qui le touch<strong>en</strong>t et <strong>de</strong> l’influ<strong>en</strong>ce <strong>de</strong>son <strong>en</strong>vironnem<strong>en</strong>t( Fig. 3 ). On retrouve d’ail<strong>leur</strong>s une distinction analogue <strong>en</strong>tre structure etcomportem<strong>en</strong>t aussi bi<strong>en</strong> dans <strong>de</strong>s langages <strong>de</strong> programmation ori<strong>en</strong>tés objet comme Delphique dans <strong>de</strong>s langages <strong>de</strong> modélisation tels que VHDL-AMS (voir Tab. 1).EntréesSortiesMODELEEvénem<strong>en</strong>tRéactionsENVIRONNEMENTFig. 3 Structure d’un modèlePartie du modèle Delphi Vhdl-AmsStructure Interface EntityComportem<strong>en</strong>t Implém<strong>en</strong>tation ArchitectureTab. 1 Structure et comportem<strong>en</strong>t <strong>en</strong> Delphi et VHDL-AMSAinsi pour chaque modèle, nous <strong>de</strong>vons définir : Ses paramètres. Son comportem<strong>en</strong>t électrique.o Entrées.o Sortieso Les relations Entrées Sorties. Son comportem<strong>en</strong>t séqu<strong>en</strong>tielo Les événem<strong>en</strong>ts qui le touch<strong>en</strong>to La réaction à ces événem<strong>en</strong>ts.Nous rappelons que Simul’Elec est avant tout un simulateur <strong>de</strong> réseau électrique« analogique ». Chaque modèle est constitué par la <strong>de</strong>scription du comportem<strong>en</strong>t électrique,nécessaire à tous les mo<strong>de</strong>s d’analyse. L’aspect séqu<strong>en</strong>tiel du comportem<strong>en</strong>t peut y être ajoutécomme une couche supplém<strong>en</strong>taire si l’on souhaite augm<strong>en</strong>ter la précision du modèle. Ce<strong>de</strong>rnier aspect n’est par contre exploité que par le mo<strong>de</strong> d’analyse événem<strong>en</strong>tiel.Ces <strong>de</strong>ux aspects du modèle sont indép<strong>en</strong>dants et sont définis séparém<strong>en</strong>t. Ainsi, lecomportem<strong>en</strong>t séqu<strong>en</strong>tiel ne peut pas modifier le comportem<strong>en</strong>t électrique et inversem<strong>en</strong>t.Ces <strong>de</strong>ux parties du modèle sont toutefois liées par <strong>de</strong>s variables partagées (comme l’étatlogique du composant) auquel chacun peut accé<strong>de</strong>r, modifier et adapter son fonctionnem<strong>en</strong>t<strong>en</strong> fonction <strong>de</strong> <strong>leur</strong>s va<strong>leur</strong>s. C’est, par exemple, le cas d’un disjoncteur magnétothermiquedont le modèle électrique est une résistance <strong>en</strong> série avec un interrupteur. Cet interrupteur estouvert ou fermé selon l’état logique du disjoncteur qui peut être défini par l’utilisateur. Mais73 / 213


Chapitre 3 : Modélisationcette va<strong>leur</strong> d’état logique peut être modifiée par la partie séqu<strong>en</strong>tielle du modèle qui décritles conditions <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t du disjoncteur.Pour résumer, la définition du comportem<strong>en</strong>t intervi<strong>en</strong>t sur <strong>de</strong>ux plans distincts, électrique etséqu<strong>en</strong>tiel. Cette définition est, et doit rester dissociée <strong>de</strong> l’intégration du modèle dans lesimulateur. Toutefois, cette <strong>de</strong>scription reste liée à la métho<strong>de</strong> <strong>de</strong> modélisation et <strong>de</strong><strong>simulation</strong>. Par exemple, le comportem<strong>en</strong>t électrique d’une résistance est défini dans lemodèle TResistance sous forme d’un système <strong>de</strong> matrices. Mais la définition du modèle via laclasse TResistance ne permet pas <strong>de</strong> savoir <strong>de</strong> quelle manière ce composant s’intègre dans uncircuit. C’est la classe abstraite par<strong>en</strong>t TDipole qui décrit comm<strong>en</strong>t connecter ce dipôle auxautres élém<strong>en</strong>ts du réseau simulé, comme le montre la Fig. 4.TDipoleConnexion du dipôle au reste duréseau simuléTResistance Comportem<strong>en</strong>t électrique ducomposantFig. 4 Répartition <strong>de</strong> la définition d’un modèle <strong>de</strong> résistance dans différ<strong>en</strong>tes classes2.2.2 Intégration du modèle dans le simulateur.Les classes qui décriv<strong>en</strong>t les modèles sont constituées <strong>de</strong> 2 parties qui ont chacune un rôlebi<strong>en</strong> distinct : Description du comportem<strong>en</strong>t électrique et séqu<strong>en</strong>tiel : c’est le modèle <strong>en</strong> tant que tel. Intégration du modèle dans le simulateur.La partie « utile » d’un modèle est constitué par les <strong>de</strong>ux aspects électrique et séqu<strong>en</strong>tiel quidécriv<strong>en</strong>t le comportem<strong>en</strong>t <strong>de</strong> l’élém<strong>en</strong>t modélisé. Toutefois, nos modèles doiv<strong>en</strong>t s’intégrerdans un circuit traité par notre outil <strong>de</strong> <strong>simulation</strong>. Il est donc nécessaire <strong>de</strong> définir les règlesqui permett<strong>en</strong>t <strong>de</strong> mettre <strong>en</strong> relation la <strong>de</strong>scription du comportem<strong>en</strong>t avec le simulateur.Ainsi, la <strong>de</strong>scription d’un modèle sous la forme d’une classe dérivant <strong>de</strong> TElem<strong>en</strong>t pr<strong>en</strong>dra <strong>en</strong>compte non seulem<strong>en</strong>t le comportem<strong>en</strong>t mais aussi l’intégration dans le circuit simulé.Pour mieux compr<strong>en</strong>dre quelles sont ces règles d’intégration, il faut rappeler brièvem<strong>en</strong>t leprocessus <strong>de</strong> <strong>simulation</strong> et le type d’information que traite le simulateur. Ce processus estdéterminé par les métho<strong>de</strong>s <strong>de</strong> modélisation (métho<strong>de</strong> d’analyse nodale modifiée) et <strong>de</strong>résolution du système (pivot <strong>de</strong> Gauss). L’aspect électrique du modèle est ici prépondérant,l’aspect événem<strong>en</strong>tiel n’influant que très peu.2.2.2.1 Décomposition du schéma <strong>en</strong> primitives.La métho<strong>de</strong> <strong>de</strong> décomposition du schéma est détaillée dans le chapitre 2. Nous <strong>en</strong> rappelonsici brièvem<strong>en</strong>t les principes afin <strong>de</strong> mieux compr<strong>en</strong>dre comm<strong>en</strong>t chaque modèle est intégrédans le simulateur. Lors <strong>de</strong> la résolution, le noyau <strong>de</strong> calcul doit disposer d’un réseau <strong>de</strong>composants interconnectés. Chacun <strong>de</strong>s composants <strong>de</strong> ce réseau est une primitive dusimulateur dont le modèle est défini par un système <strong>de</strong> matrices élém<strong>en</strong>taires. Pour résumer,le réseau ainsi défini permet <strong>de</strong> rassembler toutes ces matrices élém<strong>en</strong>taires pour constituer unsystème <strong>de</strong> matrices globales qui est l’image du réseau électrique. C’est ce système qui faitl’objet <strong>de</strong> la résolution. D’autre part, l’analyse du schéma représ<strong>en</strong>tant ce réseau, nous fournit74 / 213


Chapitre 3 : Modélisationune liste d’élém<strong>en</strong>ts électriques et <strong>de</strong> <strong>leur</strong>s interconnexions. Par contre, ces élém<strong>en</strong>ts peuv<strong>en</strong>taussi bi<strong>en</strong> être <strong>de</strong>s composants (primitives du simulateur) que <strong>de</strong>s sous-<strong>circuits</strong>, constituéseux-mêmes par un réseau d’élém<strong>en</strong>ts électriques. Le simulateur ne peut pas les traiter <strong>en</strong> tantque tels, il doit disposer uniquem<strong>en</strong>t <strong>de</strong> primitives. Donc, chaque sous-circuit doit pouvoir sedécomposer <strong>en</strong> composants élém<strong>en</strong>taires et se connecter au reste du réseau.SchémaAnalyseRéseau <strong>de</strong>s typesd’élém<strong>en</strong>ts et<strong>de</strong> connexionsInstanciationListe <strong>de</strong>smodèlescorrespondantsDécomposition<strong>en</strong> composantsélém<strong>en</strong>tairesListe<strong>de</strong>composantsRéalisation<strong>de</strong>sconnexionsRéseau <strong>de</strong>composantsinterconnectésIntégrationMatricereprés<strong>en</strong>tativedu réseauFig. 5 Cycle <strong>de</strong> traduction d’un schéma pour la <strong>simulation</strong>2.2.2.2 Référ<strong>en</strong>ces croisées.Pour pouvoir utiliser nos modèles avec l’analyse événem<strong>en</strong>tielle, il est nécessaire <strong>de</strong>compléter le réseau électrique par la création <strong>de</strong> référ<strong>en</strong>ces croisées. Ces référ<strong>en</strong>ces croiséespermett<strong>en</strong>t d’établir une relation maître-esclaves <strong>en</strong>tre plusieurs élém<strong>en</strong>ts du schéma via <strong>leur</strong>état logique. C’est par exemple le cas d’un relais dont l’état <strong>de</strong>s contacts (actif/au repos) seraasservi à l’état logique <strong>de</strong> la bobine <strong>de</strong> comman<strong>de</strong>. Ainsi un élém<strong>en</strong>t maître impose son état à<strong>de</strong>s composants esclaves. Le terme "référ<strong>en</strong>ces croisées" indique que chaque maître possè<strong>de</strong>une référ<strong>en</strong>ce sur ses esclaves et que chaque esclave possè<strong>de</strong> une référ<strong>en</strong>ce à son maître.Le changem<strong>en</strong>t d’état d’un composant maître doit se propager lors <strong>de</strong> l’analyseévénem<strong>en</strong>tielle aux composants qui sont ses esclaves et pour transmettre cet état le simulateurdoit reconstituer les li<strong>en</strong>s <strong>en</strong>tre composants.Cette notion est très forte, voir ess<strong>en</strong>tielle, pour la <strong>simulation</strong> <strong>de</strong>s <strong>circuits</strong> électrotechniques.Fréquemm<strong>en</strong>t du matériel modulaire dispose d’une partie c<strong>en</strong>trale qui est généralem<strong>en</strong>t lemaître et <strong>de</strong> différ<strong>en</strong>ts accessoires. Dans certains cas, la relation classique Maître Esclaveest ét<strong>en</strong>due à 3 niveaux hiérarchiques Maître Maître/Esclave Esclave. Il existe unniveau intermédiaire « Maître/Esclave » qui définit du matériel qui peut être à la fois maître etesclave. C’est par exemple le cas <strong>de</strong> certains disjoncteurs qui peuv<strong>en</strong>t être maîtres car <strong>leur</strong> état<strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t définit celui <strong>de</strong> contacts additifs (pour la signalisation <strong>de</strong> défaut parexemple). Le disjoncteur peut être lui-même piloté à distance par un moteur qui est alors lemaître du disjoncteur.2.2.2.3 Fonctions d’intégration <strong>de</strong>s modèles.Les fonctions qui permett<strong>en</strong>t l’intégration <strong>de</strong>s modèles au simulateur sont définies dans lesclasses abstraites dont dériv<strong>en</strong>t les modèles. Ces classes abstraites sont situées au niveau leplus haut dans l’arbre d’héritage ayant pour racine la classe TElem<strong>en</strong>t. Le tableau Tab. 2suivant résume les différ<strong>en</strong>tes fonctions d’intégration <strong>de</strong>s modèles. Il indique à titred’illustration quelques classes abstraites concernées.75 / 213


Chapitre 3 : ModélisationFonctionDécomposition du réseau <strong>en</strong> composants élém<strong>en</strong>tairesConnexion <strong>de</strong>s élém<strong>en</strong>tsMise à jour <strong>de</strong> la liste <strong>de</strong>s fréqu<strong>en</strong>ces du simulateurCréation <strong>de</strong>s référ<strong>en</strong>ces croiséesClasses concernéesTCircuit ….TCircuit, TDipole, Tquadripole…TCircuit, TDipoleActif …TElem<strong>en</strong>t …Tab. 2 Fonctions d’intégration <strong>de</strong>s modèles dans le simulateur.Bi<strong>en</strong> qu’implém<strong>en</strong>tées dans les classes représ<strong>en</strong>tant les modèles, on peut considérer que <strong>leur</strong>définition fait davantage partie <strong>de</strong> l’outil <strong>de</strong> <strong>simulation</strong> que <strong>de</strong> l’outil <strong>de</strong> modélisation. Eneffet, l’aspect purem<strong>en</strong>t modélisation dép<strong>en</strong>d principalem<strong>en</strong>t <strong>de</strong> la métho<strong>de</strong> <strong>de</strong> modélisationalors que les fonctions d’intégration du modèle sont avant tout liées à l’architecture logicielledu simulateur.76 / 213


Chapitre 3 : Modélisation3 Modèles développés.Nous avons vu <strong>en</strong> 1.3 que le développem<strong>en</strong>t <strong>de</strong>s modèles va <strong>de</strong> pair avec celui du simulateur.Le niveau <strong>de</strong> complexité que peut atteindre un modèle est dép<strong>en</strong>dant <strong>de</strong> celui que peut traiterle simulateur. Dans notre démarche <strong>de</strong> conception du simulateur, nous avons défini <strong>de</strong>s étapesd’amélioration progressives <strong>de</strong>s outils et <strong>de</strong>s modèles. Nous avons donc développé <strong>de</strong>smodèles <strong>de</strong> plus <strong>en</strong> plus complexes au fur et à mesure <strong>de</strong> l’amélioration du simulateur. Toutd’abord, nous avons décrit le comportem<strong>en</strong>t <strong>de</strong>s composants élém<strong>en</strong>taires qui constitu<strong>en</strong>t lesprimitives du système. Ces primitives nous permett<strong>en</strong>t <strong>de</strong> représ<strong>en</strong>ter notre circuit sous formematricielle et <strong>de</strong> le résoudre à l’ai<strong>de</strong> <strong>de</strong> métho<strong>de</strong>s d’analyse numérique. Elles sont semblablesaux primitives <strong>de</strong> SPICE [NAG75]. Ensuite nous prés<strong>en</strong>terons <strong>de</strong>s macro-modèles simples,puis <strong>de</strong>s modèles plus complexes intégrant <strong>de</strong>s comportem<strong>en</strong>ts séqu<strong>en</strong>tiels.3.1 Les Primitives du simulateur.La métho<strong>de</strong> d’analyse nodale modifiée, sur laquelle est basé notre noyau <strong>de</strong> résolution, reposesur l’expression <strong>de</strong>s relations <strong>en</strong>tre les t<strong>en</strong>sions et les courants pour chacun <strong>de</strong>s nœuds ducircuit. Le comportem<strong>en</strong>t électrique <strong>de</strong> chaque composant doit définir ces relations <strong>en</strong> chacun<strong>de</strong> ses nœuds. Afin <strong>de</strong> ne pas décrire à nouveau toutes ces relations, on définit un <strong>en</strong>semble <strong>de</strong>primitives qui regroupe les différ<strong>en</strong>tes relations possibles. Elles sont associées à <strong>de</strong>scomposants élém<strong>en</strong>taires. Tout composant d’un circuit peut être décrit par la combinaison <strong>de</strong>ces primitives <strong>en</strong> réalisant <strong>de</strong>s connections <strong>en</strong>tre composants élém<strong>en</strong>taires. De ce fait, avant <strong>de</strong>pouvoir réaliser <strong>de</strong>s modèles complexes (macro-modèles ou sous-<strong>circuits</strong>), il est indisp<strong>en</strong>sabled’implém<strong>en</strong>ter toutes les primitives qui peuv<strong>en</strong>t s’avérer nécessaires. Par primitive, ondésignera aussi bi<strong>en</strong> la fonction réalisée que le composant électrique qui l’implém<strong>en</strong>te.3.1.1 Primitives du prototype SiCi.Les premières primitives dans la phase <strong>de</strong> développem<strong>en</strong>t du prototype SiCi (Fig. 1– Etape 2)sont les composants les plus élém<strong>en</strong>taires <strong>de</strong> l’électricité.ComposantsRelation électriqueSource <strong>de</strong> t<strong>en</strong>sion sinusoïdale u( t)Usin( t)Source <strong>de</strong> courant sinusoïdale i( t)Isin(t)Résistance idéaleu R.iInductance idéaleu jL.iCon<strong>de</strong>nsateur idéal ju . iCCourt-circuitI<strong>de</strong>ntité <strong>de</strong> 2 noeudsInterrupteurSelon état circuit ouvert ou court-circuitTab. 3 Primitives du prototype SiCiLitovski et M. Zwolinski [LIT97] décriv<strong>en</strong>t la métho<strong>de</strong> <strong>de</strong> <strong>de</strong>scription <strong>de</strong> ces primitivesexistant pour la plupart dans SPICE. Il a toutefois été nécessaire d’adapter ces <strong>de</strong>scriptions aurégime sinusoïdal <strong>de</strong> SiCi ainsi qu’à la structure logicielle du simulateur. On notera qu’à cesta<strong>de</strong> <strong>de</strong> son développem<strong>en</strong>t, le simulateur SiCi ne pouvait traiter que <strong>de</strong>s dipôles.A partir <strong>de</strong> ces primitives, il est possible <strong>de</strong> simuler <strong>de</strong>s <strong>circuits</strong> simples <strong>en</strong> régime perman<strong>en</strong>tet fréqu<strong>en</strong>tiel. Cela peut s’appliquer à l’étu<strong>de</strong> <strong>de</strong> <strong>circuits</strong> simples <strong>de</strong> chauffage, d’éclairage oucont<strong>en</strong>ant <strong>de</strong>s filtres passifs. La première lacune qui apparaît est le manque <strong>de</strong> composant77 / 213


Chapitre 3 : Modélisation« amplificateurs <strong>de</strong> signal ». D’autre part, on ne dispose que <strong>de</strong> sources sinusoïdales ce quilimite le champ d’étu<strong>de</strong>. Ces modèles valables <strong>en</strong> régime sinusoïdal uniquem<strong>en</strong>t ont étéadaptés et améliorés notamm<strong>en</strong>t pour les régimes continus.3.1.2 Définition matricielle <strong>de</strong>s modèles <strong>de</strong> primitives.La métho<strong>de</strong> d’analyse nodale modifiée permet <strong>de</strong> traduire un système d’équations sous formematricielle [LIT97] <strong>en</strong> exprimant les relations <strong>en</strong>tre les t<strong>en</strong>sions et les courants <strong>en</strong> chacun <strong>de</strong>snœuds. La <strong>de</strong>scription plus détaillée <strong>de</strong> cette métho<strong>de</strong> est donnée dans le Chapitre 1.[G]x[V]=[I]Fig. 6 Système <strong>de</strong> matrices obt<strong>en</strong>u par l’analyse nodale modifiéeChaque ligne du système <strong>de</strong> matrices ou colonne <strong>de</strong> la matrice <strong>de</strong>s admittances (G)correspond à un <strong>de</strong>s nœuds du circuit. Pour chaque primitive, le modèle définit laparticipation du composant <strong>en</strong> décrivant quelles va<strong>leur</strong>s complexes notre modèle insère dansles matrices pour les cellules correspondantes à ses nœuds. Dans notre système <strong>de</strong> matrices, lamatrice <strong>de</strong>s t<strong>en</strong>sions V n’est pas r<strong>en</strong>seignée par nos modèles car c’est la matrice <strong>de</strong>sinconnues. Ainsi chaque modèle doit décrire son comportem<strong>en</strong>t au travers <strong>de</strong> sa participation<strong>en</strong> terme d’impédance ou <strong>de</strong> courant d’excitation. Toute participation sous forme <strong>de</strong> t<strong>en</strong>sionsera transformée pour être inscrite dans les matrices G et I. Pour certains composants, onintroduit donc <strong>de</strong> nouvelles équations (métho<strong>de</strong> du giratoire [LIT97]). On ajoute <strong>de</strong> ce fait unedim<strong>en</strong>sion au système <strong>de</strong> matrices <strong>en</strong> créant une nouvelle branche. On adopte ici unereprés<strong>en</strong>tation sous forme <strong>de</strong> tampon (stamp) résumant le modèle sous forme tabulaire,illustré par l’exemple <strong>en</strong> Fig. 7 et Fig. 8.A, B et C sont les coordonnées <strong>de</strong> la matrice correspondantes aux nœuds du composant, br 1 àbr n sont les nouvelles branches créées pour ajouter <strong>de</strong>s équations. VSM regroupe les va<strong>leur</strong>sque l’on ajoute au Vecteur Second Membre <strong>de</strong>s excitations. Notons que les primitives ont uncomportem<strong>en</strong>t purem<strong>en</strong>t électrique. Elles n’ont pas <strong>de</strong> comportem<strong>en</strong>t événem<strong>en</strong>tielparticulier.Une case laissée vi<strong>de</strong> n’apporte aucune contribution. Nous rappelons que pour tous les mo<strong>de</strong>sd’analyses les va<strong>leur</strong>s cont<strong>en</strong>ues dans le système <strong>de</strong> matrices sont <strong>de</strong>s va<strong>leur</strong>s complexes.ABCbr 1br nA B C br 1 ..br n VSMABCFig. 7 Représ<strong>en</strong>tation tabulaire d’un modèleFig. 8 Composant modélisé78 / 213


Chapitre 3 : Modélisation3.1.3 Dipôles passifs.On va considérer qu’un dipôle est passif s’il n’introduit aucune excitation dans le système.Parmi les modèles que nous avons développés, les dipôles passifs regroup<strong>en</strong>t : Résistance. Con<strong>de</strong>nsateur. Inductance. Admittance.Pour tous ces dipôles passifs, l’insertion dans les matrices sera i<strong>de</strong>ntique, seule la manière <strong>de</strong>calculer l’admittance Y <strong>de</strong>s composants diffère.A B VSMA Y -YB -Y YV AI AI BV BFig. 9 Tampon d’un dipôle passifFig. 10 Dipôle passifIci la va<strong>leur</strong> Y représ<strong>en</strong>te l’admittance complexe du composant qui sera calculéedifféremm<strong>en</strong>t pour chacun. Nous traitons <strong>de</strong>s régimes sinusoïdaux ce qui a pour effet <strong>de</strong>simplifier la détermination <strong>de</strong> ces impédances. Nous utilisons la forme sinusoïdale réduite.RésistanceCon<strong>de</strong>nsateurInductanceAdmittance complexeForme sinusoïdaleréduiteYR1Y jCY jLYRe1 jImForme différ<strong>en</strong>tielle ParamètreYR1du(t)YCdtRésistance RCapacité CY Li( t)dt Inductance LNe s’applique pasPartie réelle Re,partie imaginaire ImTab. 4 Différ<strong>en</strong>tes expressions <strong>de</strong> l’impédance pour les composants passifsComme pour SPICE, l’insertion <strong>de</strong> va<strong>leur</strong>s nulles dans les matrices risque d’empêcher larésolution. On peut à force <strong>de</strong> placer <strong>de</strong>s zéros (complexes) dans les matrices disposer <strong>de</strong>moins d’équations que l’on a d’inconnues, on peut égalem<strong>en</strong>t avoir <strong>de</strong>s divisions par zérosinsolubles. Pour éviter ce type <strong>de</strong> problème, lorsque le composant reçoit un paramètre nul(résistance, capacité, inductance selon le cas). On lui substitue une va<strong>leur</strong> Z low (va<strong>leur</strong> = 10 -12 )permettant d’insérer <strong>de</strong>s va<strong>leur</strong>s différ<strong>en</strong>tes <strong>de</strong> zéro.De même lorsque le composant reçoit une excitation continue, on substitue la pulsation nullepar une pulsation low (va<strong>leur</strong> = 10 -12 ), pour la détermination <strong>de</strong> l’admittance.79 / 213


Chapitre 3 : Modélisation3.1.4 SourcesSimul’Elec traite <strong>de</strong>s régimes sinusoïdaux établis. Il considère le régime continu comme uncas particulier <strong>de</strong> régime sinusoïdal à fréqu<strong>en</strong>ce nulle. Pour la résolution du systèmecomportant plusieurs fréqu<strong>en</strong>ces d’excitation, il applique le principe <strong>de</strong> superposition <strong>en</strong>réalisant une <strong>simulation</strong> pour chaque fréqu<strong>en</strong>ce <strong>en</strong> éteignant à chaque fois les sources dont lafréqu<strong>en</strong>ce est différ<strong>en</strong>te (voir chapitre 2, paragraphe. 2-2-3). Ces sources sont idéales nesubiss<strong>en</strong>t pas <strong>de</strong> limitation et n’ont pas d’impédance interne.3.1.4.1 Source <strong>de</strong> courant.Un composant unique permet <strong>de</strong> modéliser une source <strong>de</strong> courant sinusoïdale ou continue. Sila source est continue, la fréqu<strong>en</strong>ce est considérée comme nulle par le simulateur et seratraitée comme telle. La source <strong>de</strong> courant est caractérisée par : L’amplitu<strong>de</strong> du signal Is. Sa fréqu<strong>en</strong>ce f s . Son déphasage s .(représ<strong>en</strong>te le décalage du signal par rapport au temps t=0 <strong>de</strong> la<strong>simulation</strong>)Lorsque le simulateur traite la fréqu<strong>en</strong>ce <strong>de</strong> la source, il va introduire les données décrites <strong>en</strong>Fig. 11 dans le système <strong>de</strong> matrices.A B VSMA -( I s, s )B (I s, s )Fig. 11 Tampon d’une source <strong>de</strong> courantI s, sBAV sFig. 12 Source <strong>de</strong> courant3.1.4.2 Source <strong>de</strong> t<strong>en</strong>sion.Sur le même principe que la source <strong>de</strong> courant, cette source <strong>de</strong> t<strong>en</strong>sion peut être sinusoïdaleou continue. Elle est caractérisée par : L’amplitu<strong>de</strong> du signal V s . Sa fréqu<strong>en</strong>ce f s . Son déphasage s .Avec la métho<strong>de</strong> d’analyse nodale modifiée, toutes les excitations doiv<strong>en</strong>t être expriméescomme <strong>de</strong>s courants. On utilise le principe du giratoire [LIT97] pour transformer la source <strong>de</strong>t<strong>en</strong>sion <strong>en</strong> source <strong>de</strong> courant. Cette métho<strong>de</strong> à pour effet d’ajouter une branchesupplém<strong>en</strong>taire dans le circuit ( Fig. 13 )A B Br VSMA -1B 1Br -1 1 (V s, s )BV s, sI sAFig. 13 Tampon d’une source <strong>de</strong> t<strong>en</strong>sionFig. 14 Source <strong>de</strong> T<strong>en</strong>sion80 / 213


Chapitre 3 : Modélisation3.1.4.3 Source <strong>de</strong> t<strong>en</strong>sion multifréqu<strong>en</strong>ces.Cette source <strong>de</strong> t<strong>en</strong>sion multifréqu<strong>en</strong>ces est une superposition <strong>de</strong> N sources <strong>de</strong> t<strong>en</strong>sionsinusoïdales dont chacune est caractérisée par : L’amplitu<strong>de</strong> du signal. Sa fréqu<strong>en</strong>ce. Son déphasage.Pour réaliser ce type <strong>de</strong> source, on pourrait réaliser un circuit avec N sources <strong>de</strong> t<strong>en</strong>sion <strong>en</strong>série. On obti<strong>en</strong>drait le même résultat qu’avec cette source multifréqu<strong>en</strong>ces mais ce principeest peu efficace car pour chaque fréqu<strong>en</strong>ce, le simulateur doit court-circuiter les sources <strong>de</strong>fréqu<strong>en</strong>ces différ<strong>en</strong>tes. Cela a pour effet <strong>de</strong> modifier le réseau et nécessite une reconstructiondu système <strong>de</strong> matrice ce qui est relativem<strong>en</strong>t coûteux <strong>en</strong> temps. En utilisant notre sourcemultifréqu<strong>en</strong>ce, nous avons <strong>en</strong> réalité une seule source dont les caractéristiques (V s , s ) sontdiffér<strong>en</strong>tes pour chaque fréqu<strong>en</strong>ce. Ainsi lorsque le simulateur passe d’une fréqu<strong>en</strong>ce à uneautre, la structure du circuit n’est pas modifiée, c’est uniquem<strong>en</strong>t les va<strong>leur</strong>s (V s , s ) qui sontmises à jour, permettant une efficacité optimale.3.1.5 Quadripôles.Les premières primitives modélisées sont <strong>de</strong>s dipôles. Elles permett<strong>en</strong>t <strong>de</strong> décrire <strong>de</strong>s relationsélectriques <strong>en</strong>tre les t<strong>en</strong>sions et courants <strong>de</strong>s 2 nœuds, les bornes du composant. Cettebibliothèque <strong>de</strong> modèle a besoin d’être complétée notamm<strong>en</strong>t par <strong>de</strong>s quadripôles qui vontdécrire <strong>de</strong>s relations plus complexes. En Fig. 15, le schéma d’un quadripôle est représ<strong>en</strong>té.I inI outI OUT f IIN,VINV inV outetV OUT f I IN , VINFig. 15 Quadripôle élém<strong>en</strong>taire <strong>de</strong> Simul’Elec.Ces quadripôles sont <strong>de</strong>s quadripôles idéaux qui ne pr<strong>en</strong>n<strong>en</strong>t pas <strong>en</strong> compte les impédancesd’<strong>en</strong>trée ou <strong>de</strong> sortie. Nous avons modélisé cinq quadripôles qui nous permett<strong>en</strong>t d’exprimerdiffér<strong>en</strong>ts types <strong>de</strong> relation <strong>en</strong>tre les t<strong>en</strong>sions et courants, d’<strong>en</strong>trée et <strong>de</strong> sortie. Source <strong>de</strong> t<strong>en</strong>sion contrôlée <strong>en</strong> t<strong>en</strong>sion : STCT. Source <strong>de</strong> courant contrôlée <strong>en</strong> t<strong>en</strong>sion : SCCT. Source <strong>de</strong> t<strong>en</strong>sion contrôlée <strong>en</strong> courant : STCC. Source <strong>de</strong> courant contrôlée <strong>en</strong> courant : SCCC. Transformateur idéal.Nous avons défini différ<strong>en</strong>ts composants qui décriv<strong>en</strong>t les relations <strong>en</strong>tre les signaux d’<strong>en</strong>trée(V IN et I IN ) et les signaux <strong>de</strong> sortie (V OUT et I OUT ). Pour cela nous avons modélisé plusieurssources contrôlées ainsi qu’un transformateur idéal. Ils sont caractérisés par un rapport <strong>de</strong>proportionnalité G s’appliquant <strong>de</strong> diverses manières <strong>en</strong>tre les signaux d’<strong>en</strong>trée et <strong>de</strong> sortie(Tab. 5).81 / 213


Chapitre 3 : ModélisationComposantTransformateur idéalSource <strong>de</strong> t<strong>en</strong>sion contrôlée <strong>en</strong> t<strong>en</strong>sion : STCTSource <strong>de</strong> courant contrôlée <strong>en</strong> t<strong>en</strong>sion : SCCTSource <strong>de</strong> t<strong>en</strong>sion contrôlée <strong>en</strong> courant : STCCSource <strong>de</strong> courant contrôlée <strong>en</strong> courant : SCCCRelationsV OUT G.VINet IOUT IIN/ GV OUT G.VINet IIN0I OUT G.VINet IIN0V OUT G.IINet VIN 0I OUT G.IINet VIN 0Tab. 5 Fonction <strong>de</strong>s quadripôles élém<strong>en</strong>taires <strong>de</strong> Simul’ElecOn considère ici que l’on a <strong>de</strong>ux bornes d’<strong>en</strong>trées et <strong>de</strong>ux bornes <strong>de</strong> sorties. Les impédancesd’<strong>en</strong>trée et <strong>de</strong> sortie ne sont jamais définies. Nous disposons ici <strong>de</strong> composants idéaux Dont lerapport <strong>en</strong>tre les signaux d’<strong>en</strong>trée et <strong>de</strong> sortie (t<strong>en</strong>sion ou courant) G est constant et complexe.3.1.5.1 Source <strong>de</strong> t<strong>en</strong>sion contrôlée <strong>en</strong> t<strong>en</strong>sion.L’<strong>en</strong>trée <strong>de</strong> la STCT est un circuit ouvert et sa sortie une source <strong>de</strong> t<strong>en</strong>sion proportionnelle àla t<strong>en</strong>sion prés<strong>en</strong>te <strong>en</strong>tre les bornes d’<strong>en</strong>trée. La nouvelle branche est introduite par l’ajout <strong>de</strong>la source <strong>de</strong> t<strong>en</strong>sion (métho<strong>de</strong> du giratoire).A B C D Br VSMABC -1D 1BrS G -G -1 1Fig. 16 Tampon d’une STCTFig. 17 STCT3.1.5.2 Source <strong>de</strong> courant contrôlée <strong>en</strong> t<strong>en</strong>sion.L’<strong>en</strong>trée <strong>de</strong> la SCCT est un circuit ouvert et sa sortie une source <strong>de</strong> courant proportionnelle àla t<strong>en</strong>sion prés<strong>en</strong>te <strong>en</strong>tre les bornes d’<strong>en</strong>trée. Dans ce cas, comme on introduit une source <strong>de</strong>courant, on n’ajoute pas <strong>de</strong> branche supplém<strong>en</strong>taireA B C D VSMABC G -GD -G GFig. 18 Tampon d’une SCCTAVABBCG.V ABDFig. 19 SCCT3.1.5.3 Source <strong>de</strong> t<strong>en</strong>sion contrôlée <strong>en</strong> courant.L’<strong>en</strong>trée <strong>de</strong> la source est un court-circuit et sa sortie une source <strong>de</strong> t<strong>en</strong>sion proportionnelle aucourant traversant la branche d’<strong>en</strong>trée. On ajoute une branche d’<strong>en</strong>trée qui permet d’exprimerl’égalité <strong>de</strong>s pot<strong>en</strong>tiels V A et V B sont toutefois confondre les nœuds pour pouvoir mesure lecourant qui traverse la branche. Comme pour la STCT, la branche <strong>de</strong> sortie correspond à lasource <strong>de</strong> t<strong>en</strong>sion82 / 213


Chapitre 3 : ModélisationA B C D BrE BrS VSMA -1B 1C -1D 1BrE -1 1BrS -1 1 GFig. 20 Tampon d’une STCCFig. 21 STCC3.1.5.4 Source <strong>de</strong> courant contrôlée <strong>en</strong> courant.L’<strong>en</strong>trée <strong>de</strong> la SCCC est un court-circuit et sa sortie une source <strong>de</strong> courant proportionnelle aucourant traversant la branche d’<strong>en</strong>trée. Contrairem<strong>en</strong>t au modèle <strong>de</strong> la STCC seul la branched’<strong>en</strong>trée est ajoutée car la source est une source <strong>de</strong> courant.A B C D BrE VSMA -1B 1C -GDGBrE -1 1ABI ABCG.I ABDFig. 22 Tampon d’une source <strong>de</strong> t<strong>en</strong>sionFig. 23 Source <strong>de</strong> T<strong>en</strong>sion3.1.5.5 Transformateur idéal.Le transformateur peut être modélisé <strong>de</strong> plusieurs façons : paire d’inductances mutuellescouplées, transformateur avec un seul courant <strong>de</strong> sortie ou avec <strong>de</strong>ux courants <strong>de</strong> sortie[LIT97]. Nous avons ret<strong>en</strong>u cette <strong>de</strong>rnière approche où le courant <strong>de</strong> chaque <strong>en</strong>roulem<strong>en</strong>t estune nouvelle variable <strong>de</strong> notre système d’équations. Pour cela on introduit <strong>de</strong>ux nouvellesbranches correspondant aux courants du primaire et du secondaire du transformateur. Ainsinous pouvons exprimer les rapports <strong>de</strong> proportionnalité qui exist<strong>en</strong>t <strong>en</strong>tre les <strong>en</strong>trées etsorties :V AB = G.V CD et I CD = G.I ABCe modèle simplifié traduit uniquem<strong>en</strong>t les relations <strong>de</strong> proportionnalité <strong>en</strong>tre les t<strong>en</strong>sions etcourants. Il ne pr<strong>en</strong>d pas <strong>en</strong> considération les inductances <strong>de</strong> chaque <strong>en</strong>roulem<strong>en</strong>t ni les pertesfer ou par effet Joule.A B C D BrE BrS VSMA -1B 1 -1C 1DBrE -1 1 G -GBrS - G 1AVABBIABICDVCDCDFig. 24 Tampon d’un transformateur idéalFig. 25 Transformateur idéal83 / 213


Chapitre 3 : Modélisation3.2 Macro modèles statiquesDisposant <strong>de</strong>s briques <strong>de</strong> bases élém<strong>en</strong>taires grâce aux primitives définies auparavant, nousavons pu <strong>en</strong>tamer la modélisation <strong>de</strong>s composants plus complexes <strong>de</strong> l’électrotechnique (Fig.1 - Etape 5).A partir <strong>de</strong>s besoins que nos utilisateurs pot<strong>en</strong>tiels (technici<strong>en</strong>s et <strong>en</strong>seignants) ont expriméset <strong>de</strong> l’analyse <strong>de</strong> schémas standards, nous avons défini un <strong>en</strong>semble d’élém<strong>en</strong>tsmodélisables : Inductance réelle. Transformateur réel, monophasé ou triphasé. Ballast (<strong>de</strong> tube d’éclairage). Tube néon. Pot<strong>en</strong>tiomètre. Fil et câble électrique résistants. Contacts multipolaires (pour interrupteurs, sectionneurs, contacteurs…). Moteur à courant continu. Moteur et génératrice :o Synchrone et asynchrone.o Monophasé et triphasé.Tous les modèles <strong>de</strong> ces composants sont <strong>de</strong>s sous-<strong>circuits</strong> (ou macro-modèles). Ils sontréalisés à partir d’un assemblage <strong>de</strong> primitives ou d’autres macro-modèles. Contrairem<strong>en</strong>t auxprimitives, on ne définit pas directem<strong>en</strong>t les relations <strong>en</strong>tre les t<strong>en</strong>sions et les courants mais oncombine <strong>de</strong>s relations élém<strong>en</strong>taires via l’assemblage <strong>de</strong>s primitives (modèle structurel).Notons que les modèles définis ici sont <strong>de</strong>s modèles statiques valables <strong>en</strong> régime perman<strong>en</strong>t.Les modèles <strong>de</strong> moteurs par exemple n’intègr<strong>en</strong>t pas les phases transitoires <strong>de</strong> démarrage ou<strong>de</strong> variation <strong>de</strong> charge. Ces modèles bi<strong>en</strong> qu’incomplets se révèl<strong>en</strong>t suffisants pour un certainnombre d’applications qui nécessit<strong>en</strong>t <strong>de</strong>s résultats rapi<strong>de</strong>s, tel que le pré-dim<strong>en</strong>sionnem<strong>en</strong>t,l’étu<strong>de</strong> <strong>de</strong>s consommations ou l’équilibrage <strong>de</strong> l’énergie réactive par comp<strong>en</strong>sation du cos .On retrouvera <strong>en</strong> Annexe 1 les détails <strong>de</strong> macro-modèles simples : Pot<strong>en</strong>tiomètre. Résistance triphasée. Transformateurs réels monophasés et triphasés. Moteurs et génératrices à courants continus, alternatifs monophasés et triphasés.3.3 Macro modèles à comportem<strong>en</strong>t séqu<strong>en</strong>tiel.Le développem<strong>en</strong>t <strong>de</strong>s modèles statiques décrits précé<strong>de</strong>mm<strong>en</strong>t a été suivi par la réalisationdu mo<strong>de</strong> d’analyse événem<strong>en</strong>tielle. Ce mo<strong>de</strong> a permis d’une part <strong>de</strong> simuler <strong>de</strong>s composantsayant un comportem<strong>en</strong>t séqu<strong>en</strong>tiel, tels que les contacteurs (bobines et contacts, instantanésou temporisés) ou <strong>de</strong>s appareils <strong>de</strong> protection (fusible, disjoncteur magnétothermiques). Il apermis d’autre part d’affiner les modèles existants <strong>en</strong> <strong>leur</strong> ajoutant ces aspects événem<strong>en</strong>tiels.Les nouveaux composants modélisés vont permettre <strong>de</strong> décrire l’évolution <strong>de</strong> l’état du circuit.Les évolutions séqu<strong>en</strong>tielles <strong>de</strong>s <strong>circuits</strong> électrotechniques sont dues soit à l’interv<strong>en</strong>tion <strong>de</strong>l’<strong>en</strong>vironnem<strong>en</strong>t sur le circuit (opération <strong>de</strong> l’utilisateur, changem<strong>en</strong>t d’un paramètre d’uncomposant) ou bi<strong>en</strong> à la réaction naturelle d’un <strong>de</strong> ses élém<strong>en</strong>ts. Ces réactions naturelles vont84 / 213


Chapitre 3 : Modélisationpermettre <strong>de</strong> simuler la séqu<strong>en</strong>ce <strong>de</strong>s états du circuit qui correspon<strong>de</strong>nt à la fonction que doitremplir l’installation.Nous distinguons <strong>de</strong>ux gran<strong>de</strong>s catégories <strong>de</strong> fonctions : Contrôle - comman<strong>de</strong>. Protection <strong>de</strong>s <strong>circuits</strong> et <strong>de</strong>s personnes.Nous avons donc c<strong>en</strong>tré nos efforts sur le développem<strong>en</strong>t <strong>de</strong> modèles réalistes <strong>de</strong>s principauxcomposants réalisant ces fonctions soit respectivem<strong>en</strong>t : Bobines et contacts <strong>de</strong> relais. Fusibles et disjoncteurs magnétothermiques.Grâce à l’analyse événem<strong>en</strong>tielle, nous avons pu affiner certains modèles existants <strong>en</strong> <strong>leur</strong>ajoutant un comportem<strong>en</strong>t pseudo transitoire lors <strong>de</strong> changem<strong>en</strong>ts d’états du circuit. C’est parexemple le cas du transformateur réel qui peut simuler un appel <strong>de</strong> courant élevé lors <strong>de</strong> samise sous t<strong>en</strong>sion.3.4 Relais.Le relais est un <strong>de</strong>s composants clé <strong>de</strong> la fonction <strong>de</strong> contrôle-comman<strong>de</strong> <strong>de</strong>l’électrotechnique. Relais est un terme générique pour une famille <strong>de</strong> composants que l’onretrouve parfois sous les dénominations <strong>de</strong> contacteur, relais, micro relais, interrupteur piloté,etc. On r<strong>en</strong>contre égalem<strong>en</strong>t <strong>de</strong>s relais <strong>en</strong> électronique qui peuv<strong>en</strong>t être simulés par <strong>de</strong>slogiciels tels que SPICE. Hormis le facteur d’échelles et la différ<strong>en</strong>ce <strong>de</strong>s puissances mises <strong>en</strong>jeu, les relais que l’on trouve <strong>en</strong> électronique ou électrotechnique ont un fonctionnem<strong>en</strong>t assezsimilaire mais une constitution différ<strong>en</strong>te. Les relais <strong>de</strong> l’électronique que l’on désigne plutôtpar micro-relais sont généralem<strong>en</strong>t <strong>de</strong>stinés à être implantés sur une carte. Au contraire, lerelais <strong>de</strong> l’électrotechnique, d’un coût plus élevé, met <strong>en</strong> œuvre une modularité importante quipermet d’équiper un relais <strong>de</strong> nombreux accessoires tels que divers types <strong>de</strong> contacts additifs.Au niveau <strong>de</strong> la représ<strong>en</strong>tation sur le schéma, les différ<strong>en</strong>tes composantes du relais serontréparties <strong>en</strong> différ<strong>en</strong>ts <strong>en</strong>droits du circuit <strong>en</strong> fonction <strong>de</strong> <strong>leur</strong> rôle (bobine, contacts principaux,contacts auxiliaires…) sans former un symbole unique. Cette modularité importante nous aam<strong>en</strong>é à adopter une approche <strong>de</strong> la modélisation <strong>de</strong> ces relais assez différ<strong>en</strong>te <strong>de</strong> celler<strong>en</strong>contrée <strong>en</strong> électronique.La difficulté <strong>de</strong> modélisation rési<strong>de</strong> dans le fait que c’est un dispositif électromécanique donton doit traduire les comportem<strong>en</strong>ts électrique, magnétique et mécanique sous une formeexploitable par un simulateur <strong>de</strong> circuit électrique.3.4.1 Constitution du relais.En électrotechnique, un relais regroupe plusieurs composants distincts quivont être représ<strong>en</strong>tés par différ<strong>en</strong>ts symboles: Un électroaimant (bobine). Un groupe <strong>de</strong> contacts (<strong>de</strong> puissance, <strong>de</strong> comman<strong>de</strong>, instantanés outemporisés).Ces composants sont liés mécaniquem<strong>en</strong>t et peuv<strong>en</strong>t se trouver dans un même boîtier ou nonsi l’on a affaire à <strong>de</strong>s blocs additionnels. Lorsque la bobine est suffisamm<strong>en</strong>t excitée, lechamp magnétique qu’elle produit est suffisamm<strong>en</strong>t int<strong>en</strong>se pour déplacer les contacts et ainsi85 / 213


Chapitre 3 : Modélisationfermer (ou ouvrir) un circuit électrique. Si le champ n’est pas assez int<strong>en</strong>se, le ressort <strong>de</strong>rappel mainti<strong>en</strong>t les contacts <strong>en</strong> position repos. Le relais est conçu pour fonctionner <strong>en</strong> mo<strong>de</strong>Tout Ou Ri<strong>en</strong>. Il peut avoir 2 positions, actif ou repos, auxquelles ont peut aisém<strong>en</strong>t associerun état logique.Fig. 26 Symbole d’un relais et structures simplifiées d’un relais ouvert puis fermé .Pour décrire ce type <strong>de</strong> composant, on peut adopter différ<strong>en</strong>tes approches dont : Une approche « tout électrique », comme celle <strong>de</strong> Spice, où le relais est considéré commeun système électrique unique défini par une fonction <strong>de</strong> transfert et par <strong>de</strong>s équations nonlinéaires. Notre approche qui modélise séparém<strong>en</strong>t chaque composante du relais tout <strong>en</strong> propageantl’état logique <strong>de</strong> la bobine vers les contacts qui représ<strong>en</strong>t<strong>en</strong>t le li<strong>en</strong> mécanique qui existe<strong>en</strong>tre eux.3.4.2 Modélisation du relais <strong>en</strong> électronique.Les modèles que l’on r<strong>en</strong>contre dans les simulateurs électroniques considèr<strong>en</strong>t <strong>en</strong> généralqu’il s’agit d’un seul système à base <strong>de</strong> composants électriques dont l’<strong>en</strong>trée est la bobine etla sortie est le contact. Dans la bibliothèque du simulateur <strong>de</strong> M<strong>en</strong>tor Graphics « Accusim»[MEN] on trouve plusieurs modèles qui ont <strong>de</strong>s niveaux <strong>de</strong> complexité différ<strong>en</strong>ts dontnotamm<strong>en</strong>t : S : Voltage controlled Switch et W : Curr<strong>en</strong>t controlled Switch.VCAS : Voltage Controlled Analog Switch Function.DK1A9V : DK1a-9V Matsushita relay mo<strong>de</strong>l, conforme à la docum<strong>en</strong>tation duconstructeur.3.4.2.1 Interrupteurs pilotés par la t<strong>en</strong>sion S ou le courant W.On considère ici un relais comme un interrupteur piloté par une t<strong>en</strong>sion ou par un courant. Cesmodèles font partie <strong>de</strong>s primitives <strong>de</strong> SPICE. On utilise plus fréquemm<strong>en</strong>t le modèle pilotépar la t<strong>en</strong>sion car les relais sont généralem<strong>en</strong>t alim<strong>en</strong>tés sous une t<strong>en</strong>sion fixe correspondant àsa t<strong>en</strong>sion nominale. Le principe est i<strong>de</strong>ntique dans les 2 cas sauf que la gran<strong>de</strong>ur d’<strong>en</strong>tréeconsidérée est différ<strong>en</strong>te.A113A113A2V eR s14A2I eR s14Fig. 27 Modèles d’interrupteur pilotés par la t<strong>en</strong>sion et par le courant (bobine + contact).Le contact est modélisé par une résistance R s. Sa va<strong>leur</strong> vaut R on (par défaut 1 : représ<strong>en</strong>tela résistance du contact) lorsqu’il est fermé et Roff (par défaut 1M : représ<strong>en</strong>te la résistance<strong>de</strong> l’air) lorsqu’il est ouvert. L’ouverture et la fermeture <strong>de</strong> l’interrupteur sont déterminées par2 seuils sur la t<strong>en</strong>sion d’<strong>en</strong>trée (t<strong>en</strong>sion d’alim<strong>en</strong>tation <strong>de</strong> la bobine).86 / 213


Chapitre 3 : ModélisationRs <strong>en</strong> R onR offVe <strong>en</strong> VV offV onFig. 28 Cycle d’hystérésis d’ouverture fermeture d’un interrupteur piloté <strong>en</strong> t<strong>en</strong>sionCe modèle reste un modèle idéal d’interrupteur piloté par la t<strong>en</strong>sion. Il permet d’<strong>en</strong> modéliserle comportem<strong>en</strong>t d’une manière fonctionnelle. L’<strong>en</strong>trée <strong>de</strong> ce composant reste idéale et nepr<strong>en</strong>d pas <strong>en</strong> compte l’inductance <strong>de</strong> la bobine dans le cas d’un relais. Toutefois, il respecte leprincipe <strong>de</strong> l’isolation galvanique car la sortie n’est pas électriquem<strong>en</strong>t liée à l’<strong>en</strong>trée. Onobti<strong>en</strong>t une assez bonne traduction <strong>de</strong>s 2 états logiques que peut pr<strong>en</strong>dre l’interrupteur. Parcontre, il ne permet <strong>de</strong> modéliser qu’un seul contact à la fois. Si on souhaite modéliser unrelais à plusieurs contacts, il est nécessaire <strong>de</strong> disposer d’autant <strong>de</strong> composants que <strong>de</strong>contacts.3.4.2.2 VCAS : Voltage Controlled Analog Switch Function.Cet autre modèle prés<strong>en</strong>t dans la bibliothèque <strong>de</strong> « Accusim » (<strong>en</strong> Fig. 29) n’est pas uneprimitive du simulateur mais un macro modèle complexe. Il utilise une <strong>de</strong>scriptionrelativem<strong>en</strong>t compliquée pour définir un comportem<strong>en</strong>t simple. Le changem<strong>en</strong>t d’état seracontinu lors <strong>de</strong> l’analyse transitoire alors que l’on a affaire à un comportem<strong>en</strong>t tout ou ri<strong>en</strong>.* Copyright (c) M<strong>en</strong>tor Graphics Corporation, 1988-2001 All Rights Reserved.* UNPUBLISHED, LICENSED SOFTWARE.* CONFIDENTIAL AND PROPRIETARY INFORMATION WHICH IS THE* PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS.**** VOLTAGE-CONTROLLED ANALOG SWITCH FUNCTION****** .SUBCKT VCAS 1 2 3 4 {RON=1} {ROFF=1E6} {VTH=0.5}II1 1 0 0II2 2 0 0UBUF 0 7 1 2 INV VTH=VTH ISOURCE=(1/ROFF) ISINK=(1/RON) RO=1RSW 3 4 1TGSW 3 4 POLY(2) 3 4 7 0 0 0 0 0 1.ENDS VCASFig. 29 Modèle du VCAS « Accusim »3.4.2.3 DK1A9V : micro-relais Matsushita.Comme pour le modèle précé<strong>de</strong>nt, son comportem<strong>en</strong>t est notamm<strong>en</strong>t défini par une fonction<strong>de</strong> transfert décrite par un polynôme du 2 ème ordre. Il s’agit dans ce cas (voir Fig. 30) d’unmodèle qui correspond à un matériel précis, <strong>de</strong>vant être conforme à la docum<strong>en</strong>tation duconstructeur.87 / 213


Chapitre 3 : Modélisation* Copyright (c) M<strong>en</strong>tor Graphics Corporation, 1988-2001 All Rights Reserved.* UNPUBLISHED, LICENSED SOFTWARE.* CONFIDENTIAL AND PROPRIETARY INFORMATION WHICH IS THE* PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS.** J1.0** DK1a-9V RELAY MACROMODEL** MATSUSHITA DATA SHEET**** * USAGE XNAME DK1A9V.SUBCKT DK1A9V 6 1 3 4L 6 7 140.625MRC 7 1 405G 3 4 POLY(2) (3,4) (8,0) 0 0 0 0 1R 3 4 500GIOFF 9 0 42.60001MU1 0 9 7 1 BUF ISOURCE=57.0642M ISINK=1P RO=100MEG+ VTH=3.6 TDF=2U TDR=2UC 9 0 100UD1 9 0 DMODD2 0 9 DMODU2 0 8 9 0 BUF ISOURCE=370.37037M ISINK=2F RO=1K+ VTH=0 TDF=2U TDR=2U.MODEL DMOD D modtype=accusim.ENDS DK1A9VFig. 30 Modèle du micro-relais Matsushita DK1A9V.3.4.2.4 Défauts <strong>de</strong> l’approche électronique <strong>de</strong> la modélisation <strong>de</strong>s relais.Les différ<strong>en</strong>ts modèles r<strong>en</strong>contrés permett<strong>en</strong>t d’intégrer dans une <strong>simulation</strong> le comportem<strong>en</strong>t<strong>de</strong> ces relais. On a affaire à <strong>de</strong>s modèles simplistes (S et W) ou relativem<strong>en</strong>t complexes(VCAS et DK1A9V). Sans être un composant atypique, le relais n’est pas un composant clé<strong>de</strong>s <strong>circuits</strong> électroniques comme c’est le cas <strong>en</strong> électrotechnique. Cela peut justifier le faitque l’outil <strong>de</strong> <strong>simulation</strong> et les modèles ne soi<strong>en</strong>t pas vraim<strong>en</strong>t bi<strong>en</strong> adaptés à ce type <strong>de</strong>composant. Les modèles les plus complexes introduis<strong>en</strong>t <strong>de</strong>s non-linéarités ce qui augm<strong>en</strong>tela complexité et le temps <strong>de</strong> calcul. Parmi les autres inconvéni<strong>en</strong>ts <strong>de</strong> ces modèles, nous avonségalem<strong>en</strong>t relevé le fait qu’un relais est considéré comme un système complet (bobine etcontacts) auquel un symbole unique est associé dans la schématique. Cela n’apporte pas laflexibilité quant au nombre <strong>de</strong> contacts, primordial <strong>en</strong> électrotechnique du fait <strong>de</strong> lamodularité du matériel. De plus, <strong>de</strong>s caractéristiques ess<strong>en</strong>tielles du comportem<strong>en</strong>t du relaistels que les retards à l’ouverture et à la fermeture n’apparaiss<strong>en</strong>t pas explicitem<strong>en</strong>t.3.4.3 Approche Simul’Elec.Sur un schéma électrotechnique, les différ<strong>en</strong>tes parties du relais, bobine et contacts sontgénéralem<strong>en</strong>t éclatées et considérées comme <strong>de</strong>s symboles distincts. Pour décrire un relais, onle dissocie alors <strong>en</strong> plusieurs modèles correspondant à chacun <strong>de</strong>s symboles : Modèle <strong>de</strong> la bobine. Modèles <strong>de</strong>s contacts.Chacun <strong>de</strong> ces modèles décrit son comportem<strong>en</strong>t électrique (<strong>en</strong> terme d’admittance) et soncomportem<strong>en</strong>t séqu<strong>en</strong>tiel (traduit par l’état logique).88 / 213


Chapitre 3 : Modélisation3.4.3.1 Modèle <strong>de</strong> la bobine.D’un point <strong>de</strong> <strong>vue</strong> strictem<strong>en</strong>t électrique, on considère la bobine du relais comme uneinductance et elle sera intégrée comme telle dans les matrices <strong>de</strong> <strong>de</strong>scription du circuit. Maisc’est égalem<strong>en</strong>t un électroaimant qui doit déplacer une charge (le contact et le ressort <strong>de</strong>rappel). On lui associe un état logique indiquant si le relais est actif (charge déplacée) ou aurepos (charge <strong>en</strong> position initiale). La va<strong>leur</strong> <strong>de</strong> cet état est fonction <strong>de</strong> la t<strong>en</strong>siond’alim<strong>en</strong>tation. Les caractéristiques ess<strong>en</strong>tielles d’un relais sont les <strong>de</strong>ux t<strong>en</strong>sions <strong>de</strong> seuilVon et Voff qui détermin<strong>en</strong>t les basculem<strong>en</strong>ts d’un état à l’autre ( voir Fig. 31). Typiquem<strong>en</strong>t,si U n est la t<strong>en</strong>sion nominale du relais, on a dans le cas d’un relais courant « télémécaniqueLC1-D09 » [SCH01] :Von = 0,85.U n et Voff = 0,6.U nEtat logique10V offV onVe <strong>en</strong> VFig. 31 Changem<strong>en</strong>t d’état d’un relais <strong>en</strong> fonction <strong>de</strong>s niveaux <strong>de</strong> t<strong>en</strong>sion.Ce modèle décrit un comportem<strong>en</strong>t pour lequel la prés<strong>en</strong>ce d’un niveau <strong>de</strong> t<strong>en</strong>sion active lerelais. La désactivation est lié à l’abs<strong>en</strong>ce <strong>de</strong> ce signal <strong>de</strong> comman<strong>de</strong> et est réalisée par leressort <strong>de</strong> rappel. Il n’est pas nécessaire d’<strong>en</strong>voyer une consigne inverse pour désactiver lerelais.Le traitem<strong>en</strong>t <strong>de</strong> ces changem<strong>en</strong>ts d’états est géré par l’analyse événem<strong>en</strong>tielle car ilcorrespond au passage <strong>en</strong>tre 2 états stables.3.4.3.2 Li<strong>en</strong> <strong>en</strong>tre bobine et contacts.Les normes <strong>de</strong> représ<strong>en</strong>tation <strong>de</strong>s schémas d’installations électrotechniques définiss<strong>en</strong>t que<strong>de</strong>ux symboles ayant le même nom représ<strong>en</strong>t<strong>en</strong>t <strong>de</strong>ux parties d’un même composant. C’est <strong>de</strong>cette manière que l’on réalise le li<strong>en</strong> logique <strong>en</strong>tre une bobine <strong>de</strong> relais et ses contacts. Pourdiffér<strong>en</strong>cier le composant, on utilise les numéros <strong>de</strong> bornes qui doiv<strong>en</strong>t être différ<strong>en</strong>ts. Parexemple pour un relais nommé KM1, on pourra trouver sur le schéma : Une bobine KM1 (bornes A1-A2). Un contact à fermeture KM1(bornes 13-14). Un contact à fermeture KM1(bornes 23-24). Un contact à ouverture KM1(bornes 15-16).Il ne faut pas oublier que selon le type d’élém<strong>en</strong>t, l’état logique n’aura pas le même s<strong>en</strong>s .Etat Logique Bobine du relais Contact NO Contact NF0 (Repos) Ouvert Ouvert Fermé1 (Activé) Fermé Fermé OuvertTab. 6 Signification <strong>de</strong>s états logiques pour les élém<strong>en</strong>ts d’un relais.89 / 213


Chapitre 3 : Modélisation3.4.3.3 Prise <strong>en</strong> compte <strong>de</strong>s constantes <strong>de</strong> temps : retard ou inertie.Dans le cas <strong>de</strong> composants idéaux, on a <strong>de</strong>s temps <strong>de</strong> réaction instantanés qui ne pr<strong>en</strong>n<strong>en</strong>t pas<strong>en</strong> compte les temps caractéristiques <strong>de</strong>s composants (retard, temps d’inertie). Cette inertiepeut se traduire par un retard <strong>en</strong>tre l’instant où les conditions sont réunies pour produire unchangem<strong>en</strong>t d’état et l’instant où il se produit effectivem<strong>en</strong>t. Dans une applicationélectrotechnique, cela s’applique notamm<strong>en</strong>t à la modélisation du relais.3.4.3.4 Comportem<strong>en</strong>t séqu<strong>en</strong>tiel <strong>de</strong> la bobine du relais.La bobine d’un relais est un électro-aimant qui sert à déplacer les contacts. Lorsqu’onalim<strong>en</strong>te suffisamm<strong>en</strong>t la bobine, le champ magnétique résultant déplace les contacts.Toutefois la magnétisation du relais n’est pas immédiate et nécessite un temps charge (à lafermeture) T on qui est <strong>de</strong> l’ordre <strong>de</strong> quelques dizaines <strong>de</strong> ms. De la même manière, il existe untemps <strong>de</strong> retard à l’ouverture T off lié à la décharge <strong>de</strong> la self. Ces retards représ<strong>en</strong>t<strong>en</strong>t lestemps mesurés <strong>en</strong>tre le mom<strong>en</strong>t ou la t<strong>en</strong>sion atteint les seuils <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t V on et V offpour provoquer un changem<strong>en</strong>t d’état et l’instant auquel il se produit effectivem<strong>en</strong>t. En<strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> logiques, on trouve un comportem<strong>en</strong>t similaire lors <strong>de</strong> la propagationd’état <strong>de</strong>s portes logiques.UnV onV offT<strong>en</strong>sion bobineEtat idéalEtat réélTonToffFig. 32 Retard à l’ouverture et à la fermeture3.4.3.5 Modèle séqu<strong>en</strong>tiel <strong>de</strong> la bobine.Pour l’aspect électrique du modèle, nous rappelons que la bobine du relais est considéréecomme une inductance réelle (inductance pure et résistance). L’algorithme <strong>de</strong> la Fig. 33modélise la réaction du relais à un nouvel événem<strong>en</strong>t : il est appelé à chaque nouvelévénem<strong>en</strong>t <strong>de</strong> la <strong>simulation</strong> pour planifier un év<strong>en</strong>tuel changem<strong>en</strong>t d’état. NTe est l’instant(<strong>en</strong> terme <strong>de</strong> pério<strong>de</strong> d’échantillonnage) où se produit cet événem<strong>en</strong>t.90 / 213


Chapitre 3 : ModélisationCe modèle simplifié exploite 4paramètres ess<strong>en</strong>tiels qui sont : V on : T<strong>en</strong>sion <strong>de</strong> fermeture. V off : T<strong>en</strong>sion d’ouverture. T on : retard à la fermeture. T off : retard à l’ouverture.OUIDEBUT (t=Nte)T<strong>en</strong>sion >= VonNONNONT<strong>en</strong>sion


Chapitre 3 : ModélisationUnV onV offT<strong>en</strong>sion bobineEtat réelToffEtat simuléTont0 t1 t2Fig. 34 Etats parasitesPour notre exemple (voir Fig. 34), le comportem<strong>en</strong>t att<strong>en</strong>du est le suivant :- à t = t0 : la t<strong>en</strong>sion est suffisante pour que l’on planifie une fermeture à t2 (t0 + Ton).- à t = t1 : la t<strong>en</strong>sion n’est plus suffisante, la fermeture planifiée précé<strong>de</strong>mm<strong>en</strong>t n’a plus lieud’être et doit être supprimée.Pour résumer, si <strong>en</strong>tre t0 (l’instant <strong>de</strong> planification) et t2 (l’instant d’exécution) on planifieune action contradictoire (même si elle est planifiée pour t > t2), la première action n’à pluslieu d’être et doit être retirée du cal<strong>en</strong>drier d’événem<strong>en</strong>ts. Pour être plus rapi<strong>de</strong>, le simulateurobserve uniquem<strong>en</strong>t les transitions qui pourront provoquer une variation <strong>de</strong> la t<strong>en</strong>sion et doncun év<strong>en</strong>tuel changem<strong>en</strong>t d’état. En fonction <strong>de</strong> ces t<strong>en</strong>sions, il va planifier les changem<strong>en</strong>tsd’états mais sans contrôler au mom<strong>en</strong>t <strong>de</strong> les réaliser si les conditions sont toujours correctes.La première conclusion est que l’on peut distinguer 2 types d’actions : Les actions « normales » qui auront lieu quoiqu’il advi<strong>en</strong>ne (forçage par exemple). Les actions « à surveiller » qui sont planifiées mais dont la réalisation dép<strong>en</strong>d <strong>de</strong>l’évolution du circuit <strong>en</strong>tre l’instant <strong>de</strong> planification et son exécution (cas du relais).En outre, les actions peuv<strong>en</strong>t être <strong>de</strong> <strong>de</strong>ux natures différ<strong>en</strong>tes : Modification <strong>de</strong> l’état logique d’un élém<strong>en</strong>t due à un forçage <strong>de</strong> l’utilisateur ou <strong>en</strong> réponseà action antérieure. Modification d’un paramètre d’un élém<strong>en</strong>t due à un forçage ou résultant d’un phénomènetransitoire.Les actions « à surveiller » sont <strong>de</strong>s actions qui sont <strong>de</strong>s réponses à une action antérieure(évolution d’une t<strong>en</strong>sion ou d’un état par exemple) donc ne peuv<strong>en</strong>t être que <strong>de</strong>smodifications d’état logique. Ces actions « à surveiller » différ<strong>en</strong>t <strong>de</strong>s autres par le faitqu’elles sont suivies par un « observateur d’action ». Tous les observateurs d’action (objetsTActionWatcher) sont connus par le cal<strong>en</strong>drier d’événem<strong>en</strong>ts ce qui permet <strong>de</strong> surveillerfacilem<strong>en</strong>t les actions <strong>en</strong> question. La <strong>de</strong>scription <strong>de</strong> ces différ<strong>en</strong>ts types d’actions et <strong>leur</strong>implém<strong>en</strong>tation a été développée dans le chapitre 2.On notera que sur l’algorithme Fig. 33 décrivant le comportem<strong>en</strong>t séqu<strong>en</strong>tiel <strong>de</strong> la bobine, onne pr<strong>en</strong>d pas <strong>en</strong> compte le problème d’inertie, hormis le fait <strong>de</strong> planifier l’action <strong>de</strong>changem<strong>en</strong>t d’état comme action « à surveiller ». La solution à ce problème est reportée auniveau du simulateur pour être généralisée à plusieurs composants, au lieu d’être intégrée aumodèle <strong>de</strong> chacun.92 / 213


Chapitre 3 : Modélisation3.4.3.7 Gestion <strong>de</strong> l’inertie par le simulateur.Une <strong>de</strong>s possibilités est <strong>de</strong> gérer la suppression <strong>de</strong>s actions à l’intérieur du modèle <strong>en</strong> fonction<strong>de</strong> chaque événem<strong>en</strong>t. L’avantage est que la gestion <strong>de</strong> ce comportem<strong>en</strong>t est visible dans lemodèle. Par contre pour chaque composant ayant <strong>de</strong>s actions à surveiller, il sera nécessaired’implém<strong>en</strong>ter à nouveau la gestion <strong>de</strong>s actions.La solution ret<strong>en</strong>ue modifie peu le modèle. Au lieu <strong>de</strong> créer une action <strong>de</strong> changem<strong>en</strong>t d’état,on va créer une action « à surveiller ». Cette action sera créée même si l’état futur esti<strong>de</strong>ntique à l’état actuel.Afin d’avoir une gestion commune à tous les composants <strong>de</strong> ce type d’action, ce n’est pas lemodèle qui va gérer <strong>leur</strong> suppression év<strong>en</strong>tuelle mais c’est le cal<strong>en</strong>drier d’événem<strong>en</strong>ts qui lefait lorsqu’on lui ajoute une nouvelle action (planification, voir Fig. 35).3.4.4 Contacts.On peut associer un ou plusieurs contacts à chaque bobine. Etant donné que c’est la bobinequi pilote la position <strong>de</strong>s contacts, on la considère comme étant le maître <strong>de</strong>s contacts. Lescontacts sont donc esclaves, ce qui se traduit par l’imposition <strong>de</strong> <strong>leur</strong>s états logiques par labobine. Il existe <strong>de</strong>ux types <strong>de</strong> contacts : Normalem<strong>en</strong>t Ouvert (NO ou à fermeture). Normalem<strong>en</strong>t Fermé (NF ou à ouverture).DEBUT (t=Nte)Ajout <strong>de</strong> l’action au cal<strong>en</strong>drier d événem<strong>en</strong>t»OUITous lesobservateurs d’actionssont traitésNONPassage à l’observateur suivantL’actionobservée traite-t-elle le mêmeélém<strong>en</strong>t que celle<strong>en</strong> cours?NONOUIL’actionobservée est-t-elle planifiée à uninstant > instantcourant?NONOUISuppression <strong>de</strong> l’action observéeAjout d’un observateur sur la nouvelle actionFIN (t=Nte)Fig. 35 Ajout d’une action à surveiller au cal<strong>en</strong>drier d’événem<strong>en</strong>ts93 / 213


Chapitre 3 : Modélisation3.4.4.1 Contacts à fermeture, à ouvertureLe contact à fermeture (ou NO pour Normalem<strong>en</strong>t Ouvert) est celui que l’on r<strong>en</strong>contre le pluscouramm<strong>en</strong>t. Lorsque le contact est ouvert, son modèle électrique est celui d’un circuit ouvertet lorsqu’il est fermé, il est remplacé par une résistance représ<strong>en</strong>tative <strong>de</strong> la résistance ducontact (<strong>de</strong> l’ordre <strong>de</strong> 1 m) comme le montre la Fig. 36.Fig. 36 Modèle d’un contact à fermeture au repos et activé.Le fonctionnem<strong>en</strong>t du contact à ouverture (ou NF pour Normalem<strong>en</strong>t Fermé) est l’inverse duprécé<strong>de</strong>nt. Au repos, il est fermé et il s’ouvre lorsqu’il est activé.Fig. 37 Modèle d’un contact à ouverture au repos et activé.Rappelons que ces modèles <strong>de</strong> contact sont complètem<strong>en</strong>t dissociés <strong>de</strong>s modèles <strong>de</strong> bobines etque les contacts peuv<strong>en</strong>t être utilisés seuls ou pilotés par d’autres composants maîtres.3.4.4.2 Contacts temporisés.Dans le cas général, les contacts d’un relais sont liés mécaniquem<strong>en</strong>t à la bobine et ils sontdonc actionnés simultaném<strong>en</strong>t (contacts instantanés). On r<strong>en</strong>contre toutefois quelques cas oùun retard existe <strong>en</strong>tre l’activation <strong>de</strong>s relais : Retard à l’activation et/ou au repos. Fonctions <strong>de</strong> temporisation plus complexes.BobineContactprincipalContacttemporisét0ToFig. 38 Transmission <strong>de</strong>s états logiques avec <strong>de</strong>s contacts temporisés.On peut introduire volontairem<strong>en</strong>t un retard à l’ouverture ou à la fermeture <strong>de</strong>s contacts. Onconsidère <strong>en</strong> fait le retard par rapport au changem<strong>en</strong>t d’état (activation ou repos). Parexemple, on peut utiliser <strong>de</strong>s blocs additifs que l’on fixe sur le relais : lorsque le relais changeToff94 / 213


Chapitre 3 : Modélisationd’état (ainsi que ses contacts principaux), il active une minuterie mécanique qui décl<strong>en</strong>cherale basculem<strong>en</strong>t <strong>de</strong>s contacts temporisés après un temps T on ou T off préréglé.Comme c’est la bobine qui est maître du contact, c’est elle qui va déterminer l’instant ou celuici va commuter. Lorsque le simulateur va planifier la fermeture <strong>de</strong> la bobine à t=t0, celle <strong>de</strong>scontacts principaux sera pré<strong>vue</strong> à t=t0 et celle <strong>de</strong>s contacts temporisés à t=t0 +T on .3.4.4.3 Fonctions <strong>de</strong> temporisation plus complexes.On trouve <strong>de</strong> nombreuses autres fonctions <strong>de</strong> temporisations plus complexes mais qui sontgénéralem<strong>en</strong>t réalisées par <strong>de</strong>s relais temporisés dédiés : Clignotem<strong>en</strong>t. Passage à l’<strong>en</strong>cl<strong>en</strong>chem<strong>en</strong>t. Multifonctions. Interrupteurs horaires. Minuteurs. Télérupteurs. Temporisateur. Temporisé à la mise sous t<strong>en</strong>sion. etc.Dans la majorité <strong>de</strong> ces cas, c’est un appareil dédié qui réalise la fonction. Ces composantsmoins fréqu<strong>en</strong>ts n’ont pas été modélisés à ce jour.3.4.4.4 Pertin<strong>en</strong>ce du modèle <strong>de</strong> contact.Par rapport au modèle SPICE d’un interrupteur piloté (voir paragraphe 3.4.2.1), ce modèle estplus réaliste. Pour l’interrupteur fermé le modèle est i<strong>de</strong>ntique. Pour l’interrupteur ouvert, onretrouve ici un circuit ouvert plutôt qu’une résistance <strong>de</strong> gran<strong>de</strong> va<strong>leur</strong>. Le circuit ouvert estune représ<strong>en</strong>tation idéale, car la résistance d’un contact ouvert n’est pas réellem<strong>en</strong>t nulle dufait <strong>de</strong> la conductivité <strong>de</strong> l’air. Mais cette représ<strong>en</strong>tation semble plus adaptée car <strong>en</strong> premierlieu, on ne trouvera aucun courant circulant dans le contact ouvert. Ensuite, l’introductiond’une résistance élevée pour modéliser un circuit ouvert se traduit par l’insertion d’uneadmittance très faible dans les matrices <strong>de</strong> calcul. Lors <strong>de</strong> la résolution et à cause <strong>de</strong>s diversesmanipulations et arrondis cette va<strong>leur</strong> peut <strong>de</strong>v<strong>en</strong>ir un 0. On peut alors se retrouver avec unsystème d’équations insoluble (plus d’inconnues que d’équations). De plus, ce modèlerespecte davantage la logique <strong>de</strong> fonctionnem<strong>en</strong>t du contact car c’est uniquem<strong>en</strong>t son état quiva déterminer s’il est ouvert ou fermé et pas un seuil <strong>de</strong> t<strong>en</strong>sion qui ne le concerne pasdirectem<strong>en</strong>t.95 / 213


Chapitre 3 : Modélisation3.5 Disjoncteurs et fusibles.La modélisation <strong>de</strong> ces équipem<strong>en</strong>ts <strong>de</strong> protection est exploitée ess<strong>en</strong>tiellem<strong>en</strong>t par l’analyseévénem<strong>en</strong>tielle. En simulant le comportem<strong>en</strong>t <strong>de</strong> ces équipem<strong>en</strong>ts, on va pouvoir contrôler : Le bon fonctionnem<strong>en</strong>t individuel <strong>de</strong> chaque dispositif <strong>de</strong> protection ; Le dim<strong>en</strong>sionnem<strong>en</strong>t <strong>de</strong> ces équipem<strong>en</strong>ts (type, calibre) <strong>en</strong> fonction du circuit qu’ilsdoiv<strong>en</strong>t protéger ;Le respect <strong>de</strong>s règles <strong>de</strong> sélectivités horizontales ou verticales <strong>en</strong>tre les différ<strong>en</strong>tséquipem<strong>en</strong>ts d’une installation ; Etc. ;Ces équipem<strong>en</strong>ts peuv<strong>en</strong>t être unipolaires ou multipolaires. Les équipem<strong>en</strong>ts multipolairessont constitués d'équipem<strong>en</strong>ts unipolaires réunis dans un même boîtier. L'objet <strong>de</strong> lamodélisation est <strong>de</strong> décrire l'élém<strong>en</strong>t <strong>de</strong> base qu'est le "pôle".3.5.1 Généralités.Dans le cas d’installation Haute T<strong>en</strong>sion ou Basse T<strong>en</strong>sion, il existe différ<strong>en</strong>ts équipem<strong>en</strong>tspermettant d’assurer une protection contre les surint<strong>en</strong>sités <strong>de</strong> divers matériels.Surcharges2 à 3 InCourts-<strong>circuits</strong>> 10 InFusiblesRelaisThermiqueOUIRelais MagnétiqueRelais Magnéto-ThermiqueOUIOUI OUI OUITab. 7 Domaine <strong>de</strong> fonctionnem<strong>en</strong>t <strong>de</strong>s différ<strong>en</strong>ts dispositifs <strong>de</strong> protection.Dans les surint<strong>en</strong>sités, il faut discerner : Les surcharges qui correspon<strong>de</strong>nt à un courant <strong>de</strong> 2 à 3 fois <strong>de</strong> courant nominal. Les courts-<strong>circuits</strong> avec un courant supérieur à 10 fois le courant nominal.Tous ces équipem<strong>en</strong>ts <strong>de</strong> protections ont une caractéristique <strong>de</strong> fonctionnem<strong>en</strong>t Td =f(I) quidonne son délai <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t Td <strong>en</strong> fonction du courant I qui le traverse. Pour chaquetype d’équipem<strong>en</strong>t et chaque classe <strong>de</strong> matériel, on va trouver une courbe caractéristique quiva refléter son comportem<strong>en</strong>t particulier.Dans un premier temps, nous avons choisi <strong>de</strong> modéliser les équipem<strong>en</strong>ts dédiés auxinstallations <strong>de</strong> distribution et <strong>de</strong> protection HT/BT : fusibles et disjoncteursmagnétothermiques.On peut distinguer, pour ces équipem<strong>en</strong>ts, <strong>de</strong>ux classes <strong>de</strong> caractéristiques: Caractéristiques principales : exprim<strong>en</strong>t le comportem<strong>en</strong>t idéal du point <strong>de</strong> <strong>vue</strong>fonctionnel. Autres caractéristiques : traduis<strong>en</strong>t les limitations physiques <strong>de</strong>s équipem<strong>en</strong>ts.96 / 213


Chapitre 3 : Modélisation3.5.2 Fusible.C’est une cartouche cont<strong>en</strong>ant un fil métallique qui est calibré pourfondre lorsqu’il est soumis à un courant trop important et ainsi couperun circuit. Une cartouche fondue est détruite et doit être remplacée.3.5.2.1 Caractéristiques principales :Calibre (ou courant nominal) : c’est l’int<strong>en</strong>sité normale d’utilisation qui peut traverser lefusible sans provoquer sa fusion.Type : Il existe 2 catégories <strong>de</strong> fusibles adaptés aux différ<strong>en</strong>ts cas d’emploi.Type Protection assurée. EmploigI Faible et fortes surcharges, Usage Industriel : pour circuit sans courant d’appelcourts-<strong>circuits</strong>élevé.AM Fortes surcharges et courts<strong>circuits</strong>Accompagnem<strong>en</strong>t Moteur : doit résister auxsurcharges dues au démarrage d’un moteur.Tab. 8 Emploi <strong>de</strong>s types <strong>de</strong> fusible Courbe caractéristique : courbe <strong>de</strong> fusion.Les constructeurs fourniss<strong>en</strong>t <strong>de</strong>s séries <strong>de</strong> courbes T = f(I) qui donne le temps <strong>de</strong> fusion <strong>en</strong>fonction du courant qui le traverse (voir Annexe 2). En se reportant à la Fig. 39, Il suffit <strong>de</strong>connaître le type et le calibre du fusible pour trouver la courbe correspondante. A partir <strong>de</strong>cette courbe, on peut déterminer pour chaque va<strong>leur</strong> du courant le temps <strong>de</strong> fusion associé. Consommation :La cartouche fusible se comporte comme une résistance et consomme donc <strong>de</strong> l’énergie.Selon le calibre cette va<strong>leur</strong> peut varier <strong>en</strong>tre 1 et 1 m.Tab. 9 Consommation d’un fusible selon le calibre3.5.2.2 Autres Caractéristiques.Les fusibles possè<strong>de</strong>nt d’autres caractéristiques que nous n’avons pas <strong>en</strong>core exploitéesess<strong>en</strong>tiellem<strong>en</strong>t pour préserver la simplicité du paramétrage du modèle, dont notamm<strong>en</strong>t : T<strong>en</strong>sion Nominale : T<strong>en</strong>sion maximum d’utilisation normale. Pouvoir <strong>de</strong> coupure : Courant maximum que le fusible peut couper. Courbe <strong>de</strong> limitation. Contraintes thermiques. Etc…Elles ne sont pas utilisées car elles définiss<strong>en</strong>t pour l’ess<strong>en</strong>tiel les limites fonctionnelles <strong>de</strong>l’utilisation <strong>de</strong>s fusibles ainsi que le domaine <strong>de</strong> validité <strong>de</strong>s caractéristiques plus ess<strong>en</strong>tielles.97 / 213


Chapitre 3 : ModélisationLes modèles que nous avons développés permett<strong>en</strong>t <strong>de</strong> simuler le fonctionnem<strong>en</strong>t d’un fusibledans <strong>de</strong>s conditions d’utilisation normales.Fig. 39 Courbes <strong>de</strong> fusion <strong>de</strong> fusiblesFig. 40 Courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t<strong>de</strong> disjoncteurs3.5.3 Disjoncteur magnétothermique.Le Disjoncteur MagnétoThermique (DMT) combine les effets <strong>de</strong> <strong>de</strong>uxtypes <strong>de</strong> disjoncteurs : Disjoncteur Magnétique pour les courts-<strong>circuits</strong>. Disjoncteur Thermiques pour les surcharges.Comme un fusible, c’est un dispositif qui coupe un circuit au passaged’un courant trop élevé p<strong>en</strong>dant un temps suffisant. Contrairem<strong>en</strong>t à lafusion du fusible, le décl<strong>en</strong>chem<strong>en</strong>t du disjoncteur n’est pas <strong>de</strong>structif. Ilpeut être réarmé presque indéfinim<strong>en</strong>t.3.5.3.1 Caractéristiques principales. Calibre (ou courant nominal) :c’est l’int<strong>en</strong>sité normale d’utilisation qui peut traverser lefusible sans provoquer son décl<strong>en</strong>chem<strong>en</strong>t. Type : il existe 4 gran<strong>de</strong>s classes <strong>de</strong> DMT, détaillés dans le Tab. 10.Le disjoncteur permet <strong>de</strong> protéger les <strong>circuits</strong> et les personnes contre les surcharges mais pascontre les fuites <strong>de</strong> courant. Pour cela, il doit être complété par un dispositif <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>tdiffér<strong>en</strong>tiel, s<strong>en</strong>sible à la différ<strong>en</strong>ce <strong>de</strong> la va<strong>leur</strong> efficace <strong>de</strong>s courants <strong>de</strong>s différ<strong>en</strong>ts pôles. Cetype <strong>de</strong> dispositif n’est pas modélisé ici.Comme pour les fusibles, on dispose d’une caractéristique qui détermine l’instant où laprotection ouvre le circuit <strong>en</strong> fonction du courant qui le traverse Td=f(I). Toutefois, ici ondispose <strong>de</strong> <strong>de</strong>ux courbes minimum et maximum qui délimit<strong>en</strong>t la plage <strong>de</strong> fonctionnem<strong>en</strong>tnominal du disjoncteur (voir Fig. 40 ). Le simulateur utilise la moy<strong>en</strong>ne <strong>de</strong> ces <strong>de</strong>ux courbespour déterminer le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t. On retrouvera <strong>en</strong> Annexe 3 les différ<strong>en</strong>ts types<strong>de</strong> courbes98 / 213


Chapitre 3 : ModélisationType Décl<strong>en</strong>chem<strong>en</strong>t ApplicationB Entre 3 et 5 InSeuil BasSource à faible puissance <strong>de</strong> court-circuit.Gran<strong>de</strong>s longueurs <strong>de</strong> câblesC Entre 5 et 10 InProtection <strong>de</strong>s <strong>circuits</strong> dans le cas généralSeuil StandardD Entre 10 et 20 InSeuil hautCircuits à fort appel <strong>de</strong> courant (transformateurs oumoteurs)MA à 12 In Protection <strong>de</strong>s moteurs <strong>en</strong> association avec undiscontacteur.Tab. 10 Types <strong>de</strong> courbes <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong> disjoncteurIl est ess<strong>en</strong>tiel <strong>de</strong> noter que cette caractéristique est donnée par <strong>de</strong>s essais <strong>en</strong> courant continu.Le comportem<strong>en</strong>t <strong>en</strong> régime sinusoïdal peut être <strong>en</strong> fonction <strong>de</strong> la zone <strong>de</strong> fonctionnem<strong>en</strong>ts<strong>en</strong>siblem<strong>en</strong>t différ<strong>en</strong>t comme nous le prés<strong>en</strong>tons dans le chapitre 4 (validation).3.5.3.2 Autres caractéristiques.Comme dans le cas du fusible, nous n’avons pas pris <strong>en</strong> compte ces caractéristiques moinsess<strong>en</strong>tielles, mais qu’il serait intéressant d’utiliser pour affiner la précision du modèle. T<strong>en</strong>sion Nominale : T<strong>en</strong>sion maximum d’utilisation normale. Pouvoir <strong>de</strong> coupure : Courant maximum que le fusible peut couper. Limitation <strong>en</strong> courant. Limitation <strong>en</strong> contraintes thermiques.3.5.4 Cas <strong>de</strong>s équipem<strong>en</strong>ts multipolaires.Pour un équipem<strong>en</strong>t isolé, le fonctionnem<strong>en</strong>t d’un fusible et d’un Disjoncteur MT ests<strong>en</strong>siblem<strong>en</strong>t le même, sauf que l’allure <strong>de</strong>s courbes caractéristiques est différ<strong>en</strong>te.Lorsque l’on dispose d’équipem<strong>en</strong>ts multipolaires, l’interaction <strong>en</strong>tre les pôles est différ<strong>en</strong>te. Ouverture ou fermeture Manuelle du circuit (décl<strong>en</strong>chem<strong>en</strong>t manuel du disjoncteur ououverture/fermeture du porte-fusible) : Dans les 2 cas tous les pôles sont ouverts/fermés<strong>en</strong> même temps. Défaut sur l’une <strong>de</strong>s phases : Fusible : Le fusible fond et coupe la phase concernée sans que les autres pôles nesoi<strong>en</strong>t affectés. Disjoncteur MT : Tous les pôles sont liés mécaniquem<strong>en</strong>t, donc si un défaut faitdécl<strong>en</strong>cher l’un <strong>de</strong>s pôles, tous les pôles sont décl<strong>en</strong>chés.99 / 213


Chapitre 3 : Modélisation3.5.5 Modèles d’équipem<strong>en</strong>t <strong>de</strong> protection contre les surint<strong>en</strong>sités :Les fusibles et les disjoncteurs ont <strong>de</strong>s comportem<strong>en</strong>ts très similaires, aussi bi<strong>en</strong> concernantl’aspect électrique que séqu<strong>en</strong>tiel. Les principales différ<strong>en</strong>ces ti<strong>en</strong>n<strong>en</strong>t à <strong>leur</strong>s paramètres quisont dép<strong>en</strong>dants du type <strong>de</strong> matériel et la forme <strong>de</strong> <strong>leur</strong> courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t. Nous avonsdonc développé un modèle générique d’un équipem<strong>en</strong>t <strong>de</strong> protection contre les surint<strong>en</strong>sitésdont nous avons pu faire dériver les <strong>de</strong>ux modèles <strong>de</strong> fusible et <strong>de</strong> DMT.3.5.5.1 Complexité du modèle.Ces modèles n'exploit<strong>en</strong>t que les caractéristiques principales <strong>de</strong>s équipem<strong>en</strong>ts. La prise <strong>en</strong>compte <strong>de</strong>s limitations physiques <strong>de</strong> ce type <strong>de</strong> matériel (T<strong>en</strong>sion nominale, pouvoir <strong>de</strong>coupure, etc) n'est pas réellem<strong>en</strong>t pertin<strong>en</strong>te. En effet, l'objectif est dans un premier tempsd'obt<strong>en</strong>ir un modèle simple qui permett<strong>en</strong>t <strong>de</strong> simuler fidèlem<strong>en</strong>t le fonctionnem<strong>en</strong>t <strong>de</strong>séquipem<strong>en</strong>ts. Ces choix permett<strong>en</strong>t <strong>de</strong> caractériser ces matériels <strong>en</strong> utilisant seulem<strong>en</strong>t troisparamètres : Le type <strong>de</strong> matériel, le calibre et l’impédance <strong>de</strong>s pôles.3.5.5.2 Différ<strong>en</strong>ts aspects <strong>de</strong>s modèles.Le modèle doit nous permettre <strong>de</strong> définir le composant <strong>en</strong> terme : D’impédance et d'excitation, afin d'intégrer sa participation dans le circuit et obt<strong>en</strong>ir ainsises t<strong>en</strong>sions et courants : c’est l’aspect électrique du modèle. De fonctionnem<strong>en</strong>t, pour exprimer sa réaction aux diverses sollicitations extérieures(exploité par l'analyse événem<strong>en</strong>tielle) : c’est l’aspect événem<strong>en</strong>tiel du modèle. D’interaction <strong>en</strong>tre le composant et le circuit auquel il est intégré (protectionsmultipolaires) : propagation <strong>de</strong>s états logiques.3.5.6 Implém<strong>en</strong>tation du modèle <strong>de</strong> protection.Cette implém<strong>en</strong>tation concerne la <strong>de</strong>scription d’un matériel unipolaire qui comporte <strong>de</strong>uxbornes. L’implém<strong>en</strong>tation <strong>de</strong> matériels multipolaires sera obt<strong>en</strong>ue par la création <strong>de</strong> <strong>circuits</strong> àbase <strong>de</strong> matériels unipolaires.Pour chaque nouveau type <strong>de</strong> composant, il est nécessaire d’implém<strong>en</strong>ter un nouvel objet quidécrira son comportem<strong>en</strong>t. L’un <strong>de</strong>s intérêts <strong>de</strong> la programmation ori<strong>en</strong>tée objet rési<strong>de</strong> dansl’exploitation <strong>de</strong> l’héritage : Un objet qui hérite <strong>de</strong> son « ancêtre » a les mêmes propriétés queson ancêtre. Cela permet d’avoir pour <strong>de</strong>ux objets héritant d’un ancêtre commun d’avoir uncomportem<strong>en</strong>t i<strong>de</strong>ntique bi<strong>en</strong> qu'ils ai<strong>en</strong>t <strong>de</strong> nombreux paramètres qui les différ<strong>en</strong>ci<strong>en</strong>t.Nous avons alors défini une classe abstraite TProtectionCC qui regroupe les propriétéscommunes <strong>de</strong>s fusibles et <strong>de</strong>s disjoncteurs qui eux sont implém<strong>en</strong>tés par les classes <strong>en</strong>fantsTFusible et TDisjoncteurMagnTher.3.5.6.1 Nouvelles classes d’objets.Dans notre cas, nous avons <strong>de</strong>ux composants qui ont une caractéristique commune (courbe Td= f(I)) qui régit <strong>leur</strong> comportem<strong>en</strong>t. Par contre, il existe quelques diverg<strong>en</strong>ces qui nécessit<strong>en</strong>td’avoir <strong>de</strong>ux objets distincts ayant <strong>de</strong>s propriétés différ<strong>en</strong>tes.Dans ce cas <strong>de</strong> figure, le choix le plus adapté est <strong>de</strong> définir <strong>de</strong>ux classes TFusible etTDisjoncteurMagnTher qui décriv<strong>en</strong>t le comportem<strong>en</strong>t <strong>de</strong> nos équipem<strong>en</strong>ts. Par soucisd’efficacité, on définit une classe par<strong>en</strong>te abstraite qui décrit <strong>leur</strong> comportem<strong>en</strong>t commun parrapport au décl<strong>en</strong>chem<strong>en</strong>t.100 / 213


Chapitre 3 : ModélisationFig. 41 Diagramme d’héritage <strong>de</strong>s classes <strong>de</strong>sc<strong>en</strong>dantes <strong>de</strong> TProtectionCCClasses Super-Classes RôleTElem<strong>en</strong>t Tobject Décrit tous les élém<strong>en</strong>ts simulables (composants<strong>de</strong> base et <strong>circuits</strong>).TComposant TElem<strong>en</strong>t Composants <strong>de</strong> base (par opposition aux <strong>circuits</strong>)TDipole TComposant Composant possédant <strong>de</strong>ux bornes et unebrancheTProtectionCC TDipole Décrit le comportem<strong>en</strong>t <strong>de</strong>s protections contreles surint<strong>en</strong>sités (décl<strong>en</strong>chem<strong>en</strong>t)TFusible TProtectionCC Implém<strong>en</strong>tation d’un fusibleTDisjoncteurMagnTher TProtectionCC Implém<strong>en</strong>tation d’un disjoncteurMagnétothermiqueTab. 11 Différ<strong>en</strong>tes classes interv<strong>en</strong>ant dans les modèles d’équipem<strong>en</strong>t <strong>de</strong> protection3.5.6.2 Classe Abstraite et par<strong>en</strong>te TProtectionCC :Ce composant sera modélisé comme une résistance lorsqu’il est fermé (State = True) etcomme un circuit ouvert s’il est ouvert (State = False).Cette procédure <strong>de</strong> <strong>de</strong>scription du fonctionnem<strong>en</strong>t TProtectionCC .OnNewEv<strong>en</strong>t est appeléeaprès chaque nouvel événem<strong>en</strong>t pour répercuter l’évolution d’installation sur ces équipem<strong>en</strong>ts<strong>de</strong> protection.Champs Type RôleInominal Réel Calibre <strong>de</strong> l’équipem<strong>en</strong>t (<strong>en</strong> A) : courant nominal <strong>de</strong>fonctionnem<strong>en</strong>t.OldState Boolé<strong>en</strong> Etat <strong>de</strong> l’équipem<strong>en</strong>t lors <strong>de</strong> l’événem<strong>en</strong>t précé<strong>de</strong>nt.Résistance réel Va<strong>leur</strong> <strong>de</strong> la résistance du contact (Disjoncteur) ou dufil (Fusible).MeltingCurve Tableau <strong>de</strong> Points Conti<strong>en</strong>t les points <strong>de</strong> la courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>tCurr<strong>en</strong>tHeat Enregistrem<strong>en</strong>t Etat <strong>de</strong> progression du décl<strong>en</strong>chem<strong>en</strong>t.Tab. 12 Propriétés <strong>de</strong> la classe TProtectionCC101 / 213


Chapitre 3 : ModélisationMétho<strong>de</strong>s Type RôleCreate Constructeur Création et initialisation <strong>de</strong> l’objet.Destroy Destructeur Destruction <strong>de</strong> l’objet.Assign(Source) procédure Attribue aux champs ceux <strong>de</strong> l’objet « source ».InsertionMatrice procédure En fonction <strong>de</strong> l’état (State) insert une résistance dansles matrices.OnNewEv<strong>en</strong>t procédure Description du comportem<strong>en</strong>t événem<strong>en</strong>tiel(décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong> l’équipem<strong>en</strong>t).Tab. 13 Métho<strong>de</strong>s <strong>de</strong> la classe TProtectionCC3.5.6.3 Première étape <strong>de</strong> la modélisation.Cette première implém<strong>en</strong>tation répond aux premières att<strong>en</strong>tes qui sont <strong>de</strong> reproduirefidèlem<strong>en</strong>t le fonctionnem<strong>en</strong>t <strong>de</strong>s équipem<strong>en</strong>ts à partir <strong>de</strong> la seule courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t : La mesure du courant efficace permet <strong>de</strong> planifier un décl<strong>en</strong>chem<strong>en</strong>t dans un tempscorrespondant à ce courant. Il faut toutefois distinguer la planification <strong>de</strong> cet événem<strong>en</strong>t, qui correspond à sa mise <strong>en</strong>file d’att<strong>en</strong>te, <strong>de</strong> l’exécution <strong>de</strong> cet événem<strong>en</strong>t qui ne sera réalisée que si toutes lesconditions <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t sont réunies. Il faut qu’<strong>en</strong>tre l’instant où l’on a planifié et le décl<strong>en</strong>chem<strong>en</strong>t le courant n’ait pas changé.Dans le cas contraire, l’événem<strong>en</strong>t prévu est annulé et est remplacé par un événem<strong>en</strong>tcorrespondant au nouveau courant. L’annulation d’un évènem<strong>en</strong>t prévu est réalisée automatiquem<strong>en</strong>t par le cal<strong>en</strong>drierd’événem<strong>en</strong>t car ces décl<strong>en</strong>chem<strong>en</strong>ts sont <strong>de</strong>s événem<strong>en</strong>ts classés comme événem<strong>en</strong>ts àsurveiller. Problème r<strong>en</strong>contré : à chaque variation du courant, le décl<strong>en</strong>chem<strong>en</strong>t précé<strong>de</strong>nt estannulé et on repart à 0, on ne gar<strong>de</strong> pas <strong>de</strong> trace <strong>de</strong> l’action qu’a pu avoir le courant d’oùun modèle plus complexe. Nous avons donc complété ce modèle afin <strong>de</strong> résoudre cedéfaut.3.5.6.4 Deuxième étape <strong>de</strong> la modélisation.La courbe caractéristique donne T= f(I). Pour un courant constant il est simple <strong>de</strong> connaître letemps qu’il faudra pour décl<strong>en</strong>cher. Lorsque la va<strong>leur</strong> efficace du courant va évoluer (tout <strong>en</strong>restant supérieur au courant nominal), on peut difficilem<strong>en</strong>t prévoir le comportem<strong>en</strong>t <strong>de</strong> laprotection. Le but <strong>de</strong> cette nouvelle modélisation du comportem<strong>en</strong>t est d’<strong>en</strong>richir le modèleprécé<strong>de</strong>nt pour pr<strong>en</strong>dre <strong>en</strong> compte <strong>de</strong>s variations du courant. La Fig. 42 décrit lecomportem<strong>en</strong>t séqu<strong>en</strong>tiel <strong>de</strong>s protections. L’apport <strong>de</strong> cette <strong>de</strong>uxième étape y figure <strong>en</strong> gris.La modélisation ret<strong>en</strong>ue consiste à pondérer le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>en</strong> pr<strong>en</strong>ant <strong>en</strong> comptele passé du composant, <strong>de</strong> manière à traduire l’état d’échauffem<strong>en</strong>t <strong>de</strong>s dispositifs thermiquespar exemple. Pour cela, on associe <strong>de</strong> nouvelles variables sous forme <strong>de</strong> l’<strong>en</strong>registrem<strong>en</strong>t (oustructure) Curr<strong>en</strong>tHeat qui sauvegar<strong>de</strong> <strong>de</strong>s informations concernant l’échauffem<strong>en</strong>t.Champs <strong>de</strong> Curr<strong>en</strong>tHeat type RôleStart Entier Début <strong>de</strong> l’échauffem<strong>en</strong>t (instant <strong>de</strong> planification dudécl<strong>en</strong>chem<strong>en</strong>t).Duration Entier Temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t planifié.DoneRate Réel Taux <strong>de</strong> progression du décl<strong>en</strong>chem<strong>en</strong>t (<strong>de</strong> 0 à 1).102 / 213


Chapitre 3 : ModélisationDEBUT (t = t 0 )Protectionouverte ?NONOUIMesure du Courant Efficace INONI > I nOUICalcul du temps décl<strong>en</strong>chem<strong>en</strong>t idéal issus <strong>de</strong>la caractéristique du composant : courbe T d =Pondération du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t selonles états passés <strong>de</strong> la protection T d réel(échauffem<strong>en</strong>t)Planification du décl<strong>en</strong>chem<strong>en</strong>t à t = t 0 + T dInsertion <strong>de</strong> l’événem<strong>en</strong>t dans le cal<strong>en</strong>drierFINFig. 42 Comportem<strong>en</strong>t séqu<strong>en</strong>tiel <strong>de</strong>s équipem<strong>en</strong>ts <strong>de</strong> protectionIllustration par un cas pratique :Nous avons ici un cas où le disjoncteur subit une variation <strong>de</strong> la va<strong>leur</strong> efficace du courant.Cela peut correspondre à une surcharge passagère ou à un phénomène transitoire.I <strong>en</strong> ATd = DurationDécl<strong>en</strong>chem<strong>en</strong>t ?10 x InT0 = StartT1TempsFig. 43 Surcharge <strong>de</strong> courant avec variation <strong>de</strong> la va<strong>leur</strong> efficace A t = T0, le courant atteint un niveau qui peut provoquer un décl<strong>en</strong>chem<strong>en</strong>t après untemps Td. On <strong>en</strong>registre Curr<strong>en</strong>tHeat.Start = T0 et Curr<strong>en</strong>tHeat.Duration = Td On planifie un décl<strong>en</strong>chem<strong>en</strong>t à T0+ Td (à surveiller). A t = T1, le courant change. On calcule le taux <strong>de</strong> réalisation du décl<strong>en</strong>chem<strong>en</strong>t soit :Curr<strong>en</strong>tHeat.DoneRate = Curr<strong>en</strong>tHeat.DoneRate + (T1 – T0) / Curr<strong>en</strong>tHeat.duration.Avec T1 = Nte (instant prés<strong>en</strong>t) et T0 = Curr<strong>en</strong>tHeat.Start. Calcul du nouveau Td correspondant au nouveau courant.103 / 213


Chapitre 3 : ModélisationOn <strong>en</strong>registre Curr<strong>en</strong>tHeat.Start = T1 et Curr<strong>en</strong>tHeat.Duration = TdOn calcule le Nouveau Curr<strong>en</strong>tHeat.DoneRate.On pondère le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t Td = Td (1- Curr<strong>en</strong>tHeat.DoneRate.)On planifie ce nouvel événem<strong>en</strong>t ce qui a pour effet <strong>de</strong> retirer le précé<strong>de</strong>nt.3.5.7 Modèle du fusible : Classe concrète TFusible :Cet objet modélise une cartouche fusible. Le principal apport <strong>de</strong> cette classe TFusible parrapport à son ancêtre TProtectionCC est <strong>de</strong> permettre l’affectation d’un tableau <strong>de</strong> pointreprés<strong>en</strong>tant la courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t. A partir du calibre et du type <strong>de</strong> matériel, il choisitla courbe prédéfinie qui lui correspond. Elle décrit la relation Td=f(I). Cette classe n’a pasd’influ<strong>en</strong>ce sur la définition du comportem<strong>en</strong>t du composant qui est totalem<strong>en</strong>t décrite auniveau <strong>de</strong> TProtectionCC , mais uniquem<strong>en</strong>t sur le paramétrage du composant.Attributs Type RôleTypeAm Boolé<strong>en</strong> Type <strong>de</strong> cartouche fusible Vrai si Type Am Faux sitype gI.Métho<strong>de</strong>s Type RôleCreate Constructeur Création et initialisation <strong>de</strong> l’objet.Paramètres : type, calibre, puissanceDestroy Destructeur Destruction <strong>de</strong> l’objet.Assign(Source) procédure Attribue aux champs ceux <strong>de</strong> l’objet « source ».Clone Clone R<strong>en</strong>voie un TFusible qui est une copie <strong>de</strong> cet objetTab. 14 Attributs et métho<strong>de</strong> <strong>de</strong> la classe TFusible.3.5.8 Modèle du disjoncteur : Classe TDisjoncteurMagnTher :Cet objet modélise un disjoncteur Magnétothermique. Comme pour le fusible (TFusible ), lemodèle <strong>de</strong> disjoncteur TDisjoncteurMagnTher ne modifie pas le comportem<strong>en</strong>t ducomposant, il va seulem<strong>en</strong>t permettre d’attribuer une courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t au composant.A partir du type <strong>de</strong> seuil, on désigne une courbe normalisée Td=f(I/In) qui à partir <strong>de</strong> la va<strong>leur</strong>In du calibre choisi est ram<strong>en</strong>ée à une forme Td=f(I) utilisable par le modèle.Attributs Type RôleThreshold chaîne Type <strong>de</strong> seuil B, C, D, MAMultiPar<strong>en</strong>t pointeur Si ce composant fait partie d’un multipôle, ce pointeurpointe <strong>de</strong>ssus.StatePropriété(boolé<strong>en</strong>) Redéfinit l’accès <strong>en</strong> lecture écriture à l’état.Métho<strong>de</strong>s Type RôleCreate Constructeur Création et initialisation <strong>de</strong> l’objet.Paramètres : seuil, calibre.Destroy Destructeur Destruction <strong>de</strong> l’objet.Assign(Source) procédure Attribue aux champs ceux <strong>de</strong> l’objet « source ».Clone Clone R<strong>en</strong>voie un TFusible qui est une copie <strong>de</strong> cet objetSetState procédure Si multipar<strong>en</strong>t existe copie son état sur celui <strong>de</strong> l’objet<strong>en</strong> coursTab. 15 Attributs et Métho<strong>de</strong>s <strong>de</strong> la classe TDisjoncteurMagnTher104 / 213


Chapitre 3 : Modélisation4 Nécessité d’un langage standard pour la modélisation.Les différ<strong>en</strong>ts modèles <strong>de</strong> composants ont été modélisés <strong>en</strong> utilisant le langage Delphi,employé égalem<strong>en</strong>t pour l’implém<strong>en</strong>tation du simulateur. L’emploi <strong>de</strong> ce langage offre unegran<strong>de</strong> souplesse d’utilisation pour la modélisation du matériel. Il permet d’utiliseravantageusem<strong>en</strong>t les principes <strong>de</strong> la programmation ori<strong>en</strong>tée objet du fait que le simulateur etles modèles sont écrits <strong>en</strong> Delphi, compilés <strong>en</strong>semble et intégrés dans une seule applicationcela assure une plus gran<strong>de</strong> cohér<strong>en</strong>ce <strong>en</strong>tre l’outil et les modèles. Toutefois, cette solution,plus simple pour une utilisation standard, amène un certain nombre <strong>de</strong> contraintes dans uneutilisation plus avancée du simulateur : Delphi est un langage <strong>de</strong> programmation et non <strong>de</strong> modélisation. De ce fait il neconstitue pas un standard <strong>en</strong> terme <strong>de</strong> modélisation. Il est alors nécessaire <strong>de</strong> fairepreuve d’une gran<strong>de</strong> rigueur à la définition d’un modèle afin <strong>de</strong> conserver unecohér<strong>en</strong>ce dans la modélisation ceux-ci pouvant être implém<strong>en</strong>tés <strong>de</strong> multiplesmanières pour un même résultat. Les modèles ainsi décrits sont « <strong>en</strong> dur ». Ils sont inscrits dans l’application et ne sontnullem<strong>en</strong>t lisibles ou modifiables par l’utilisateur. De la même manière que les modèles existants sont inaccessibles, l’utilisateur n’a pasla possibilité <strong>de</strong> créer ses propres modèles et <strong>de</strong> les simuler. Il <strong>de</strong>vrait pour cela lesécrire <strong>en</strong> Delphi et disposer du co<strong>de</strong> source <strong>de</strong> toute l’application (schématique et<strong>simulation</strong>) pour recompiler l’<strong>en</strong>semble.De ces différ<strong>en</strong>ts constats, il apparaît que la modélisation via Delphi peut être relativem<strong>en</strong>tsatisfaisante pour l’utilisation courante et simple du simulateur. Mais, dans l’optique d’obt<strong>en</strong>irun outil ouvert, convivial et validé sci<strong>en</strong>tifiquem<strong>en</strong>t, il est indisp<strong>en</strong>sable d’utiliser un langage<strong>de</strong> <strong>de</strong>scription matérielle ou HDL (Hardware Description Language).Un langage <strong>de</strong> <strong>de</strong>scription matérielle contrairem<strong>en</strong>t à un langage informatique n’est passeulem<strong>en</strong>t utilisé pour l’exécution qui dans notre cas est la <strong>simulation</strong>. Cette <strong>de</strong>scription <strong>de</strong>matériel peut servir les différ<strong>en</strong>tes étapes du cycle <strong>de</strong> conception, spécifications, modélisation,<strong>simulation</strong>, docum<strong>en</strong>tation, synthèse…[HER02]4.1 Choix du langage.Dès lors que la nécessité d’utiliser un langage <strong>de</strong> modélisation externe au simulateur estapparue indisp<strong>en</strong>sable, il convi<strong>en</strong>t <strong>de</strong> déterminer quel sera ce langage. Différ<strong>en</strong>tes solutionss’offr<strong>en</strong>t à nous. Pour faciliter l’intégration <strong>de</strong>s modèles et répondre aux contraintestechniques imposées par Algo’Tech, les modèles décrits dans le langage ret<strong>en</strong>u serontcompilés pour produire <strong>de</strong>s modèles Delphi du même type que ceux utilisés à l’origine. Cesmodèles « Delphi » seront eux même recompilés sous forme d’un fichier binaire exploitablepar le simulateur. Afin <strong>de</strong> préserver la simplicité d’utilisation du simulateur, il serait mêmepréférable <strong>de</strong> n’utiliser cet HDL que comme un support standard à la <strong>de</strong>scription <strong>de</strong>s modèles.Dans le cas idéal, les modèles serai<strong>en</strong>t <strong>en</strong>tièrem<strong>en</strong>t construits <strong>de</strong> manière graphique pour êtretraduit dans le langage choisi (voir Fig. 44 ). Ainsi, tout utilisateur pourra définir simplem<strong>en</strong>t<strong>de</strong>s modèles sans l’appr<strong>en</strong>tissage d’un langage.105 / 213


Chapitre 3 : ModélisationEditeur <strong>de</strong>texteModèleHDLModèleDELPHIModèlebinaireUTILISATEURInterfacegraphiqueDescriptiongraphique dumodèleFig. 44 Différ<strong>en</strong>tes étapes <strong>de</strong> la construction et compilation <strong>de</strong>s modèles VHDL-AMS.4.2 Langage dédié au simulateur.Un langage propriétaire est défini par le concepteur <strong>de</strong> l’application qui l’exploite. Dans notrecas, il s’agirait <strong>de</strong> mettre <strong>en</strong> place un langage propre à notre simulateur permettant à chacund’écrire et <strong>de</strong> compiler ses propres modèles dans le but <strong>de</strong> les simuler. Le langage ainsi définiprés<strong>en</strong>terait l’avantage d’être adapté au plus près à l’outil <strong>de</strong> <strong>simulation</strong>. Pour cela, il peutrepr<strong>en</strong>dre la démarche <strong>de</strong> modélisation utilisée dans les modèles « Delphi » et intégrer <strong>de</strong>sinstructions permettant <strong>de</strong> piloter le simulateur. On pourrait même <strong>en</strong>visager d’utiliser unlangage qui soit un sous-<strong>en</strong>semble (ne supporte pas tous les lexemes) <strong>de</strong> Delphi, réduisantainsi les besoins <strong>de</strong> développem<strong>en</strong>t <strong>de</strong> compilateur. Ainsi chaque modèle serait un scriptDelphi que l’on compilerait séparém<strong>en</strong>t pour qu’il soit pris <strong>en</strong> compte par le simulateur.Pour résumer l’<strong>en</strong>semble <strong>de</strong>s avantages que peut prés<strong>en</strong>ter cette solution, on disposerait doncd’un langage mieux adapté, plus souple, plus facile à mettre <strong>en</strong> œuvre (pour le concepteur dusimulateur). En fait ces avantages sont ess<strong>en</strong>tiellem<strong>en</strong>t favorables au concepteur <strong>de</strong> l’outilplutôt qu’à son utilisateur.Rappelons qu’à chaque étape <strong>de</strong> la conception <strong>de</strong> l’outil <strong>de</strong> <strong>simulation</strong>, un soin particulier estapporté pour préserver la simplicité d’emploi vis à vis <strong>de</strong> l’utilisateur. Un langagepropriétaire n’étant pas défini par une norme, il nécessitera un appr<strong>en</strong>tissage. Les modèlesainsi décrits ne seront utilisables dans aucun autre outil. De plus, bi<strong>en</strong> qu’accessibles, lesmodèles ne seront compréh<strong>en</strong>sibles qu’aux seuls initiés qui auront fait l’effort d’intégrer celangage. Enfin, la mise <strong>en</strong> place nécessite une réflexion approfondie nécessaire pour définirses domaines d’application, sa grammaire, sa syntaxe afin <strong>de</strong> pouvoir tous les besoinsprés<strong>en</strong>ts et à v<strong>en</strong>ir du simulateur <strong>en</strong> fonction <strong>de</strong> son pot<strong>en</strong>tiel et <strong>de</strong>s futures évolutions.4.3 Langages standards.La solution d’un langage propriétaire n’est bi<strong>en</strong> <strong>en</strong>t<strong>en</strong>du pas <strong>en</strong>tièrem<strong>en</strong>t satisfaisante. Nousnous sommes naturellem<strong>en</strong>t intéressés à <strong>de</strong>s langages existants, considérés comme <strong>de</strong>sstandards. Les <strong>de</strong>ux voies qui nous paraiss<strong>en</strong>t les plus prometteuses sont les langages Xml[W3C], <strong>de</strong> plus <strong>en</strong> plus répandus notamm<strong>en</strong>t grâce au développem<strong>en</strong>t d’Internet et VHDL-AMS [VHD1] un langage <strong>de</strong> <strong>de</strong>scription du matériel multi-domaine et multi-technologiqueégalem<strong>en</strong>t issu <strong>de</strong> l’industrie électronique. Nous avons ainsi étudié les possibilités d’exploiterun langage existant <strong>en</strong> l’utilisant complètem<strong>en</strong>t, partiellem<strong>en</strong>t ou <strong>en</strong> l’adaptant à nos besoins àtravers une ext<strong>en</strong>sion.106 / 213


Chapitre 3 : Modélisation4.4 XML.XML (eXt<strong>en</strong>sible Markup Language) est un format <strong>de</strong> fichier texte dérivé <strong>de</strong> SGML(ISO8879). Il a été conçu à l’origine pour répondre au problème <strong>de</strong> la publication électroniqueà gran<strong>de</strong> échelle. Il joue égalem<strong>en</strong>t un rôle croissant dans l’échange <strong>de</strong> données diverses sur leWeb <strong>en</strong> particulier. C’est un langage standard, approuvé <strong>en</strong> 1998 (XML 1.0) par le W3C(World Wi<strong>de</strong> Web Consortium) [W3C]. A l’instar du HTML (Hyper Text Markup Language),XML utilise un système <strong>de</strong> balise. Contrairem<strong>en</strong>t à HTML, XML n’est pas figé car il permet àchacun <strong>de</strong> définir ses propres balises [COG00].Un docum<strong>en</strong>t XML peut être créé <strong>de</strong>puis un simple éditeur <strong>de</strong> texte, via <strong>de</strong>s éditeurs dédiés,<strong>de</strong>s interfaces utilisateurs personnalisées ou par programmation. De nombreux composantslogiciels sont disponibles pour traiter ce g<strong>en</strong>re <strong>de</strong> docum<strong>en</strong>t. On trouve <strong>de</strong>s parseurs(analyseurs syntaxiques), vérificateurs et éditeurs adaptés à différ<strong>en</strong>ts langages et plateformes[WOO01]. Un docum<strong>en</strong>t XML peut être associé à une DTD (Docum<strong>en</strong>t Type Definitions) quidéfinit un modèle <strong>de</strong> docum<strong>en</strong>t XML vali<strong>de</strong>. Elle décrit le type d’élém<strong>en</strong>ts et <strong>de</strong> données quipeuv<strong>en</strong>t être cont<strong>en</strong>u dans le docum<strong>en</strong>t ainsi que sa structure. On peut définir un langageXML à l’expression <strong>de</strong> données particulières. Ainsi on retrouve par exemple MathML[MATH] dédié à la spécification et au traitem<strong>en</strong>t <strong>de</strong> cont<strong>en</strong>u mathématique et sci<strong>en</strong>tifique ou<strong>en</strong>core SVG (Scalable Vector Graphics) permet <strong>de</strong> décrire <strong>de</strong>s graphiques à <strong>de</strong>ux dim<strong>en</strong>sions[SVG].L’essor <strong>de</strong> XML, notamm<strong>en</strong>t grâce à Internet, nous a naturellem<strong>en</strong>t am<strong>en</strong>és à rechercher <strong>de</strong>slangages qui nous permettrai<strong>en</strong>t <strong>de</strong> définir nos modèles <strong>de</strong> composants. Parmi les XMLexistants nous avons distingué un XML utilisé par Schnei<strong>de</strong>r Electric que nous avons baptiséSchnei<strong>de</strong>rML et un format d’échanges pour la conception <strong>de</strong> circuit électronique EDA-XML.4.4.1 Delphi et XML.Grâce à La structure arboresc<strong>en</strong>te <strong>de</strong>s élém<strong>en</strong>ts dans les docum<strong>en</strong>ts XML, on peut retrouvertrès rapi<strong>de</strong>m<strong>en</strong>t <strong>de</strong>s données d’après une analyse lexicale du fichier texte. Dans son<strong>en</strong>vironnem<strong>en</strong>t <strong>de</strong> développem<strong>en</strong>t, Delphi propose différ<strong>en</strong>ts moy<strong>en</strong>s <strong>de</strong> traiter les docum<strong>en</strong>tsXML.Classe TXMLDocum<strong>en</strong>t : cette classe d’objet définit un cont<strong>en</strong>eur <strong>de</strong> docum<strong>en</strong>ts XMLqui facilite la recherche <strong>de</strong> données. En l’associant à fichier XML, on pourra lire,écrire ou créer ce fichier. TXMLDocum<strong>en</strong>t est un analyseur DOM (Docum<strong>en</strong>t ObjectMo<strong>de</strong>l) qui permet <strong>de</strong> manipuler les différ<strong>en</strong>ts élém<strong>en</strong>ts (via la classe TXMLNo<strong>de</strong>) dudocum<strong>en</strong>t [BOR02].Expert liaison <strong>de</strong> données XML : cet assistant va à partir d’un docum<strong>en</strong>t XML ou <strong>de</strong>sa DTD construire <strong>de</strong>s interfaces qui expos<strong>en</strong>t les différ<strong>en</strong>ts élém<strong>en</strong>ts du docum<strong>en</strong>t.On dispose ainsi avec cet <strong>en</strong>semble d’interface <strong>de</strong> toutes les métho<strong>de</strong>s permettant <strong>de</strong>manipuler un type <strong>de</strong> docum<strong>en</strong>t XML précis [JAM]. L’expert XML construitimmédiatem<strong>en</strong>t le co<strong>de</strong> d’un parseur (analyseur syntaxique) adapté au type <strong>de</strong>docum<strong>en</strong>t XML que l’on veut traiter et que l’on peut intégrer dans notre application.Cette simplicité d’utilisation du format XML permet une mise <strong>en</strong> œuvre très rapi<strong>de</strong>économisant le temps <strong>de</strong> développem<strong>en</strong>t <strong>de</strong> l’analyseur syntaxique (parseur) au profit <strong>de</strong>travaux plus fondam<strong>en</strong>taux. Dans notre contexte particulier, il est intéressant <strong>de</strong> noterqu’Algo’Tech Informatique a déjà mis <strong>en</strong> œuvre avec succès ces technologies pourl’exploitation d’un format d’échange XML avec l’application <strong>de</strong> calcul Ecodial <strong>de</strong> Schnei<strong>de</strong>rElectric.107 / 213


Chapitre 3 : Modélisation4.4.2 Schnei<strong>de</strong>r-ML.Au cours <strong>de</strong> développem<strong>en</strong>ts indép<strong>en</strong>dants <strong>de</strong> la <strong>simulation</strong>, Algo’Tech Informatique a mis <strong>en</strong>œuvre <strong>de</strong>s technologies XML. Il s’agissait d’exploiter un format <strong>de</strong> fichier XML issu dulogiciel <strong>de</strong> calcul d’installation électrique Ecodial <strong>de</strong> Schnei<strong>de</strong>r Electric. Ce format <strong>de</strong> fichierque nous appellerons Schnei<strong>de</strong>rML décrit un réseau électrique (voir la DTD <strong>en</strong> Annexe 4).On peut voir sur la Fig. 45 que ce docum<strong>en</strong>t est constitué d’un réseau électrique« NETWORK » qui se compose <strong>de</strong> <strong>de</strong>ux listes : Liste <strong>de</strong>s composants du réseau « LISTECOMPONENTS ». Liste <strong>de</strong>s connections électrique « LISTECONNECTIONS ».Fig. 45 Exemple <strong>de</strong> fichier au format « Schnei<strong>de</strong>rML »Chaque composant « COMPONENT » possè<strong>de</strong> un certain nombre d’attributs « PROPERTY »dont les va<strong>leur</strong>s « VALUE » le caractéris<strong>en</strong>t. Les connections « CONNECTION » met <strong>en</strong>relation <strong>de</strong>ux composants via <strong>leur</strong>s bornes.A partir <strong>de</strong> ces informations, il est possible <strong>de</strong> reconstituer un réseau électrique et <strong>de</strong> connaîtreles caractéristiques <strong>de</strong>s composants. Ainsi, on peut <strong>de</strong>ssiner le schéma et si les caractéristiquessont adaptées et un modèle est associé à chaque composant, il serait possible <strong>de</strong> simuler ceréseau.108 / 213


Chapitre 3 : Modélisation4.4.3 EdaXML.EDA-XML est un langage XML d’échange pour l’EDA (Electronic Design Automation).EDA-XML est basé sur un modèle d’information compréh<strong>en</strong>sible semblable à celui utilisépour la conception <strong>de</strong> EDIF V4.0.0. Sa DTD <strong>de</strong> 1675 lignes (autant d’élém<strong>en</strong>ts) est disponible<strong>en</strong> ligne [ETO]. EDA-XML couvre la schématique, la connectique, le PCB (Printed CircuitBoard) et le MCM (MultiChip Module). Des outils <strong>de</strong> visualisation et d’analyse syntaxiquesont disponibles. Des outils <strong>de</strong> traduction bidirectionnels pour différ<strong>en</strong>tes applications d’EDAont été développés par e-tools [ETO] notamm<strong>en</strong>t pour : M<strong>en</strong>tor Graphics’ DesignArchitect and Boardstation Viewlogic’s Powerview/Workview Ca<strong>de</strong>nce OrCAD Capture 9.10 PADS Powerlogic P-CAD Ca<strong>de</strong>nce Concept Schematic CaptureD’autres outils permett<strong>en</strong>t l’intégration d’EDA-XML dans <strong>de</strong>s applications cli<strong>en</strong>ts ou <strong>de</strong>sliaisons avec <strong>de</strong>s bases <strong>de</strong> données <strong>de</strong> matériel [COV].Comme le langage précé<strong>de</strong>nt, EDA-XML est intéressant car on va y retrouver le réseauélectrique correspondant à un circuit électronique. Il va offrir <strong>de</strong> plus <strong>de</strong> nombreusespossibilités concernant <strong>de</strong>s informations propres à l’EDA telles que le routage, les masques <strong>de</strong>PCB qui sont superflues dans notre contexte électrotechnique. Le modèle d’informationproposé est par contre insuffisant <strong>en</strong> ce qui concerne la modélisation comportem<strong>en</strong>tale <strong>de</strong>scomposants et nécessiterait une ext<strong>en</strong>sion.4.4.4 De la pertin<strong>en</strong>ce du choix d’un langage XML.Le premier avantage à utiliser un XML comme support <strong>de</strong> la modélisation est la simplicité <strong>de</strong>sa mise <strong>en</strong> œuvre et d’intégration dans une application. Toutefois, aucun <strong>de</strong>s langagesexistants que nous avons étudiés ne permet <strong>de</strong> couvrir la totalité <strong>de</strong> nos besoins <strong>en</strong> terme <strong>de</strong>représ<strong>en</strong>tation <strong>de</strong>s <strong>circuits</strong>. Notre approche consisterait donc à intégrer ces travaux pourproposer un langage XML permettant à la fois <strong>de</strong> décrire la topologie du circuit et soncomportem<strong>en</strong>t.109 / 213


Chapitre 3 : Modélisation4.5 Langage VHDL-AMS.Dans notre recherche d’un langage <strong>de</strong> modélisation, nous nous sommes intéressés toutparticulièrem<strong>en</strong>t au langage VHDL-AMS qui permet d’utiliser <strong>de</strong>s <strong>de</strong>scriptions du matérielmulti-niveaux, multi-technologique, multi-domaines.4.5.1 Généralités.VHDL-AMS est un langage <strong>de</strong> <strong>de</strong>scription <strong>de</strong> matériel (HDL) définit par la norme IEEE1076.1 <strong>de</strong> 1999 [VHD1]. Il est basé sur le langage VHDL (norme IEEE 1076 <strong>de</strong> 1993) dont ilconstitue l’ext<strong>en</strong>sion analogique (AMS : Analog and Mixed Signals). L’acronyme VHDLrappelle que le langage est issu <strong>de</strong> l’électronique. VHDL signifie VHSIC (Very High ScaleIntegrated Circuit) Harware Description Language – et non pas Very Hard DescriptionLangage, comme certains se plais<strong>en</strong>t à l’appeler soulignant ainsi sa puissance et sacomplexité. VHDL permet la <strong>de</strong>scription <strong>de</strong> <strong>circuits</strong> logiques et à l’ai<strong>de</strong> <strong>de</strong>s outils adaptés <strong>de</strong>la simuler et même <strong>de</strong> les synthétiser. L’ext<strong>en</strong>sion analogique <strong>de</strong> VHDL rajoute <strong>de</strong> nouvellesinstructions et objets, les modèles écrits <strong>en</strong> VHDL restant exploitables <strong>en</strong> VHDL-AMS. Ainsi,<strong>en</strong> ét<strong>en</strong>dant VHDL à l’analogique, VHDL-AMS couvre un bi<strong>en</strong> plus large domaine quel’électronique numérique et analogique [HER02]. VHDL-AMS permet <strong>de</strong> décrire <strong>de</strong>s modèlesselon différ<strong>en</strong>ts niveaux d’abstractions (fonctionnel, comportem<strong>en</strong>tal, structurel, physique),permettant <strong>de</strong> considérer différ<strong>en</strong>ts domaines (électromagnétisme, mécanique, thermique…).Les modèles peuv<strong>en</strong>t être décrits <strong>de</strong> manière discrète (numérique) ou continue (analogique)qui peuv<strong>en</strong>t être exprimés via <strong>de</strong>s équations différ<strong>en</strong>tielles, <strong>de</strong>s transformées <strong>de</strong> Laplace ou <strong>en</strong>z notamm<strong>en</strong>t.4.5.2 Utilisation.Il est ess<strong>en</strong>tiel <strong>de</strong> rappeler que VHDL-AMS est un langage <strong>de</strong> <strong>de</strong>scription et pas un langage<strong>de</strong> <strong>simulation</strong>. Un langage <strong>de</strong> <strong>simulation</strong> doit permettre <strong>de</strong> définir aussi bi<strong>en</strong> les modèles quel’outil <strong>de</strong> <strong>simulation</strong>. VHDL-AMS est <strong>de</strong>stiné à la <strong>de</strong>scription <strong>de</strong>s <strong>circuits</strong> et pasnécessairem<strong>en</strong>t à <strong>leur</strong> <strong>simulation</strong>. Il est <strong>de</strong> ce fait indép<strong>en</strong>dant <strong>de</strong> l’outil auquel on souhaitel’associer, bi<strong>en</strong> que certaines instructions (comme BREAK) soi<strong>en</strong>t <strong>de</strong>stinées aux noyaux <strong>de</strong><strong>simulation</strong>. A ce jour, aucun <strong>de</strong>s outils du marché ne traite la totalité <strong>de</strong> la norme, donccertains modèles écrit <strong>en</strong> respectant la norme pourront être compilés mais pas simulés par lessimulateurs ou traités seulem<strong>en</strong>t par certains. Ainsi chaque logiciel <strong>de</strong> <strong>simulation</strong> exploitantVHDL-AMS définit les instructions et déclarations qu’il supporte, comme par exemple leslogiciels AdvanceMS [AMS] ou Hamster [HAM] (voir la liste <strong>en</strong> Annexe 5).4.5.3 Particularités.Il est intéressant <strong>de</strong> souligner que VHDL-AMS, ainsi que VHDL, possè<strong>de</strong> dans sa sémantique<strong>de</strong>s instructions propres à un HDL permettant le traitem<strong>en</strong>t du temps mais égalem<strong>en</strong>t <strong>de</strong>svariables et procédures comme dans un langage informatique. Avec VHDL-AMS, on disposeà la fois d’un HDL et d’un langage classique. Cela permet d’avoir une gran<strong>de</strong> souplesse dansla <strong>de</strong>scription <strong>de</strong>s modèles ainsi que <strong>de</strong> multiples façons <strong>de</strong> les écrire tout <strong>en</strong> bénéficiant <strong>de</strong> larigueur imposée par la norme.Toutes ces caractéristiques font <strong>de</strong> VHDL-AMS un langage relativem<strong>en</strong>t puissant maiscomplexe ce qui peut r<strong>en</strong>dre son appr<strong>en</strong>tissage assez laborieux. Toutefois il peut être utilisépour faciliter la génération <strong>de</strong> modèle via une interface graphique.110 / 213


Chapitre 3 : Modélisation4.5.4 Structure d’un modèle VHDL-AMS.Pour l’ess<strong>en</strong>tiel, un modèle est constitué <strong>de</strong> <strong>de</strong>ux parties :La spécification <strong>de</strong> l’<strong>en</strong>tité (mot clé ENTITY) qui définit la <strong>vue</strong> extérieure du composant, soninterface avec le mon<strong>de</strong> extérieur grâce aux mots clés GENERIC et PORT. GENERIC : définit les paramètres génériques du composant (constantes à va<strong>leur</strong>sdifférées). PORT : interface <strong>de</strong> connexion avec l’extérieur, permet d’échanger <strong>de</strong>s informations.La <strong>de</strong>scription <strong>de</strong> la fonction du modèle dans la partie Architecture (mot cléARCHITECTURE), où l’on définit l’implém<strong>en</strong>tation du composant. Cette <strong>de</strong>scription peut sefaire sous forme d’instanciation <strong>de</strong> composants, d’instructions concurr<strong>en</strong>tes ou simultanées <strong>en</strong>manipulant les va<strong>leur</strong>s disponibles via les ports ou reçues <strong>en</strong> paramètres.Il est intéressant <strong>de</strong> noter que pour un modèle, la norme permet d’associer plusieursarchitecture à une déclaration d’<strong>en</strong>tité. Elles peuv<strong>en</strong>t correspondre à la <strong>de</strong>scription ducomposant selon différ<strong>en</strong>ts niveaux d’abstraction par exemple. C’est à l’instanciation ducomposant que l’on choisira l’architecture adaptée.La structure générale d’un modèle [VHD1] est :Ouverture <strong>de</strong> bibliothèque (LIBRARY).Déclaration d’utilisation du cont<strong>en</strong>u <strong>de</strong>s bibliothèques ouvertes (USE).Spécification d’<strong>en</strong>tité (ENTITY).Définition <strong>de</strong>s paramètres génériques (GENERIC).Définition <strong>de</strong>s ports <strong>de</strong> connexion possibles (PORT).SIGNAL (in/out) support <strong>de</strong>s informations à événem<strong>en</strong>ts discrets.QUANTITY (in/out) support <strong>de</strong>s informations signal-flow.TERMINAL support <strong>de</strong> connexions « Kirchhoff ».Corps <strong>de</strong> l’<strong>en</strong>tité.Ensemble d’instructions passives.Architecture <strong>de</strong> l’<strong>en</strong>tité.Zone <strong>de</strong> déclaration.Corps <strong>de</strong> l’architecture.Instanciations <strong>de</strong> composants [support <strong>de</strong> la hiérarchie].Instructions concurr<strong>en</strong>tes (dont les process) [traitem<strong>en</strong>t à l’événem<strong>en</strong>t discret].Instructions simultanées [traitem<strong>en</strong>t temps continu].111 / 213


Chapitre 3 : Modélisation4.5.5 Exemple <strong>de</strong> modèle VHDL-AMS : résistance idéale.Ce modèle <strong>de</strong> résistance relativem<strong>en</strong>t classique reçoit <strong>en</strong> paramètre la va<strong>leur</strong> <strong>de</strong> la résistance.Ses ports sont ses <strong>de</strong>ux bornes. Dans l’architecture, on décrit la loi d’Ohm au sein ducomposant.----------------------------------------------------------------- résistance idéale---- p o----/\/\/\----o m-- R------------------------------------------------------------------déclaration <strong>de</strong>s bibliothèquesLIBRARY DISCIPLINES;LIBRARY IEEE;--utilisation <strong>de</strong>s bibliothèquesUSE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;USE IEEE.MATH_REAL.ALL;-- <strong>de</strong>claration d’<strong>en</strong>titéENTITY fl_resistance ISGENERIC (r :real:= 1.00); --Paramètres génériquePORT ( TERMINAL RIN, ROUT: ELECTRICAL); -- port du composantsEND fl_resistance;-- <strong>de</strong>claration <strong>de</strong> l’architectureARCHITECTURE resistance_BODY OF fl_resistance ISquantity vr across ir through RIN to ROUT;BEGINir == vr / r;END resistance_BODY;Fig. 46 Modèle VHDL-AMS d’une résistance idéale.4.5.6 Faisabilité <strong>de</strong> la traduction.Un point important dans le choix du langage est la faisabilité <strong>de</strong> la traduction. L’utilisateurfamilier <strong>de</strong>s langages informatiques, ne connaissant pas la norme VHDL-AMS, établira assezfacilem<strong>en</strong>t l’analogie avec un langage <strong>de</strong> programmation structurée ou ori<strong>en</strong>tée objet. Eneffet, VHDL à été développé <strong>en</strong> s’inspirant assez ouvertem<strong>en</strong>t du langage ADA. On yretrouve une partie <strong>de</strong> déclarations (mot clé INTERFACE <strong>en</strong> Delphi) qui regroupe les appels<strong>de</strong>s bibliothèques, les déclarations <strong>de</strong>s différ<strong>en</strong>tes classes d’objets, <strong>de</strong>s types, <strong>de</strong>s variables,<strong>de</strong>s constantes. De même, il existe aussi une partie implém<strong>en</strong>tation (mot cléIMPLEMENTATION <strong>en</strong> Delphi) où les corps <strong>de</strong>s classes d’objets et <strong>de</strong>s fonctions sontdécrits.D’autre part, est-il possible d’utiliser un langage <strong>de</strong> <strong>de</strong>scription comportem<strong>en</strong>tale pour unsimulateur à primitives comme le nôtre ? Des travaux dans ce s<strong>en</strong>s ont été m<strong>en</strong>és avec succès.Notamm<strong>en</strong>t les travaux <strong>de</strong> thèse d’O. ALALI [ALA98] et <strong>de</strong> S. JEMMALI [JEM03] qui ontabouti à la réalisation d’un traducteur VHDL-AMS vers SPICE. Notre simulateur comporte<strong>de</strong>s primitives comme SPICE et possè<strong>de</strong> <strong>de</strong> plus <strong>de</strong>s possibilités <strong>de</strong> <strong>de</strong>scription ducomportem<strong>en</strong>t séqu<strong>en</strong>tiel. La faisabilité <strong>de</strong> cette traduction semble donc raisonnable.112 / 213


Chapitre 3 : Modélisation4.6 Solution ret<strong>en</strong>ue : le langage VHDL-AMS.Les langages XML, EDA_XML et Schnei<strong>de</strong>rML existants et se rapprochant le plus <strong>de</strong> nospréoccupations sont intéressants à bi<strong>en</strong> <strong>de</strong>s égards par la facilité <strong>de</strong> <strong>leur</strong> mise <strong>en</strong> œuvre, maisne sont pas utilisables <strong>en</strong> l’état. Ils doiv<strong>en</strong>t être <strong>en</strong>richis pour supporter notre besoin <strong>de</strong>modélisation plus complexe.A court terme, VHDL-AMS apparaît donc comme la plus adaptée à notre problématique. Bi<strong>en</strong>qu’issue <strong>de</strong> l’électronique, cette norme réc<strong>en</strong>te (1999) n’est pas restreinte à ce domaine etpermet <strong>de</strong> décrire <strong>de</strong>s composants avec <strong>de</strong> multiples possibilités <strong>en</strong> pr<strong>en</strong>ant <strong>en</strong> considération<strong>de</strong>s comportem<strong>en</strong>ts continus et discrets. On peut donc <strong>de</strong> modéliser l’évolution séqu<strong>en</strong>tielled’un système tel que nous l’avons fait grâce à <strong>de</strong>s modèles Delphi. De plus, l’introduction <strong>de</strong>la modélisation multi-domaines <strong>de</strong> VHDL-AMS est intéressante pour la prise <strong>en</strong> compte <strong>de</strong>phénomènes thermiques ou <strong>en</strong>core la modélisation <strong>de</strong> composants hydrauliques,pneumatiques ou <strong>en</strong>core électropneumatique. Le principal inconvéni<strong>en</strong>t lié à VHDL-AMS estsa complexité qui vi<strong>en</strong>t <strong>en</strong> opposition avec les principes <strong>de</strong> simplicité et <strong>de</strong> fonctionnem<strong>en</strong>tintuitif qui ont été mis <strong>en</strong> place dans le simulateur. Pour cela, nous <strong>en</strong>visageons <strong>de</strong> développerdans une phase ultérieure <strong>de</strong>s outils graphiques permettant <strong>de</strong> produire un modèle VHDL-AMS utilisable par le simulateur.113 / 213


Chapitre 3 : Modélisation5 Traduction <strong>de</strong>s modèles Delphi vers VHDL-AMS.Rappelons tout d’abord que la finalité <strong>de</strong> l’utilisation <strong>de</strong> VHDL-AMS comme langage <strong>de</strong>modélisation n’est pas d’ét<strong>en</strong>dre le pot<strong>en</strong>tiel du simulateur <strong>en</strong> exploitant toutes les possibilitésdu langage mais <strong>de</strong> disposer d’un moy<strong>en</strong> <strong>de</strong> formaliser la <strong>de</strong>scription <strong>de</strong>s composants <strong>en</strong> sebasant sur une norme internationale et reconnue. Nous utiliserons donc ce langage pourdécrire les modèles <strong>de</strong> nos composants plutôt que l’emploi actuel du langage Delphi. Ladémarche prés<strong>en</strong>tée ici consiste à définir les principes <strong>de</strong> la traduction Delphi vers VHDL-AMS.La finalité <strong>de</strong> cette traduction Delphi vers VHDL-AMS est d’une part <strong>de</strong> r<strong>en</strong>dre plusaccessible les modèles et d’autre part <strong>de</strong> vali<strong>de</strong>r la faisabilité <strong>de</strong> la traduction inverse VHDL-AMS vers Delphi. Disposant d’une bibliothèque <strong>de</strong> modèles VHDL-AMS et <strong>de</strong> <strong>leur</strong>équival<strong>en</strong>t Delphi, il sera plus facile <strong>de</strong> développer, dans une phase ultérieure, les outilsréalisant la traduction inverse. Ainsi, les modèles VHDL-AMS définis par l’utilisateur serontr<strong>en</strong>dus compréh<strong>en</strong>sibles au simulateur.Simultaném<strong>en</strong>t, nous définissons le sous-<strong>en</strong>semble <strong>de</strong> la norme qui sera exploité par lesimulateur.Note : pour faciliter la lecture <strong>de</strong>s différ<strong>en</strong>ts modèles prés<strong>en</strong>tés (Delphi ou VHDL-AMS) nousreprés<strong>en</strong>tons les mots clés du langage <strong>en</strong> gras et les comm<strong>en</strong>taires <strong>en</strong> italique.5.1 Démarche <strong>de</strong> traduction.Dans le simulateur, on distingue <strong>de</strong>ux gran<strong>de</strong>s classes d’élém<strong>en</strong>ts électriques : Les composants qui sont les briques <strong>de</strong> base constituant tout circuit. Parmi cescomposants, on va trouver :o Les primitives qui sont les composants les plus élém<strong>en</strong>taires du simulateur.o Les composants dérivés <strong>de</strong> ces primitives qui repr<strong>en</strong>n<strong>en</strong>t le comportem<strong>en</strong>t <strong>de</strong><strong>leur</strong> ancêtre <strong>en</strong> <strong>leur</strong> ajoutant <strong>de</strong>s propriétés particulières. C’est par exemple lecas <strong>de</strong> la source <strong>de</strong> t<strong>en</strong>sion carré qui dérive <strong>de</strong> la primitive « source <strong>de</strong>t<strong>en</strong>sion ». Les <strong>circuits</strong> qui sont réalisés à partir d’un assemblage <strong>de</strong> ces composants, auxquels onpeut ajouter un comportem<strong>en</strong>t séqu<strong>en</strong>tiel.5.2 Test et validation <strong>de</strong>s modèles VHDL-AMS.Afin <strong>de</strong> pouvoir vérifier que chaque modèle traduit est correct, il est nécessaire <strong>de</strong> pouvoir letester. Etant donné que notre outil n’accepte pas <strong>en</strong>core le langage VHDL-AMS, nous <strong>de</strong>vonsdisposer d’un autre simulateur pour vali<strong>de</strong>r ces modèles. Pour cela nous disposons d’uneversion d’évaluation du logiciel hAMSter (version 2.0) [HAM] fonctionnant sous Windows.Pour qu’un modèle puisse être validé, il est nécessaire que les primitives qu’il instancie soi<strong>en</strong>tdéfinies et que <strong>de</strong>s bancs <strong>de</strong> test (test b<strong>en</strong>ch) utilis<strong>en</strong>t ces modèles dans <strong>de</strong>s conditionsanalogues à celles que l’on peut r<strong>en</strong>contrer dans notre simulateur.Notons bi<strong>en</strong> que la validation concerne la traduction du modèle et non pas la modélisationelle-même. Le modèle traduit doit exprimer le même comportem<strong>en</strong>t que celui décritinitialem<strong>en</strong>t <strong>en</strong> Delphi. La validation du comportem<strong>en</strong>t est traitée dans le chapitre 4(validation <strong>de</strong>s modèles).114 / 213


Chapitre 3 : Modélisation5.3 Modélisation Delphi.Dans un modèle Delphi, la <strong>de</strong>scription du comportem<strong>en</strong>t peut pr<strong>en</strong>dre trois formes différ<strong>en</strong>tes.Type <strong>de</strong><strong>de</strong>scriptionImplém<strong>en</strong>tationdu composantdans les matricesStructure d’uncircuitComportem<strong>en</strong>tévénem<strong>en</strong>tiel <strong>de</strong>l’élém<strong>en</strong>tCompositionTraduction <strong>de</strong>s lois<strong>de</strong> Kirchhoff sousforme matricielleAssemblage <strong>de</strong>plusieurscomposants ou<strong>circuits</strong>, défini par<strong>leur</strong>sinterconnexions(netlist)Décrit la réactiond’un élém<strong>en</strong>t à unnouvel événem<strong>en</strong>t,généralem<strong>en</strong>tchangem<strong>en</strong>t d’étatlogiqueMétho<strong>de</strong> <strong>de</strong>sobjetsMétho<strong>de</strong>SetMatriceConstructeur<strong>de</strong>s objets(métho<strong>de</strong>create)Métho<strong>de</strong>OnNewEv<strong>en</strong>tConcerneUniquem<strong>en</strong>t lesprimitivesUniquem<strong>en</strong>t les<strong>circuits</strong>Les <strong>circuits</strong> ou lescomposants dérivés<strong>de</strong>s primitives maispas les primitivesNoteComportem<strong>en</strong>tpurem<strong>en</strong>tanalogiqueUtiliséuniquem<strong>en</strong>tpar l’analyseévénem<strong>en</strong>tielleTab. 16 Formes <strong>de</strong> la <strong>de</strong>scription du comportem<strong>en</strong>tSeuls les <strong>de</strong>ux <strong>de</strong>rniers types <strong>de</strong> <strong>de</strong>scriptions doiv<strong>en</strong>t être traduits. L’utilisateur n’aura pas lapossibilité <strong>de</strong> modifier les primitives ni d’<strong>en</strong> ajouter <strong>de</strong> nouvelles. Il pourra par contre définir<strong>de</strong> nouveau <strong>circuits</strong> et <strong>de</strong> nouveau comportem<strong>en</strong>ts séqu<strong>en</strong>tiels.5.4 Organisation <strong>de</strong> la traduction.Nous avons vu précé<strong>de</strong>mm<strong>en</strong>t que pour notre simulateur, il était nécessaire <strong>de</strong> traduire lesmodèles <strong>de</strong> tous les élém<strong>en</strong>ts électriques sauf les primitives. Toutefois, pour vali<strong>de</strong>r cesmodèles, il faut traduire ces primitives et écrire <strong>de</strong>s bancs <strong>de</strong> test pour les vali<strong>de</strong>r avec lesimulateur hAMSter. La traduction s’est faite <strong>en</strong> suivant ces étapes. Traduction <strong>de</strong> toutes les primitives. Traduction <strong>de</strong>s modèles les plus complexes. Pour chaque modèle traduit, création d’un testb<strong>en</strong>ch, <strong>simulation</strong> et validation.5.4.1 Traduction <strong>de</strong>s primitives.Avant <strong>de</strong> démarrer la traduction <strong>de</strong>s modèles plus complexes, il est ess<strong>en</strong>tiel <strong>de</strong> pouvoirdisposer <strong>de</strong>s briques <strong>de</strong> base que constitu<strong>en</strong>t les primitives. Celles-ci, font définitivem<strong>en</strong>tpartie intégrante du simulateur et <strong>leur</strong> traduction n’a d’autre but que la validation <strong>de</strong>s modèlesplus complexes dans un <strong>en</strong>vironnem<strong>en</strong>t extérieur à notre simulateur.Les primitives ainsi traduites sont classées dans <strong>de</strong>ux catégories, les dipôles et lesquadripôles.115 / 213


Chapitre 3 : ModélisationLes dipôles traduits sont : Dipôles passifs élém<strong>en</strong>taires idéaux :o Résistance.o Inductance.o Con<strong>de</strong>nsateur.Dipôles actifs :o Source <strong>de</strong> t<strong>en</strong>sion sinusoïdale.o Source <strong>de</strong> courant sinusoïdale.o Source <strong>de</strong> t<strong>en</strong>sion rectangle.Les quadripôles traduits sont : Sources contrôlées ;o STCT : Source <strong>de</strong> t<strong>en</strong>sion contrôlée <strong>en</strong> t<strong>en</strong>sion.o STCC : Source <strong>de</strong> t<strong>en</strong>sion contrôlée <strong>en</strong> courant.o SCCC : Source <strong>de</strong> courant contrôlée <strong>en</strong> courant.o SCCT : Source <strong>de</strong> courant contrôlée <strong>en</strong> t<strong>en</strong>sion. Transformateur idéal.TElem<strong>en</strong>tTComposantPrimitives du simulateurEn gris, classes abstraitesEn blanc, classes concrètesTDipoleTQuadripoleTDipoleActifTDipolePassifTTransfoTSourceControleeTT<strong>en</strong>sionTCourantTT<strong>en</strong>sionCarreeTCapacite TInductance TResistanceTGtctTGtccTGcctTGcccFig. 47 Héritage <strong>de</strong>s classes d’objets correspondant aux primitives du simulateurNotons que ces composants rest<strong>en</strong>t implém<strong>en</strong>tés dans le simulateur sous forme d’objetshéritant <strong>de</strong> la classe Delphi TComposant (voir les diagrammes <strong>de</strong>s classes ci <strong>de</strong>ssus).A priori, comme les modèles VHDL-AMS <strong>de</strong>s primitives ne seront pas intégrées ausimulateur, nous n’avons pas <strong>de</strong> contrainte particulière concernant <strong>leur</strong> écriture qui permettraitd’assurer <strong>leur</strong> traduction <strong>en</strong> Delphi. Nous avons alors obt<strong>en</strong>u <strong>de</strong>s modèles qui pour certainssont assez proches <strong>de</strong> ceux que l’on trouve dans la littérature. Pour exemple, ci <strong>de</strong>ssous unmodèle <strong>de</strong> résistance idéale issu <strong>de</strong>s exemples proposés avec le logiciel « VamspiceDesigner » [JEM03]. Dans la déclaration d’<strong>en</strong>tité, on trouve comme paramètre générique(GENERIC) la va<strong>leur</strong> <strong>de</strong> la résistance et dans les ports (PORT), 2 terminaux (TERMINAL) <strong>de</strong>nature électrique (Electrical) qui sont les bornes du composant. Enfin, <strong>en</strong> architecture, lesrelations t<strong>en</strong>sions – courant au sein du composant sont décrites par sa loi d’Ohm.116 / 213


Chapitre 3 : Modélisation-- VamSpiceDesigner Copyright (c) 2002 GET-- Created by ENST / COMELEC Departm<strong>en</strong>t -- All rights reserved-- VHDL-AMS automatically g<strong>en</strong>erated from facet resistance{ic}-- and new facet name is AMS_resistance_1036007246{ic}-- Modif : S.JEMMALI-- Date : Mon Nov 04 16:18:51 2002ENTITY ams_resistance_1036007246 ISGENERIC (r :real:= 1.00);PORT (TERMINAL RIN, ROUT: Electrical);END ams_resistance_1036007246;ARCHITECTURE ams_resistance_1036007246_BODY OF ams_resistance_1036007246 ISquantity vr across ir through RIN to ROUT;BEGINir == vr / r;END ams_resistance_1036007246_BODY;Fig. 48 Modèle VHDL-AMS d’un con<strong>de</strong>nsateur dans VamspiceDesignerCe modèle est relativem<strong>en</strong>t satisfaisant pour les cas les plus courants mais s’est révélécontraignant par la suite. En effet, <strong>en</strong> r<strong>en</strong>seignant la va<strong>leur</strong> <strong>de</strong> la résistance on obti<strong>en</strong>t unmodèle relativem<strong>en</strong>t clair mais ce paramètre ne peut recevoir dans l’<strong>en</strong>vironnem<strong>en</strong>t hAMSterqu’une va<strong>leur</strong> constante. Or dans certains cas d’utilisation, comme le modèle dupot<strong>en</strong>tiomètre décrit plus loin, on peut vouloir affecter une va<strong>leur</strong> à ce paramètre qui soit lerésultat d’un calcul stocké dans une QUANTITY. Ceci justifie le fait que nous ayonsfinalem<strong>en</strong>t ret<strong>en</strong>u un modèle ou la va<strong>leur</strong> <strong>de</strong> la résistance n’apparaît plus <strong>en</strong> GENERIC maiscomme une QUANTITY <strong>de</strong> PORT.----------------------------------------------------------------- résistance idéale---- p o----/\/\/\----o m-- R----------------------------------------------------------------------LIBRARY DISCIPLINES;LIBRARY IEEE;USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;USE IEEE.MATH_REAL.ALL;ENTITY fl_resistance ISPORT ( QUANTITY r :real:= 1.00;TERMINAL RIN, ROUT: ELECTRICAL);END fl_resistance;ARCHITECTURE resistance_BODY OF fl_resistance ISQuantity vr across ir through RIN to ROUT;BEGINir == vr / r;END resistance_BODY;Fig. 49 Modèle <strong>de</strong> résistance avec sa va<strong>leur</strong> <strong>en</strong> QUANTITY <strong>de</strong> PORTCe principe peu habituel permet d’obt<strong>en</strong>ir une plus gran<strong>de</strong> souplesse dans le paramétrage <strong>de</strong>smodèles et <strong>de</strong> <strong>leur</strong> imbrication, bi<strong>en</strong> que cela les r<strong>en</strong><strong>de</strong> moins lisibles et plus complexes àinstancier. Mais cette notion <strong>de</strong> souplesse est ess<strong>en</strong>tielle pour notre simulateur. C’est pourquoinous l’avons <strong>en</strong>suite généralisé à l’<strong>en</strong>semble <strong>de</strong> nos modèles.117 / 213


Chapitre 3 : Modélisation5.4.2 Ecriture <strong>de</strong>s bancs <strong>de</strong> test.Quel que soit le simulateur ou le langage employé, l’écriture d’un modèle doit êtreaccompagnée <strong>de</strong> l’écriture d’un circuit <strong>de</strong> test (testb<strong>en</strong>ch) qui intègre le composant modélisé.Ce banc <strong>de</strong> test doit permettre à chacun <strong>de</strong> vérifier la validité du modèle dans <strong>de</strong>s conditions<strong>de</strong> fonctionnem<strong>en</strong>t données. Dans notre cas, il s’agira <strong>de</strong> la <strong>de</strong>scription VHDL-AMS d’uncircuit intégrant le modèle à tester et généralem<strong>en</strong>t une excitation et d’autres composants.L’association Beams [BEA] dont un <strong>de</strong>s buts est <strong>de</strong> promouvoir la modélisationcomportem<strong>en</strong>tale, notamm<strong>en</strong>t via VHDL-AMS, propose une démarche pour la validation et lapublication <strong>de</strong>s modèles On <strong>en</strong> retrouve le détail <strong>en</strong> Annexe 6. Cette métho<strong>de</strong> <strong>de</strong>man<strong>de</strong> une<strong>de</strong>scription assez exhaustive du modèle validé par différ<strong>en</strong>ts testb<strong>en</strong>ch comm<strong>en</strong>tés. Cetterigueur est nécessaire dans une optique <strong>de</strong> diffusion et <strong>de</strong> validation <strong>de</strong> modèle. Dans notrecas, nous souhaitons vérifier la traductibilité <strong>de</strong>s modèles et <strong>leur</strong> bon fonctionnem<strong>en</strong>t. Nousnous sommes donc limités à un testb<strong>en</strong>ch pour chaque modèle reproduisant <strong>de</strong>s conditionsd’essai équival<strong>en</strong>t à celle <strong>de</strong> Simul’Elec.Ici <strong>en</strong>core, comme pour la modélisation <strong>de</strong>s primitives, nous n’avons pas <strong>de</strong> contraintesconcernant la modélisation <strong>de</strong>s bancs <strong>de</strong> test. En effet, ils ne sont utilisés que dans l’outilhAMSter pour vali<strong>de</strong>r nos modèles. Toutefois, les <strong>circuits</strong> qu’ils décriv<strong>en</strong>t doiv<strong>en</strong>t pouvoirêtre reproduits dans notre simulateur afin d’obt<strong>en</strong>ir <strong>de</strong>s résultats comparables et ainsi vali<strong>de</strong>rle modèle traduit. En considérant le fait que pour Simul’Elec, le régime établi par défaut estsinusoïdal, le testb<strong>en</strong>ch comportera généralem<strong>en</strong>t une excitation <strong>de</strong> ce type. Notons d’ail<strong>leur</strong>sque les résultats d’une <strong>simulation</strong> <strong>en</strong> régime établi sinusoïdal <strong>de</strong> Simul’Elec seront comparés à<strong>de</strong>s résultats <strong>de</strong> l’analyse transitoire (TR) <strong>de</strong> l’outil hAMSter, car ce <strong>de</strong>rnier ne dispose pas <strong>de</strong>mo<strong>de</strong> d’analyse AC.5.4.3 Modélisation d’élém<strong>en</strong>ts complexes.Nous avons vu précé<strong>de</strong>mm<strong>en</strong>t que les élém<strong>en</strong>ts concernés par la traduction sont : Les composants dérivés <strong>de</strong>s primitives. Les <strong>circuits</strong>.Dans la modélisation Delphi, les relations <strong>en</strong>tre ces élém<strong>en</strong>ts et les primitives sontrespectivem<strong>en</strong>t : Héritage pour les dérivés <strong>de</strong>s primitives. Composition pour les <strong>circuits</strong>.On peut traduire les li<strong>en</strong>s <strong>de</strong> composition par la définition structurelle du modèle, via un<strong>en</strong>etlist (liste d’élém<strong>en</strong>ts interconnectés). Par contre, on ne peut pas traduire la relationd’héritage car c’est une notion propre aux langages <strong>de</strong> programmation ori<strong>en</strong>tés objet que l’onne trouve pas dans VHDL-AMS. Toutefois, on peut considérer qu’un élém<strong>en</strong>t dérivé d’uneprimitive est un circuit cont<strong>en</strong>ant un unique composant qui est cette primitive, auquel on vaajouter certaines propriétés. Pour pr<strong>en</strong>dre un exemple simple, on peut considérer le cas dumodèle d’un fil électrique qui dérive d’une résistance lorsqu’il est modélisé <strong>en</strong> Delphi et estun circuit lorsqu’il est modélisé <strong>en</strong> VHDL-AMS.118 / 213


Chapitre 3 : Modélisation-------------------------------------------------- Modèle <strong>de</strong> fil électrique <strong>en</strong> cuivre dont on pr<strong>en</strong>d-- <strong>en</strong> compte la résistance------------------------------------------------LIBRARY DISCIPLINES;LIBRARY IEEE;USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL ;USE IEEE.MATH_REAL.ALL;<strong>en</strong>tity FL_Fil_elec isPORT( quantity Longueur : real ; -- longueur du fil <strong>en</strong> mquantity section : real ; -- section du fil <strong>en</strong> m²terminal p,m : electrical) ;<strong>en</strong>d;architecture FL_Fil_elec_body of FL_Fil_elec isquantity res : real := 10.0e-6 ;constant ro : real := 1.72 * 10.0e-8; -- resistivité du cuivre Ohm.mbeginif (longueur /= 0.0) and (section /= 0.0) useres == ro*Longueur/section ;elseres == 10.0e-6 ; --évite les résistances nulles, les div par 0<strong>en</strong>d use;Rfil : ENTITY Fl_resistance PORT MAP(res, p,m) ;-- instanciation d'une résistance idéale<strong>en</strong>d;Fig. 50 Modèle VHDL-AMS d’un fil électrique5.4.4 Composition d’un modèle Simul’Elec.En suivant les principes définis précé<strong>de</strong>mm<strong>en</strong>t, chaque modèle à décrire sera une netlistd’élém<strong>en</strong>ts électriques (composants ou <strong>circuits</strong>) à laquelle sont ajoutées certaines propriétés.Parmi ces propriétés, on distingue : Une liste <strong>de</strong> paramètres « externes » et <strong>leur</strong> correspondance avec les paramètres« internes » <strong>de</strong>s élém<strong>en</strong>ts qui constitu<strong>en</strong>t le modèle. La <strong>de</strong>scription du comportem<strong>en</strong>t séqu<strong>en</strong>tiel.Le tableau Tab. 17 suivant repr<strong>en</strong>d l’<strong>en</strong>semble <strong>de</strong>s différ<strong>en</strong>ts points composant un modèleDelphi et <strong>leur</strong> traduction possible <strong>en</strong> VHDL-AMS.Partie du modèle Description Delphi Description VHDL-AMSDéclaration <strong>de</strong>s paramètres Section interface : variables ENTITY :externes.privées.PORT QUANTITY ou GENERICRègles <strong>de</strong> correspondance <strong>en</strong>tre Métho<strong>de</strong> ChangeParamètres. ARCHITECTURE, avant ou àles paramètres externes et ceux <strong>de</strong>sl’instanciation <strong>de</strong>s composants.élém<strong>en</strong>ts.Définition <strong>de</strong> la netlist d’élém<strong>en</strong>ts. Constructeur du circuit.Métho<strong>de</strong> createARCHITECTURE à l’instanciation <strong>de</strong>scomposants.Définition <strong>de</strong>s ports <strong>de</strong> connexion Constructeur du circuit. ENTITYvers l’extérieur.Métho<strong>de</strong> createPORT TERMINAL.Définition <strong>de</strong>s nœuds internes. Constructeur du circuit. ARCHITECTUREDescription du comportem<strong>en</strong>tséqu<strong>en</strong>tiel.Métho<strong>de</strong> createMétho<strong>de</strong> OnNewEv<strong>en</strong>tTERMINALProcess par exemple.Tab. 17 Composition d’un modèle Delphi119 / 213


Chapitre 3 : ModélisationIl faut noter que pour un modèle Delphi, les ports <strong>de</strong> connections externes ou internes ne sontpas déclarés explicitem<strong>en</strong>t comme dans un modèle VHDL-AMS. C’est <strong>en</strong> réalisant la netlistqu’ils sont définis. Une borne nommée INTn (INT1, INT2…) est considérée comme uneborne interne alors qu’une borne nommée EXTn (EXT1, EXT2…) est un nœud externe ducircuit, traduit <strong>en</strong> VHDL-AMS par un port Terminal.De plus, la définition du comportem<strong>en</strong>t séqu<strong>en</strong>tiel (<strong>en</strong> Delphi : métho<strong>de</strong> OnNewEv<strong>en</strong>t)n’intervi<strong>en</strong>t que pour un événem<strong>en</strong>t. Il semble donc cohér<strong>en</strong>t <strong>de</strong> la traduire par un « process »dont la clause « wait » sera l’arrivée d’un nouvel événem<strong>en</strong>t. Nous avons toutefois à notredisposition <strong>de</strong> nombreuses possibilités pour exprimer ces relations.5.5 Correspondance <strong>en</strong>tre VHDL-AMS et Delphi.Ce tableau Tab. 18 définit <strong>de</strong>s règles <strong>de</strong> correspondance <strong>en</strong>tre VHDL-AMS et les modèlesDelphi <strong>de</strong> Simul’Elec. Il servira <strong>de</strong> base à l’élaboration du compilateur VHDL-AMS Simul’Elec. Cette liste ne repr<strong>en</strong>d pas les 108 mots clés <strong>de</strong> VHDL-AMS et ne traite pas les285 règles <strong>de</strong> la grammaire. Nous avons utilisé pour ce début <strong>de</strong> traduction la grammaireinteractive proposé <strong>en</strong> ligne [HER].On retrouve ici les termes, instructions, types standards ou déclarations qui pourront êtretraduits pour la génération <strong>de</strong> modèle Delphi. Cela constitue une première étape dans ladéfinition du sous-<strong>en</strong>semble <strong>de</strong> la norme VHDL-AMS que Simul’Elec pourra traiter. Nousdisposons avec VHDL-AMS et Delphi <strong>de</strong> <strong>de</strong>ux langages fortem<strong>en</strong>t typés. Ce typage fort estbi<strong>en</strong> plus important <strong>en</strong> VHDL-AMS notamm<strong>en</strong>t grâce à la prés<strong>en</strong>ce <strong>de</strong>s 6 classes d’objets quipermett<strong>en</strong>t le transport <strong>de</strong> l’information (CONSTANT, VARIABLE, SIGNAL,TERMINAL,QUANTITY, FILE). Il serait possible <strong>de</strong> définir ces classes d’objet <strong>en</strong> Delphipour que les modèles VHDL-AMS traduits <strong>en</strong> Delphi rest<strong>en</strong>t aussi rigoureux. Rappelonstoutefois qu’à partir du mom<strong>en</strong>t ou l’on dispose <strong>de</strong> la possibilité <strong>de</strong> décrire un modèle <strong>en</strong>VHDL-AMS, le modèle Delphi n’est plus qu’un intermédiaire <strong>de</strong> compilation compréh<strong>en</strong>siblepar le simulateur. Ainsi l’utilisateur n’écrit pas ses modèles <strong>en</strong> Delphi, la rigueur <strong>de</strong> lasémantique <strong>de</strong>s modèles Delphi n’est alors pas ess<strong>en</strong>tielle car ils seront toujours générés àpartir <strong>de</strong> modèles VHDL-AMS plus stricts dans <strong>leur</strong> expression.On peut voir égalem<strong>en</strong>t que certaines instructions n’ont pas trouvé d’équival<strong>en</strong>ce car pourcela le simulateur à besoin d’être modifié pour les supporter. Il convi<strong>en</strong>dra par la suite <strong>de</strong>justifier <strong>de</strong> la nécessité <strong>de</strong> cette ext<strong>en</strong>sion du simulateur. Rappelons que l’objectif premier <strong>de</strong>l’utilisation <strong>de</strong> VHDL-AMS est l’emploi d’un sous-<strong>en</strong>semble <strong>de</strong> ce langage pour décrire lesmodèles existant <strong>en</strong> Delphi et les modèles futurs <strong>en</strong> suivant une trame commune.VHDL AMSTypeSubtypeSignalBitBitVectorQuantityLibreLiéeDe portRealIntegerTimeAcrossThroughtINDELPHITypeTypeVariableBooleanArray of booleanVariableDoubleIntegerÀ définirPas d’équival<strong>en</strong>cePas d’équival<strong>en</strong>ceVariables traitées comme quantités libres120 / 213


Chapitre 3 : ModélisationOUTVariables traitées comme quantités libresConstantRealConstante non typéeIntegerConstante non typéeTimeConstante non typéeStringConstante non typéeTerminalPort déclaré <strong>en</strong> ENTITYNœud <strong>de</strong> connexion externe nom EXTn (EXT1, EXT2)Déclaré <strong>en</strong> ARCHITECTURENœud <strong>de</strong> connexion interne nom INTn (INT1, INT2)AttributQuantité‘IntegPas d’équival<strong>en</strong>ceQuantité ‘DotPas d’équival<strong>en</strong>ceQuantité ‘Above(t<strong>en</strong>sion)Function above(Quantité, t<strong>en</strong>sion)Function( ) Function( )Procedure( ) Procedure( )VariableDe procedureVariableDe proceduralVariableDe processVariableIf…use…else…<strong>en</strong>d use ;If…th<strong>en</strong>…else;If…th<strong>en</strong>…else…<strong>en</strong>d if;If…th<strong>en</strong>…else;Case…is…Wh<strong>en</strong>…Wh<strong>en</strong>…Other…End case; Case…Of…else…<strong>en</strong>d;For…g<strong>en</strong>erateFor…to…doFor…LoopFor…to…doAssertFonction assert à implém<strong>en</strong>terProcessENTITYDéclaration du modèleInterfaceEn architectureInstanciation du composantArray(…to…) of…Array[…,…] of…RangeSet ofRecordRecordAccessPointerNewDéclaration <strong>de</strong> pointeurBreak ?Alias ?Attribute ?Noise (bruit sur une quantité)Pas d’équival<strong>en</strong>ceTolérance (précision <strong>de</strong>s quantités)Sur réel : type simple, double, ext<strong>en</strong><strong>de</strong>d‘High Function High( )‘Low Function Low( )‘succ(i), ‘prec(i) ?‘Leftof(i), ‘Rightof(i) ?’Delayed ?‘Refer<strong>en</strong>ce ?Surcharge d’opérateur ex : function « + »Impossible <strong>en</strong> Delphi : définition <strong>de</strong> function ADD.Package ?ProceduralProcedureProcedureProcedureWait ?= = Pas d’équival<strong>en</strong>ce:= :=


Chapitre 3 : Modélisation5.6 Modèles traduits.La démarche <strong>de</strong> traduction s’est déroulée <strong>en</strong> <strong>de</strong>ux phases. Tout d’abord, une traduction« brute » du comportem<strong>en</strong>t <strong>de</strong> l’élém<strong>en</strong>t à partir <strong>de</strong> la connaissance du modèle. Pour cetteétape, on ne se préoccupe pas <strong>de</strong> l’implém<strong>en</strong>tation du modèle <strong>en</strong> Delphi. Cette première étapepermet d’une part d’acquérir les bases du langage VHDL-AMS et d’autre part <strong>de</strong> vérifier lafaisabilité <strong>de</strong> la traduction. Ainsi, nous pouvons écarter <strong>de</strong>s solutions ne fonctionnant pas oupeu satisfaisantes et <strong>en</strong> dégager d’autres correspondant mieux à nos besoins. Disposant <strong>de</strong>modèles ainsi traduits, on peut les modifier pour qu’ils respect<strong>en</strong>t la trame <strong>de</strong> modélisationdéfinie dans le paragraphe précé<strong>de</strong>nt et r<strong>en</strong>dre ainsi la traduction VHDL-AMS Delphi plusfacile. Notons que pour faire fonctionner l’<strong>en</strong>semble <strong>de</strong>s modèles traduits sous hAMSter, ilest nécessaire d’inclure certaines bibliothèques (voir Fig. 51 ).LIBRARY DISCIPLINES; -- définit les domaines technologiquesLIBRARY IEEE; -- définit les constantes, fonctionsstandard <strong>de</strong> la normeUSE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;USE IEEE.MATH_REAL.Fig. 51 Co<strong>de</strong> d’appel <strong>de</strong>s bibliothèques à placer <strong>en</strong> début <strong>de</strong> <strong>de</strong>scription sous hAMSter.5.6.1 Pot<strong>en</strong>tiomètre.Ce premier modèle relativem<strong>en</strong>t trivial permet <strong>de</strong> faire facilem<strong>en</strong>t le parallèle <strong>en</strong>tre le modèleDelphi et sa traduction VHDL-AMS. Ce pot<strong>en</strong>tiomètre est modélisé par <strong>de</strong>ux résistances <strong>en</strong>série. On ne connaît pas la va<strong>leur</strong> <strong>de</strong> chaque résistance, mais la résistance totale ducomposant, ainsi que la position du curseur <strong>en</strong> %. On notera que ce modèle ne comporte pas<strong>de</strong> <strong>de</strong>scription séqu<strong>en</strong>tielle <strong>de</strong> son comportem<strong>en</strong>t mais uniquem<strong>en</strong>t une <strong>de</strong>scriptionstructurelle. Le modèle Delphi donné ici à été modifié pour r<strong>en</strong>dre la parallèle plus évi<strong>de</strong>nt.Ainsi ce modèle Delphi peut être aussi bi<strong>en</strong> le modèle d’origine que le résultat <strong>de</strong> latraduction VHDL-AMS Delphi. Il faut noter que la prés<strong>en</strong>ce <strong>de</strong> la fonction« éditParametres » n’est pas utile à la modélisation mais est nécessaire à l’interface graphiquedu simulateur pour voir et modifier les paramètres.Pour vali<strong>de</strong>r l’écriture du modèle, nous utilisons un banc <strong>de</strong> test relativem<strong>en</strong>t simple quiapplique une t<strong>en</strong>sion sinusoïdale au pot<strong>en</strong>tiomètre. On observe la t<strong>en</strong>sion <strong>de</strong> la source et celleprés<strong>en</strong>te au curseur, et sa variation <strong>en</strong> fonction <strong>de</strong>s va<strong>leur</strong>s <strong>de</strong>s paramètres.ENTITY Testb<strong>en</strong>chPot ISEND Testb<strong>en</strong>chPot;ARCHITECTURE Testb<strong>en</strong>chPot_Body OFTestb<strong>en</strong>chPot ISTERMINAL n1,n2 ELECTRICAL;BEGINMon_Pot : ENTITYFL_POTENTIOMETRE_RtCur (Pot_BODY_RR)PORT MAP (1.0, 20.0, n1, n2,electrical_ground) ;Source : ENTITY fl_sineSourcePORT MAP ( n1, electrical_ground) ;END Testb<strong>en</strong>chPot_Body;Fig. 52 Testb<strong>en</strong>ch du pot<strong>en</strong>tiomètret<strong>en</strong>sion <strong>en</strong> Volt151050-5-10-150 0,0005 0,001 0,0015 0,002temps <strong>en</strong> sV curseur V sourceFig. 53 Courbes relevées avec hAMSter122 / 213


Chapitre 3 : Modélisationunit s_pot<strong>en</strong>tiometre;interfaceTPot<strong>en</strong>tiometre= class(TCircuit) // Modéle d'un pot<strong>en</strong>tiometre .privateRtotal, PosCur, // déclaration <strong>de</strong>s paramètres à stockerValR1, ValR2 :ext<strong>en</strong><strong>de</strong>d; // <strong>de</strong>s variables internesPot_R1: TResistance; // et <strong>de</strong>s élém<strong>en</strong>ts à instancierPot_R2: TResistance;publicconstructor create(name,ref,familly: string; Resist, PosCurseur : ext<strong>en</strong><strong>de</strong>d);procedure editParametres; overri<strong>de</strong>;procedure changeParametres(in<strong>de</strong>x : integer ; niouVal: ext<strong>en</strong><strong>de</strong>d); overri<strong>de</strong>;<strong>en</strong>d;implem<strong>en</strong>tationconstructor Tpot<strong>en</strong>tiometre.create( name,ref,amily: string; Resist, PosCurseur : ext<strong>en</strong><strong>de</strong>d);begininherited create(name,ref,familly, 3 ) ; // déclarations interne au simulateurRtotal := Resist ; // Stockage <strong>de</strong> la va<strong>leur</strong> <strong>de</strong> la résistancePosCur := PosCurseur ; // position du curseur// instanciation <strong>de</strong>s élém<strong>en</strong>ts (va<strong>leur</strong> temporaire)Pot_R1:= TResistance.create(‘R1’,’Pot<strong>en</strong>tiometre’,famille,resist);Pot_R2:= TResistance.create(‘R2’,’Pot<strong>en</strong>tiometre’,famille,resist);// connexions <strong>de</strong>s élém<strong>en</strong>tsajouTDipole(Pot_R1, ‘EXT1’, ‘EXT2’) ;ajouTDipole(Pot_R2, ‘EXT2’, ‘EXT3’) ;// initialisation <strong>de</strong>s paramètres (pour interface graphiqueeditParametres ;// calcul <strong>de</strong>s va<strong>leur</strong>s <strong>de</strong>s résistanceschangeParametres(0, resist);<strong>en</strong>d; // Tpot<strong>en</strong>tiometre.createPot<strong>en</strong>tiometreprocedure Tpot<strong>en</strong>tiometre.editParametres;begin // on définit le nom, l’unité et la va<strong>leur</strong> <strong>de</strong>s paramètres pour l’interface graphiqu<strong>en</strong>brParam:= 2;SetL<strong>en</strong>gth(TabParam,nbrParam);TabParam[0].nom := ‘Résistance’ ;TabParam[0].unite := ‘Ohm’;TabParam[0].va<strong>leur</strong> := Res ;TabParam[1].nom := ‘Position Curseur’ ;TabParam[1].unite := ‘%’;TabParam[1].va<strong>leur</strong> := Curseur ;<strong>en</strong>d; // Tpot<strong>en</strong>tiometre.editParametresprocedure Tpot<strong>en</strong>tiometre.changeParametres(in<strong>de</strong>x : integer; niouVal: ext<strong>en</strong><strong>de</strong>d);begininherited ; // permet d’ajuster les va<strong>leur</strong>s <strong>de</strong>s élém<strong>en</strong>ts quand uncase in<strong>de</strong>x of // <strong>de</strong>s paramètres est modifié0 : Res := niouVal ;1 : curseur := niouVal ;<strong>en</strong>d ; // Calcul <strong>de</strong>s va<strong>leur</strong>s <strong>de</strong>s ResistancesValR1 := Rtotal * PosCur / 100 ;ValR2 := Rtotal * (1 – PosCur / 100 ) ;Pot_r1.va<strong>leur</strong> := ValR1 ; // Affectation <strong>de</strong>s va<strong>leur</strong>s aux résistancesPot_r2.va<strong>leur</strong> := ValR2 ;<strong>en</strong>d; // Tpot<strong>en</strong>tiometre.changeParametres<strong>en</strong>d.Fig. 54 Modèle Delphi du pot<strong>en</strong>tiomètre123 / 213


Chapitre 3 : ModélisationENTITY FL_POTENTIOMETRE_RtCur ISPORT ( QUANTITY Rtotal : real := 1.0 ;-- résistance totaleQUANTITY PosCur : Real := 0.0 ; -- position du curseur <strong>en</strong> %TERMINAL Borne1, Curseur, Borne2 : ELECTRICAL); -- les 3 bornes du pot.-- Note : les paramètres <strong>de</strong>s composants sont <strong>de</strong>s port (quantity) et pas <strong>de</strong>s g<strong>en</strong>eric-- pour pouvoir <strong>leur</strong> transmettre une quantity : exemple ici du pot<strong>en</strong>tiomètre dont les-- résistances sont calculée à partir <strong>de</strong> la résistance totale et <strong>de</strong> la position du curseurEND FL_POTENTIOMETRE_RtCur;ARCHITECTURE Pot_BODY_RR OF FL_POTENTIOMETRE_RtCur IS-- pot<strong>en</strong>tiometre constitué <strong>de</strong> <strong>de</strong>ux resistances <strong>en</strong> seriequantity ValR1 , ValR2 : real ;BEGIN-- Calcul <strong>de</strong>s va<strong>leur</strong>s <strong>de</strong>s résistances composant le pot<strong>en</strong>tiomètreValR1 == Rtotal * PosCur / 100.0 ;ValR2 == Rtotal * (1.0 - (PosCur / 100.0)) ;-- Instanciation <strong>de</strong>s composantsPot_R1 : ENTITY fl_resistance PORT MAP (ValR1, Borne1, Curseur) ;Pot_R2 : ENTITY fl_resistance PORT MAP (ValR2, Curseur, Borne2) ;END Pot_BODY_RR;Fig. 55 Modèle VHDL-AMS du pot<strong>en</strong>tiomètre5.6.2 Autres modèles structurels simples.De la même manière, nous avons obt<strong>en</strong>u d’autres modèles structurels relativem<strong>en</strong>t simples.Nous n’avons pas jugé nécessaire <strong>de</strong> représ<strong>en</strong>ter pour chacun le modèle d’origine <strong>en</strong> Delphi.La métho<strong>de</strong> <strong>de</strong> traduction étant semblable à celle utilisée pour le pot<strong>en</strong>tiomètre, on sereportera <strong>en</strong> Annexe 7 où ces modèles sont détaillés. Ces modèles sont : Transformateur Réel. Source <strong>de</strong> t<strong>en</strong>sion alternative triphasée. Transformateur Réel.5.6.3 Modèles <strong>de</strong> relais et contacts.Dans Simul’Elec, nous avons modélisé séparém<strong>en</strong>t les composantes maîtres et esclaves <strong>de</strong>srelais. Ainsi, on dispose d’un modèle <strong>de</strong> bobine (maître) et <strong>de</strong> modèles <strong>de</strong> contactscorrespondants aux différ<strong>en</strong>ts types (NO, NF ou temporisés). L’état logique <strong>de</strong> la bobine sepropage aux contacts et fixe <strong>leur</strong> état. Pour la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électrotechnique ; il estess<strong>en</strong>tiel, comme on l’a développé <strong>en</strong> 3.4, <strong>de</strong> préserver cette séparation <strong>de</strong>s différ<strong>en</strong>tescomposantes du relais.5.6.3.1 Banc <strong>de</strong> test <strong>de</strong>s modèles.La relation maître-esclave que définit les référ<strong>en</strong>ces croisées est traduite <strong>en</strong> VHDL-AMS parle signal « State » qui représ<strong>en</strong>te l’état logique. C’est une <strong>en</strong>trée pour les contacts et une sortiepour le modèle <strong>de</strong> bobine. On retrouve la propagation <strong>de</strong> l’état dans le test b<strong>en</strong>ch par latransmission du signal boolé<strong>en</strong> représ<strong>en</strong>tant l’état.124 / 213


Chapitre 3 : ModélisationENTITY network ISENDARCHITECTURE behav OF network ISTERMINAL n1,n2,n3,N4: ELECTRICAL;SIGNAL km1state : bit := '0' ;SIGNAL Km1Tp : time := 0 ms ;SIGNAL newstate : bit := '0';BEGINSour : ENTITY fl_VoltSineSource(Veff_Freq_Deg)PORT MAP ( 20.0, 50.0, 0.0, N1, Electrical_ground) ;KM1Bob : ENTITY fl_relaisPORT MAP ( 0.1, 10.0 ,8.0, 1 ms, 0.5 ms,km1state,Km1Tp, N1, Electrical_ground);KM1Cont : ENTITY fl_Contact(Type_NF)PORT MAP ( 0.001, 5 ms, 3 ms,16Relay coil voltage (V)141210VH = 10 V8VL = 8 V6420-2 0 0,002 0,004 0,006 0,008 0,01 0,012time in secondsRelay coil state100 0,002 0,004 0,006 0,008 0,01 0,012time in secondskm1state,Km1Tp,newstate,N1,N2);RC : ENTITY fl_resistancePORT MAP (1000.0, N2, Electrical_ground);1TON = 20msRelay contact state (NC)TOFF = 15msEND;Fig. 56 TestB<strong>en</strong>ch du relais et <strong>de</strong>s contacts00 0,002 0,004 0,006 0,008 0,01 0,012time in secondsFig. 57 Résultat <strong>de</strong> <strong>simulation</strong> avec hAMSterLe principe <strong>de</strong> propagation <strong>de</strong> l’état logique tel qu’il est défini dans les modèles <strong>de</strong> la bobineet <strong>de</strong>s contacts nous amène à définir <strong>de</strong>ux signaux, Km1State et Km1Tp correspondantrespectivem<strong>en</strong>t à l’état logique du relais et au temps <strong>de</strong> propagation <strong>de</strong> l’état <strong>en</strong>tre la bobine etles contacts. On applique une <strong>de</strong>mi-sinusoï<strong>de</strong> à notre relais qui fonctionne <strong>en</strong> continu afind’observer les changem<strong>en</strong>ts d’état.5.6.3.2 Modèle <strong>de</strong> la bobine <strong>de</strong> relaisComme pour les modèles précé<strong>de</strong>nts, les paramètres du modèle (Fig. 58) apparaiss<strong>en</strong>t sousforme <strong>de</strong> quantité <strong>de</strong> port et non pas <strong>de</strong> paramètres génériques.Il possè<strong>de</strong> <strong>de</strong>ux signaux <strong>de</strong> sortie : State : état logique du relais. Il est conditionné par le passage du seuil haut ou bas <strong>de</strong>t<strong>en</strong>sion. TrTime : temps <strong>de</strong> propagation <strong>de</strong> l’état. Il indique le retard <strong>en</strong>tre le changem<strong>en</strong>t d’état <strong>de</strong>la bobine du relais et celui <strong>de</strong> ses contacts.On notera que ce modèle est valable uniquem<strong>en</strong>t si la t<strong>en</strong>sion est continue.L’état logique est synchronisé avec l’évolution <strong>de</strong> la t<strong>en</strong>sion. Ce sont uniquem<strong>en</strong>t les contactsqui subiss<strong>en</strong>t le temps <strong>de</strong> propagation. Le modèle Delphi, par contre, impose ce retard à labobine auxquels sont synchronisés les contacts.ENTITY FL_relais isPORT( QUANTITY L : real := 1.0 ; -- impédance <strong>de</strong> la bobineQUANTITY VH : real := 1.0 ; -- t<strong>en</strong>sion d'activationQUANTITY VL : real := 0.0 ; -- t<strong>en</strong>sion <strong>de</strong> désactivationSIGNAL TON : time := 20 ms; -- retard à l'activationSIGNAL TOFF : time := 15 ms; -- retard au reposSIGNAL State : out bit := '0' ; -- Etat du relaisSIGNAL trTime : out time := 0 ms ; -- retard à la transitionTERMINAL A1,A2 : electrical ); -- bornes <strong>de</strong> la bobineEND;125 / 213


Chapitre 3 : ModélisationARCHITECTURE FL_relais_body OF fl_relais ISQUANTITY Vb ACROSS Ib THROUGH A1 TO A2 ;BEGIN-- Comportem<strong>en</strong>t inductif du relaisVb == L * Ib'dot ;-- Détermination <strong>de</strong> l'état logiqueBREAK State => '1' WHEN Vb'above(vh);BREAK State => '0' WHEN NOT Vb'above(vl);-- temps <strong>de</strong> propagation <strong>de</strong> l'état logiqueBREAK TrTime => Ton WHEN Vb'above(vh);BREAK TrTime => Toff WHEN nOt Vb'above(vl);END;Fig. 58 Modèle VHDL-AMS <strong>de</strong> la bobine <strong>de</strong> relaisUnV onV offT<strong>en</strong>sion bobineUnV onV offT<strong>en</strong>sion bobineEtatbobineEtatbobineEtatcontactsEtatcontactsTonToffTonToffFig. 59 Changem<strong>en</strong>t d’état du relais avecle modèle VHDL-AMSFig. 60 Changem<strong>en</strong>t d’état du relais avecle modèle DelphiCette différ<strong>en</strong>ce <strong>en</strong>tre les <strong>de</strong>ux modèles n’est pas réellem<strong>en</strong>t problématique étant donné que lanotion d’état <strong>de</strong> la bobine n’as pas d’impact sur le comportem<strong>en</strong>t électrique. C’est uniquem<strong>en</strong>tl’état <strong>de</strong>s contacts que l’on peut observer et qui aura <strong>de</strong>s répercussions sur le circuit simulé.5.6.3.3 Modèle <strong>de</strong>s contacts.Les contacts modélisés dans Simul’Elec peuv<strong>en</strong>t être : Instantanés ou temporisés (à l’activation et/ou au repos). A fermeture (NO) ou à ouverture (NF).Nous avons fait le choix <strong>de</strong> développer un modèle VHDL-AMS (Fig. 61) permettant <strong>de</strong>couvrir les différ<strong>en</strong>ts cas possibles. En fait, on a toujours affaire à un contact temporisé àl’activation et au repos. Afin d’avoir un contact instantané, il suffit <strong>de</strong> fixer la va<strong>leur</strong> <strong>de</strong> Ton etToff à zéro. Pour déterminer si on utilise un contact NO ou NF, on dispose <strong>de</strong> <strong>de</strong>uxarchitectures « Type_NO » et « Type_NF ». On instancie donc le composant <strong>en</strong> choisissantl’architecture adaptée. On remarque que les <strong>de</strong>ux architectures sont i<strong>de</strong>ntiques dans ladétermination <strong>de</strong> l’état logique et diffèr<strong>en</strong>t seulem<strong>en</strong>t dans l’expression <strong>de</strong> l’effet électrique <strong>de</strong>cet état.Une autre solution permettant d’alléger ce modèle consisterait à ajouter une quantité <strong>de</strong> portprécisant si le contact est à ouverture ou à fermeture. Mais dans ce cas, on ne peut pas avoir lemême état initial pour la bobine et le contact <strong>en</strong> raison du temps <strong>de</strong> propagation.126 / 213


Chapitre 3 : ModélisationENTITY FL_contact isPORT( QUANTITY R : real := 1.0 ; -- résistance du contactSIGNAL TON , TOFF : time ; -- tempo à la fermeture-- et à l'ouvertureSIGNAL masterstate : IN bit ; -- état du composant maîtreSIGNAL tp: IN time; -- temps <strong>de</strong> propagationSIGNAL nioustate : inout bit ; -- état du contactTERMINAL n1,n2 : electrical ); -- bornes du contactEND;ARCHITECTURE Type_NO OF FL_contact IS-- architecture d'un contact à fermerture ( NO normalem<strong>en</strong>t ouvert)QUANTITY Vc ACROSS Ic THROUGH n1 TO n2 ;SIGNAL clk :BIT :='0' ; -- horloge <strong>de</strong> contrôle <strong>de</strong> l'étatSIGNAL <strong>de</strong>lai : time := 0 ms; -- retard au changem<strong>en</strong>t d'étatBEGINclk


Chapitre 3 : Modélisation5.7 Bilan <strong>de</strong> la traduction.Cette étape <strong>de</strong> traduction <strong>de</strong> Delphi vers VHDL-AMS nous permet d’établir les bases <strong>de</strong> latraduction inverse <strong>de</strong> VHDL-AMS vers Delphi qui sera réalisée ultérieurem<strong>en</strong>t. Nous avonspu vérifier la faisabilité <strong>de</strong> la traduction <strong>de</strong>s modèles et d’un certain nombre d’instructions dulangage. Une <strong>de</strong>s difficultés <strong>de</strong> cette traduction est qu’on doit la vali<strong>de</strong>r avec <strong>de</strong>s outilscomme hAMSter [HAM] qui ne trait<strong>en</strong>t pas la totalité <strong>de</strong> la norme, limitant ainsi le champ <strong>de</strong>spossibilités <strong>de</strong>s modèles traduit. Par contre dans le s<strong>en</strong>s inverse les modèles que l’on écrira <strong>en</strong>VHDL-AMS ne seront limités que par le respect <strong>de</strong> la norme et les possibilités <strong>de</strong> Simul’Elec.Nous avons constaté que l’on obti<strong>en</strong>t <strong>de</strong>s modèles plus clairs, plus lisible et plus facilem<strong>en</strong>tcompréh<strong>en</strong>sibles. Par contre, le fait d’utiliser un langage normalisé r<strong>en</strong>d la traduction ducomportem<strong>en</strong>t <strong>de</strong>s composants plus complexe, notamm<strong>en</strong>t pour les aspects événem<strong>en</strong>tiels. Eneffet la <strong>de</strong>scription du comportem<strong>en</strong>t doit être plus générale que celle faite <strong>en</strong> Delphi qui estplus proche du simulateur.128 / 213


Chapitre 4 : ValidationChapitre 4Validation.Ce chapitre traite <strong>de</strong> la validation <strong>de</strong>s modèles et <strong>de</strong>s mo<strong>de</strong>s d’analyses développés. Aprèsavoir conçu et réalisé le simulateur <strong>de</strong> <strong>circuits</strong> électrotechniques Simul’Elec et sa bibliothèque<strong>de</strong> modèle, il est indisp<strong>en</strong>sable d’estimer la précision <strong>de</strong>s résultats qu’il donne et <strong>de</strong> définir leslimites <strong>de</strong> sa validité.1 Objectifs <strong>de</strong> la validation.La validation est ess<strong>en</strong>tiellem<strong>en</strong>t ciblée sur les parties innovantes du simulateur (modèles <strong>de</strong>composants et mo<strong>de</strong>s d’analyse). Son objectif est <strong>de</strong> déterminer si le simulateur répond auxcritères d’exig<strong>en</strong>ce <strong>de</strong>s utilisateurs. Cette précision est mesurée <strong>de</strong> <strong>de</strong>ux manières : Par comparaison avec <strong>de</strong>s résultats connus et validés (issus d’autres simulateurs parexemple) ;Par confrontation à <strong>de</strong>s mesures expérim<strong>en</strong>tales sur une installation réelle au travers <strong>de</strong>bancs d’essais.Nous <strong>de</strong>vrons vérifier la justesse du modèle mais égalem<strong>en</strong>t contrôler le fonctionnem<strong>en</strong>tglobal d’une installation. Afin <strong>de</strong> tester différ<strong>en</strong>ts types d’installations <strong>en</strong> modifiant <strong>leur</strong>scompositions et d’essayer différ<strong>en</strong>tes configurations et situations <strong>de</strong> défaut, un banc d’essaiévolutif représ<strong>en</strong>tant la maquette d’une installation électrique <strong>de</strong> distribution et <strong>de</strong> protection aété utilisée.N’ayant pas la possibilité technique et financière <strong>de</strong> vali<strong>de</strong>r tous les composants du simulateurnous avons c<strong>en</strong>tré nos efforts sur les parties les plus intéressantes, qui pos<strong>en</strong>t le plusd’interrogation ou dont le fonctionnem<strong>en</strong>t est critique. Dans ce but, nous nous sommes toutparticulièrem<strong>en</strong>t intéressés au comportem<strong>en</strong>t <strong>de</strong>s disjoncteurs étant donné <strong>leur</strong> rôle crucialdans la protection <strong>de</strong>s <strong>circuits</strong> et <strong>de</strong>s personnes.1.1 Déroulem<strong>en</strong>t <strong>de</strong> la validation.Pour m<strong>en</strong>er à bi<strong>en</strong> cette validation, un projet tripartite à été m<strong>en</strong>é <strong>en</strong> parallèle du déroulem<strong>en</strong>t<strong>de</strong> la thèse. Ce projet est sout<strong>en</strong>u par le pôle Technologique EITICA (Electronique,Informatique et TIC <strong>en</strong> Aquitaine) [EIT]. Il réuni Algo’Tech Informatique, le laboratoire IXLet le laboratoire LIPSI. Ce projet financé par la région Aquitaine via un fond Fe<strong>de</strong>r a permis<strong>de</strong> mettre <strong>en</strong> place une équipe <strong>de</strong> travail constitué <strong>de</strong> l’auteur <strong>de</strong> cette thèse, <strong>de</strong> <strong>de</strong>ux élèvesingénieurs et d’une partie du personnel <strong>de</strong> chacun <strong>de</strong>s trois part<strong>en</strong>aires. Nous avons égalem<strong>en</strong>t129 / 213


Chapitre 4 : Validationpu réaliser un banc d’essai évolutif, nous permettant <strong>de</strong> faire <strong>de</strong>s mesures adaptées à nosbesoins et aux caractéristiques à vali<strong>de</strong>r. La réalisation du banc à été confié à la section MAI(Mécanique et Automatisme Industriels) du lycée Louis <strong>de</strong> Foix <strong>de</strong> Bayonne qui nous a faitégalem<strong>en</strong>t bénéficier <strong>de</strong> ses locaux, <strong>de</strong> ses formations (habilitation électrique) et <strong>de</strong> sonmatériel d’essai comme le banc <strong>de</strong> sélectivité <strong>en</strong> Basse T<strong>en</strong>sion.Les essais, les comparatifs et <strong>de</strong> l’analyse <strong>de</strong>s résultats sont <strong>en</strong> majeure partie le fruit dutravail <strong>de</strong>s <strong>de</strong>ux élèves ingénieurs : H<strong>en</strong>ri Abitbol [ABI03] élève ingénieur ESTIA 2 ème année. Nicolas Depail [DEP1] élève ingénieur ENSEIRB 2 ème année.Ce chapitre est le résultat <strong>de</strong> <strong>leur</strong> analyse <strong>de</strong>s résultats <strong>de</strong>s différ<strong>en</strong>ts essais comparatifs.1.2 Validation du comportem<strong>en</strong>t <strong>de</strong>s disjoncteurs.Dans l’optique <strong>de</strong>s tests sur banc d’essais, nous traitons <strong>en</strong> particulier la validation <strong>de</strong>smodèles <strong>de</strong>s équipem<strong>en</strong>ts <strong>de</strong> protection et <strong>de</strong> distribution <strong>de</strong>s installations électriques(fusibles, disjoncteurs, …). Nous <strong>de</strong>vons vérifier la justesse du modèle mais égalem<strong>en</strong>tcontrôler le fonctionnem<strong>en</strong>t global d’une installation. Ce <strong>de</strong>rnier doit respecter les règles <strong>de</strong>sélectivité <strong>de</strong> ses protections. Ici, la précision exigée <strong>de</strong> chaque modèle n’est pas primordialequand on considère individuellem<strong>en</strong>t les composants. La fiabilité <strong>de</strong>s résultats doit toutefoisêtre suffisante pour que malgré le cumul <strong>de</strong>s erreurs, le simulateur puisse reproduire lecomportem<strong>en</strong>t <strong>de</strong> toute l’installation.La validation porte sur plusieurs aspects : Fonctionnel : le modèle du composant doit être performant dans un fonctionnem<strong>en</strong>tsimple (respect du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t). Dim<strong>en</strong>sionnem<strong>en</strong>t : le composant doit pouvoir être bi<strong>en</strong> dim<strong>en</strong>sionné dans un certainmo<strong>de</strong> <strong>de</strong> fonctionnem<strong>en</strong>t du circuit dans lequel il est utilisé (protection d’un circuit). Respect <strong>de</strong> la sélectivité : On doit pouvoir vérifier que le dim<strong>en</strong>sionnem<strong>en</strong>t ducomposant ne perturbe pas d’autres appareils <strong>de</strong> protection <strong>en</strong> amont ou <strong>en</strong> aval.Pour effectuer ces vérifications nous n’avons recourt qu’à l’expérim<strong>en</strong>tation. En effet, nousn’avons pas trouvé <strong>de</strong> simulateur permettant <strong>de</strong> modéliser <strong>de</strong>s disjoncteurs.Nous disposons <strong>de</strong> <strong>de</strong>ux équipem<strong>en</strong>ts distincts : Le banc <strong>de</strong> sélectivité <strong>en</strong> basse t<strong>en</strong>sion : ce banc didactique permet <strong>de</strong> tester <strong>de</strong>multiples configurations mettant <strong>en</strong> jeu différ<strong>en</strong>tes notions <strong>de</strong> sélectivité. Il nousdonnera une <strong>vue</strong> qualitative <strong>de</strong>s résultats att<strong>en</strong>dus. Le banc d’essai évolutif : ce banc d’essai <strong>de</strong> notre conception peut être adapté àplusieurs types <strong>de</strong> manipulation dont le but est <strong>de</strong> déterminer plus finem<strong>en</strong>t lecomportem<strong>en</strong>t <strong>de</strong>s disjoncteurs. On peut réaliser <strong>de</strong> multiples cas <strong>de</strong> figure comme <strong>de</strong>sessais <strong>en</strong> surcharge, <strong>en</strong> court-circuit, <strong>en</strong> courant continu, alternatif, à courant constantou <strong>de</strong>s échelons <strong>de</strong> courant.130 / 213


Chapitre 4 : Validation1.3 Validation <strong>de</strong>s mo<strong>de</strong>s d’analyse.Simul'Elec utilise <strong>de</strong>s mo<strong>de</strong>s d’analyse différ<strong>en</strong>ts <strong>de</strong> ceux <strong>de</strong>s autres simulateurs connus. Ilnous permet avec moins <strong>de</strong> calculs d’obt<strong>en</strong>ir <strong>de</strong>s résultats proches <strong>de</strong>s résultats théoriques.L’ergonomie du logiciel a été adaptée aux besoins <strong>de</strong> nos utilisateurs pour privilégier lasimplicité et la clarté <strong>de</strong> l’utilisation.Nous avons réalisé <strong>de</strong>s essais comparatifs <strong>en</strong>tre nos mo<strong>de</strong>s d’analyses et ceux d’autreslogiciels. Nous souhaitons tout d’abord vérifier la pertin<strong>en</strong>ce <strong>de</strong> nos résultats et <strong>en</strong>suiteestimer les performances <strong>de</strong> notre outil par rapport à d’autres logiciels du marché.2 Comparaison logicielle.Afin <strong>de</strong> vali<strong>de</strong>r le fonctionnem<strong>en</strong>t <strong>de</strong> Simul’Elec, nous <strong>de</strong>vons comparer ses résultats à <strong>de</strong>sréfér<strong>en</strong>ces fiables. Deux solutions complém<strong>en</strong>taires s’offr<strong>en</strong>t à nous, la comparaison avec <strong>de</strong>smesures sur le matériel et la comparaison avec d’autres simulateurs. Nous traitons ici cettesecon<strong>de</strong> solution, moins coûteuse et plus facile à mettre <strong>en</strong> œuvre qui doit nous permettre <strong>de</strong>vali<strong>de</strong>r les résultats <strong>de</strong> nos mo<strong>de</strong>s d’analyse et une partie <strong>de</strong>s modèles.Certains <strong>de</strong> nos modèles n’ont pas d’équival<strong>en</strong>t dans les simulateurs <strong>de</strong> référ<strong>en</strong>ce comme lesdisjoncteurs. D’autres tels que les relais ne sont pas décrits d’une manière adaptée au domaine<strong>de</strong> l’électrotechnique. Nous complèterons donc ces comparaisons par <strong>de</strong>s mesures sur <strong>de</strong>sinstallations réelles qui sont traitées dans les paragraphes 3 et 4.2.1 Choix <strong>de</strong>s logiciels <strong>de</strong> référ<strong>en</strong>ce.De nombreux logiciels <strong>de</strong> <strong>simulation</strong> <strong>de</strong> circuit électrique sont disponibles gratuitem<strong>en</strong>t dans<strong>de</strong>s versions libres ou <strong>de</strong>s versions d’évaluation limitées. Nous prés<strong>en</strong>tons un rapi<strong>de</strong>comparatif <strong>de</strong> ces logiciels <strong>en</strong> chapitre 1.Après cette série <strong>de</strong> tests, trois logiciels ressort<strong>en</strong>t plus particulièrem<strong>en</strong>t. Il s'agit <strong>de</strong> PSpice,très répandu et probablem<strong>en</strong>t le plus utilisé que ce soit dans l'industrie ou dans le mon<strong>de</strong>éducatif. Celui-ci a fait ses preuves aussi bi<strong>en</strong> dans son efficacité que dans la pertin<strong>en</strong>ce <strong>de</strong>srésultats offerts. Il est disponible intégré à la suite Orcad 9 [ORC] dans une version d’essaiqui limite <strong>en</strong>tre autre la taille <strong>de</strong>s <strong>circuits</strong> simulables.Le <strong>de</strong>uxième logiciel sélectionné pour la comparaison avec Simul'Elec est Simplorer [SIM]qui offre une solution alternative aux nombreux logiciels construits autour du noyau Spice. Deplus, il est utilisé <strong>en</strong> électronique <strong>de</strong> puissance, domaine qui se rapproche <strong>de</strong> celui ciblé parSimul’Elec.Enfin, il reste le cas <strong>de</strong> Schemaplic [FIT] qui est le seul logiciel permettant <strong>de</strong> testerfacilem<strong>en</strong>t <strong>de</strong>s disjoncteurs et <strong>de</strong>s <strong>circuits</strong> à relais. Bi<strong>en</strong> qu’étant un bon outil pédagogique,son utilisation est très limitée. Il n'apporte aucune information comparable aux résultatsobt<strong>en</strong>us grâce à un logiciel <strong>de</strong> <strong>simulation</strong> analogique comme Simul'Elec ou Pspice. Il afficheuniquem<strong>en</strong>t <strong>de</strong>s notions d’états logiques et <strong>de</strong> continuité électrique sans calculer <strong>de</strong> va<strong>leur</strong>s <strong>de</strong>t<strong>en</strong>sion ou <strong>de</strong> courant. L’apport réduit que prés<strong>en</strong>te ses résultats pour nous, ne justifie pas sonutilité pour la validation.Etant donné que nous utilisons <strong>de</strong>s versions d’utilisation limitées, nous <strong>de</strong>vons simuler <strong>de</strong>sschémas <strong>de</strong> dim<strong>en</strong>sions réduites afin qu’ils puiss<strong>en</strong>t être traités par ces outils <strong>de</strong> référ<strong>en</strong>ce.131 / 213


Chapitre 4 : ValidationPour l’étu<strong>de</strong> <strong>de</strong>s <strong>circuits</strong> dans le domaine temporel, nous comparerons les résultats <strong>de</strong>s mo<strong>de</strong>sd’analyses <strong>en</strong> régime perman<strong>en</strong>t <strong>de</strong> Simul’Elec avec les mo<strong>de</strong>s d’analyse transitoire <strong>de</strong> SPICEou <strong>de</strong> Simplorer. Concernant l’étu<strong>de</strong> harmonique, on fera la comparaison <strong>en</strong>tre le mo<strong>de</strong>d’analyse fréqu<strong>en</strong>tiel <strong>de</strong> Simul’Elec avec le mo<strong>de</strong> AC <strong>de</strong> SPICE ou <strong>de</strong> Simplorer.132 / 2132.2 Schémas <strong>de</strong> tests.Nous avons sélectionné trois schémas simples mais représ<strong>en</strong>tatifs du type <strong>de</strong> <strong>circuits</strong> que l’onpeut avoir besoin <strong>de</strong> simuler. La comparaison traitera uniquem<strong>en</strong>t <strong>de</strong>s résultats <strong>de</strong> <strong>simulation</strong>sanalogiques (t<strong>en</strong>sions et courants) qui sont les seuls comparables avec Simul’Elec.Les comparaisons <strong>de</strong>s simulateurs pour les <strong>circuits</strong> testés ne se feront pas <strong>en</strong> point par pointmais plutôt <strong>en</strong> fonction <strong>de</strong>s gran<strong>de</strong>urs caractéristiques, métho<strong>de</strong> plus révélatrice. Pour lesanalyses fréqu<strong>en</strong>tielles, seules les courbes <strong>de</strong> gains seront analysées, même si les courbes <strong>de</strong>phase seront utilisées par exemple pour ai<strong>de</strong>r à déterminer la fréqu<strong>en</strong>ce <strong>de</strong> coupure.V1Ve2.2.1 Circuit RLC série.R11kL15HC11u0Fig. 1 Circuit RLC sérieCe circuit classique permet <strong>de</strong> faire apparaître <strong>de</strong>soscillations transitoires <strong>en</strong> régime temporel. Il sera doncpossible <strong>de</strong> comparer les résultats obt<strong>en</strong>us lors <strong>de</strong>s<strong>simulation</strong>s au niveau du temps <strong>de</strong> montée, du dépassem<strong>en</strong>t,<strong>de</strong> la pseudo pério<strong>de</strong>, …Il est égalem<strong>en</strong>t possible d'observer la fréqu<strong>en</strong>ce <strong>de</strong>résonance et l'amortissem<strong>en</strong>t <strong>de</strong> ce circuit <strong>en</strong> analysefréqu<strong>en</strong>tielle.Les comparaisons s'effectu<strong>en</strong>t sur les formes <strong>de</strong>s courbes <strong>en</strong> régimes temporel et fréqu<strong>en</strong>tielainsi que sur les va<strong>leur</strong>s caractérisant ce g<strong>en</strong>re <strong>de</strong> montages.La source est un générateur <strong>de</strong> t<strong>en</strong>sion carré d'amplitu<strong>de</strong> 100V et <strong>de</strong> fréqu<strong>en</strong>ce 7Hz. Ici nousne considérerons que le premier front qui apparaît au bout <strong>de</strong> 10ms. La résistance vaut 1k, lecon<strong>de</strong>nsateur a une capacité <strong>de</strong> 1µF et la bobine une inductance <strong>de</strong> 5H.2.2.1.1 Etu<strong>de</strong> théorique.Ce circuit RLC <strong>de</strong>s plus classiques peut être décrit par l’équation différ<strong>en</strong>tielle [DEP91] :tVe(t)Ri(t)L.di 1idtdt C0Nous ne détaillerons pas les étapes <strong>de</strong> calcul qui nous amèn<strong>en</strong>t à la solution générale qui nousdonne la t<strong>en</strong>sion aux bornes du con<strong>de</strong>nsateur :A tUc Ve ecos(t)( t0 )CEn appliquant ce résultat aux va<strong>leur</strong>s <strong>de</strong> nos composants, nous obt<strong>en</strong>ons :100tUc100102,6.e cos(436. t0.225)Dans ce cas nous avons affaire à un circuit faiblem<strong>en</strong>t amorti. La t<strong>en</strong>sion aux bornes ducon<strong>de</strong>nsateur a une allure <strong>de</strong> sinusoï<strong>de</strong> amortie. Ses va<strong>leur</strong>s caractéristiques sont :Pulsation propre : 436 rad/s Pseudo pério<strong>de</strong> : 14,14 ms


Chapitre 4 : ValidationFréqu<strong>en</strong>ce <strong>de</strong> résonance :Fréqu<strong>en</strong>ce <strong>de</strong> coupure :69.4 kHz71,18 kHz2.2.1.2 Manipulations dans Simul’Elec.Dans Simul’Elec, nous utilisons la source <strong>de</strong> t<strong>en</strong>sion carrée obt<strong>en</strong>ue par décomposition <strong>en</strong>série <strong>de</strong> Fourier. Nous pouvons jouer sur la finesse du résultat <strong>en</strong> modifiant le nombred’harmoniques <strong>de</strong> la source. Ici, nous voulons surtout mesurer la différ<strong>en</strong>ce <strong>en</strong>tre nos résultatset ceux <strong>de</strong>s autres simulateurs. Nous avons choisi d’utiliser 1000 harmoniques qui nousdonn<strong>en</strong>t <strong>de</strong>s courbes presque parfaitem<strong>en</strong>t lissées.En effet, pour avoir <strong>de</strong>s carrés propres, il faut définir un nombre d'harmoniques élevé. Ainsi,pour 620 points, au lieu <strong>de</strong> pr<strong>en</strong>dre 1,272s, le calcul pr<strong>en</strong>d 1,4s avec 100 harmoniques(déformation importante <strong>de</strong>s créneaux) et 15,6s pour 1000 harmoniques (faible déformation<strong>de</strong>s créneaux). Le graphique ci-<strong>de</strong>ssous donne une idée <strong>de</strong> l'évolution du temps <strong>de</strong> calcul <strong>en</strong>fonction du nombre d'harmoniques.35003000Evolution du temps <strong>de</strong> calcul d'un signalcarré <strong>en</strong> fonction du nombre d'harmoniqueTemps <strong>de</strong> calcul (s)250020001500100050000 1000 2000 3000 4000 5000Nombre d'harmoniquesFig. 2 Evolution du temps <strong>de</strong> calcul <strong>en</strong> fonction du nombre d'harmonique sous Simul'ElecPour l’étu<strong>de</strong> fréqu<strong>en</strong>tielle c’est le simulateur qui se charge <strong>de</strong> remplacer automatiquem<strong>en</strong>t lasource carrée par une source sinusoïdale pour le balayage <strong>en</strong> fréqu<strong>en</strong>ce.2.2.1.3 Analyse temporelle.Pour les trois simulateurs, Simul’Elec, Pspice et Simplorer, nous obt<strong>en</strong>ons <strong>de</strong>s résultatsquasim<strong>en</strong>t i<strong>de</strong>ntiques. Nous pouvons constater que les différ<strong>en</strong>tes va<strong>leur</strong>s sont très peudispersées notamm<strong>en</strong>t <strong>en</strong>tre les différ<strong>en</strong>ts simulateurs. C’est <strong>en</strong>core plus flagrant lorsque l’onobserve <strong>en</strong> même temps tous les signaux <strong>en</strong> Fig. 3. Nous notons d’ail<strong>leur</strong>s que tous lescourbes issues <strong>de</strong>s simulateurs sont quasim<strong>en</strong>t confondues contrairem<strong>en</strong>t à la courbethéorique. Cela peut s’expliquer par le fait que la métho<strong>de</strong> <strong>de</strong> résolution n’est pas la mêmedans les <strong>de</strong>ux cas, les approximations ne sont font pas <strong>de</strong> la même manière selon si on utiliseune résolution analytique ou numérique.133 / 213


Chapitre 4 : ValidationCourbes <strong>de</strong> réponse temporelle<strong>de</strong>s différ<strong>en</strong>ts simulateurs à un échelon150120T<strong>en</strong>sion Uc (V)906030EchelonRéponse ThéoriqueRéponse Simul'ElecRéponse SpiceRéponse Simplorer00,00 0,01 0,02 0,03 0,04 0,05 0,06 0,07Temps (s)Fig. 3 Comparaison <strong>de</strong>s réponses indicielles du circuit RLC2.2.1.4 Analyse fréqu<strong>en</strong>tielle.En comparant les résultats obt<strong>en</strong>us dans le domaine fréqu<strong>en</strong>tiel, l’équival<strong>en</strong>ce <strong>de</strong>s résultats<strong>de</strong>s simulateurs est <strong>en</strong>core plus flagrante Fig. 4 que lors <strong>de</strong> l’étu<strong>de</strong> temporelle.20 Courbe <strong>de</strong> réponse fréqu<strong>en</strong>tielle<strong>de</strong>s différ<strong>en</strong>ts simulateurs0Gain (dB)-20-4020Log(Uc/Ve)Théorie20Log(Uc/Ve)Simul'Elec20Log(Uc/Ve)PSpice20Log(Uc/Ve)Simplorer-6010 100 1000Fréqu<strong>en</strong>ce (Hz)Fig. 4 Comparaison <strong>de</strong>s réponses fréqu<strong>en</strong>tielles du circuit RLC134 / 213


Chapitre 4 : Validation2.2.2 Filtre passif passe-bas ordre 1.R1VinVout Ce montage sert <strong>de</strong> filtre passe-bas. En plus <strong>de</strong> la forme <strong>de</strong>s1kcourbes et <strong>de</strong>s va<strong>leur</strong>s caractéristiques comme la fréqu<strong>en</strong>ceV15VC1<strong>de</strong> coupure à -3dB ou la p<strong>en</strong>te, ce montage permettra <strong>de</strong>1ncomparer les temps mis par les simulateurs pour afficher lescourbes <strong>en</strong> analyse fréqu<strong>en</strong>tielle. Pour ce cas un peu plus0simple que le précé<strong>de</strong>nt, nous avons relevé le comportem<strong>en</strong>tFig. 5 Filtre RCfréqu<strong>en</strong>tiel du circuit pour chacun <strong>de</strong>s simulateurs.De la même manière que pour le cas précé<strong>de</strong>nt, nous obt<strong>en</strong>ons <strong>de</strong>s courbes i<strong>de</strong>ntiques pourtous (voir Fig. 6). Pour affiner notre analyse, nous avons <strong>de</strong> plus relevé les temps <strong>de</strong> calcul<strong>de</strong>s simulateurs <strong>en</strong> fonction <strong>de</strong>s indications que donne chacun. Nous avons fait ces essais pour<strong>de</strong>s précisions différ<strong>en</strong>tes <strong>de</strong> l’analyse se traduisant par un nombre plus ou moins élevé <strong>de</strong>point dans le balayage <strong>en</strong> fréqu<strong>en</strong>ce.5Courbes <strong>de</strong> gain du filtre passe-bas0-5ThéorieSimul'ElecPSpiceSimplorer-10Gain (dB)-15-20-25-30-351 10 100 1000 10000 100000 1000000 1E7Fréqu<strong>en</strong>ce (Hz)Fig. 6 Réponses fréqu<strong>en</strong>tielles d’un circuit RC (gain)Nombre<strong>de</strong> pointsSimul'Elec(ms)PSpice(ms)Simplorer(ms)65 140 70 9603650 1141 143 101836500 12341 1153 11500Tab. 1 Comparaison <strong>de</strong>s temps <strong>de</strong> calcul <strong>en</strong> analyse fréqu<strong>en</strong>tielle135 / 213


Chapitre 4 : ValidationDe la synthèse prés<strong>en</strong>tée <strong>en</strong> Tab. 1, ilressort que c’est PSPICE qui est le plusefficace dans ce type d’analyse. Ensuite,c’est Simul’Elec qui est le plus performanttant que l’on reste avec une précisionraisonnable. On constate sur la figure cicontre qu’au-<strong>de</strong>là <strong>de</strong> 6000 points <strong>de</strong>fréqu<strong>en</strong>ce, Simplorer rejoigne Simul’Elec.Nous pouvons toutefois constater que pource type <strong>de</strong> circuit, 6000 points constitue uneprécision excessive.Temps (ms)12000100008000600040002000Temps <strong>de</strong> calcul <strong>de</strong>s simulateurs00 1000 2000 3000 4000 5000 6000Nombre <strong>de</strong> points calculésSimulElecPSpiceSimplorerFREQ = 50VAMPL = 5FREQ = 1000VAMPL = 12.2.3 Filtrage d’une source bruitée.VinV1R11kV2V3FREQ = 10E6VAMPL = 1VoutC11n0Fig. 8 Source bruitéeFig. 7 Temps <strong>de</strong> calcul <strong>de</strong>s simulateurs <strong>en</strong>fonction du nombre <strong>de</strong> points.Cette analyse a pour but <strong>de</strong> comparer les vitesses <strong>de</strong> calcul<strong>de</strong>s logiciels <strong>en</strong> analyse temporelle ainsi que la finesse <strong>de</strong>srésultats observés. Aux bornes du con<strong>de</strong>nsateur, seuls lessignaux V1 et V2 oscillant respectivem<strong>en</strong>t à 50Hz et 1kHzdoiv<strong>en</strong>t apparaître. En effet, le signal à 10MHz est filtré parle circuit RC placé <strong>en</strong> sortie ayant une fréqu<strong>en</strong>ce <strong>de</strong> coupure<strong>de</strong> 160kHz. Ce cas est intéressant surtout pour sa <strong>simulation</strong>dans le domaine temporel. Il comporte plusieurs sources <strong>de</strong>fréqu<strong>en</strong>ces très différ<strong>en</strong>tes. Pspice et Simplorer travaill<strong>en</strong>t <strong>en</strong>analyse transitoire et détermin<strong>en</strong>t le tracé <strong>de</strong> la courbe <strong>de</strong>réponse point après point. Pour avoir une précision suffisante, ils doiv<strong>en</strong>t baser <strong>leur</strong> pas <strong>de</strong>calcul sur le signal dont la pério<strong>de</strong> est la plus faible (10MHz). Par contre, la durée <strong>de</strong> la<strong>simulation</strong> est déterminée par la pério<strong>de</strong> du signal fondam<strong>en</strong>tal à 50Hz. Pour avoir un ordred’idée du nombre <strong>de</strong> points <strong>de</strong> calcul on peut faire le rapport simple ces <strong>de</strong>ux pério<strong>de</strong>sextrêmes : 10MHz/50Hz = 200 000 points.Simul’Elec utilise par contre le principe <strong>de</strong> superposition. Il réalise une résolution pourchaque source <strong>de</strong> fréqu<strong>en</strong>ce différ<strong>en</strong>te puis fait la somme <strong>de</strong>s résultats. On aura donc dans cecas seulem<strong>en</strong>t 3 résolutions pour Simul’Elec.Etant donné les différ<strong>en</strong>ces <strong>de</strong> métho<strong>de</strong>s, nous pouvons donc att<strong>en</strong>dre une gran<strong>de</strong> disparitédans les performances <strong>de</strong>s logiciels.2.2.3.1 Simulation dans Simul’Elec.Nous affichons le résultat sur <strong>de</strong>ux pério<strong>de</strong>s <strong>en</strong> Fig. 9. Au premier essai, il a fallu 1,2secon<strong>de</strong>s pour simuler et tracer la courbe avec la résolution par défaut <strong>de</strong> 620 points.136 / 213


Chapitre 4 : ValidationFig. 9 Circuit avec source bruité sous Simul’ElecOn remarquera que Simul’Elec peut affiner le niveau <strong>de</strong> détail sans avoir à relancer <strong>de</strong><strong>simulation</strong> et <strong>de</strong> résolution du système d’équations représ<strong>en</strong>tant le circuit.Fig. 10 Loupe f<strong>en</strong>être sur le signal HFFig. 11 Loupe classique sur le signal HFDeux mo<strong>de</strong>s permett<strong>en</strong>t d’agrandir les courbes <strong>de</strong>ssinées : Un mo<strong>de</strong> « loupe f<strong>en</strong>être » qui permet d’agrandir la courbe tracée à partir <strong>de</strong> lasélection d’une zone (Fig. 10). Ce mo<strong>de</strong> ne recalcule pas les points intermédiaires. Onpeut alors trouver <strong>de</strong>s résultats incorrects du fait d’un sous échantillonnage. Un mo<strong>de</strong> « loupe classique » Fig. 11 qui agrandi la courbe <strong>en</strong> recalculant tous lespoints nécessaires. Ces nouveaux points sont calculés par l’interface graphique sansavoir recours à aucune <strong>simulation</strong>.2.2.3.2 Simulation dans PSPICE.La <strong>simulation</strong> <strong>de</strong> ce même circuit dans PSPICE donne <strong>de</strong>s résultats équival<strong>en</strong>ts à ceux <strong>de</strong>Simul’Elec. Le temps <strong>de</strong> calcul est beaucoup plus important. En effet, avec PSPICE, il n’estpas possible <strong>de</strong> fixer le TSTEP qui détermine l’intervalle minimum <strong>en</strong>tre <strong>de</strong>ux points, cetteva<strong>leur</strong> est déterminée automatiquem<strong>en</strong>t par le logiciel. Nous pouvons par contre définir lava<strong>leur</strong> TMAX qui permet d’augm<strong>en</strong>ter le nombre <strong>de</strong> points à calculer. Avec le réglage pardéfaut, le calcul <strong>de</strong> PSPICE a duré plus <strong>de</strong> 10 min (indication du fichier <strong>de</strong> sortie). Ce tempsn’inclut pas le temps d’affichage <strong>de</strong>s courbes mais seulem<strong>en</strong>t la durée <strong>de</strong> la résolutionnumérique. Cette mesure est le résultat d’un troisième essai car les <strong>de</strong>ux premiers ontprovoqué un blocage du système d’exploitation et nous ont obligé à redémarrer la machine(Processeur Celeron 1GHz, 192Mo <strong>de</strong> RAM). En examinant la consommation <strong>de</strong> la mémoireau cours <strong>de</strong> la <strong>simulation</strong>, nous avons constaté que cette <strong>simulation</strong> est très gourman<strong>de</strong> <strong>en</strong>espace mémoire et a certainem<strong>en</strong>t provoqué la défaillance.137 / 213


Chapitre 4 : Validation2.2.3.3 Simulation dans Simplorer.Pour ce circuit, Simplorer semble plus efficace que PSPICE, bi<strong>en</strong> qu’il reste <strong>en</strong>core assez l<strong>en</strong>t.Il a au moins le mérite <strong>de</strong> mieux gérer la mémoire et <strong>de</strong> ne pas se bloquer. Il prés<strong>en</strong>te <strong>de</strong> plus<strong>de</strong>s défauts d’affichage importants lorsque le nombre <strong>de</strong> points calculés est insuffisant.64E1.V [V]E2.V [V]C1.V [V]E3.V [V]20-2-4-60 5m 10m 15m 20m 25m 30mt [s]Fig. 12 Filtrage d’une source bruité simulé par SimplorerLes courbes obt<strong>en</strong>ues montr<strong>en</strong>t bi<strong>en</strong> le fonctionnem<strong>en</strong>tdu filtre. Cep<strong>en</strong>dant, si l'on observe le signal <strong>de</strong> lasource E3 <strong>en</strong> Fig. 12, il apparaît que cette t<strong>en</strong>sion esttoujours à 0V au lieu d'osciller <strong>en</strong>tre +1V et -1V à10MHz. Il n'y a donc pas une précision suffisante pourpouvoir observer tous les signaux différ<strong>en</strong>ts. L'affichage<strong>de</strong> ces 620 points a pris 6 secon<strong>de</strong>s. En augm<strong>en</strong>tant l<strong>en</strong>ombre <strong>de</strong> points, on obti<strong>en</strong>t le résultat <strong>en</strong> 13.8 secon<strong>de</strong>spour 6200 points et <strong>en</strong> 80 secon<strong>de</strong>s pour 62000points. Ilfaut un minimum <strong>de</strong> 62000 points pour obt<strong>en</strong>ir un signalnon nul sur E3. A ce mom<strong>en</strong>t là, le bruit apparaît sur lesignal <strong>de</strong> sortie et il est peu atténué par rapport au signald'<strong>en</strong>trée comme le montre la Fig. 13 ci-contre. Cela necorrespond donc pas aux résultats att<strong>en</strong>dus. Si l'onaugm<strong>en</strong>te <strong>en</strong>core la précision, les résultats <strong>de</strong>vi<strong>en</strong>n<strong>en</strong>taléatoires puisque avec un pas <strong>de</strong> 100ns, le signal E3repasse à 0V.Afin d'avoir un résultat correct, il faut énormém<strong>en</strong>taugm<strong>en</strong>ter la précision et donc le temps <strong>de</strong> calculcomme le montre la Fig. 14. Il faut plus <strong>de</strong> 30 minutespour obt<strong>en</strong>ir les 30 millisecon<strong>de</strong>s <strong>de</strong> <strong>simulation</strong>. Sur ce<strong>de</strong>rnier tracé, on observe que le signal d'<strong>en</strong>trée à 10MHza été très fortem<strong>en</strong>t atténué.4.443.83.53.332.82.52.31.911m 11m 12m 12m 12m 12m 12m13m t [s]E1.V [V]E2.V [V]C1.V [V]E3.V [V]Fig. 13 Zoom du filtrage du signalbruité avec 62 000 points4.54.343.83.53.332.82.52.31.911m12m 12m 12m 12m 12m13m t [s]E1.V [V]E2.V [V]C1.V [V]E3.V [V]Fig. 14 Zoom du filtrage du signalbruité avec 620 000 points138 / 213


Chapitre 4 : Validation2.2.3.4 Comparaison <strong>de</strong>s performances.Contrairem<strong>en</strong>t à l'étu<strong>de</strong> fréqu<strong>en</strong>tielle, les trois logiciels fonctionn<strong>en</strong>t <strong>de</strong> façons différ<strong>en</strong>tes <strong>en</strong>analyse temporelle. Ainsi, les résultats auront une précision plus ou moins gran<strong>de</strong> <strong>en</strong> relationavec le temps <strong>de</strong> calcul qui peut varier <strong>de</strong> moins <strong>de</strong> <strong>de</strong>ux secon<strong>de</strong>s à plus <strong>de</strong> 30 minutes.Le logiciel le plus rapi<strong>de</strong> est Simul'Elec qui donne <strong>de</strong>s résultats satisfaisants dès 620 points <strong>en</strong>moins <strong>de</strong> 2 secon<strong>de</strong>s. PSpice donne <strong>de</strong>s courbes <strong>de</strong> gran<strong>de</strong> précision mais il n'est pas possible<strong>de</strong> réduire le nombre <strong>de</strong> points et du coup, les temps <strong>de</strong> calcul et d'affichage sont très longs, <strong>de</strong>l'ordre <strong>de</strong> 10 minutes. Simplorer a un temps <strong>de</strong> calcul intermédiaire mais affiche <strong>de</strong>s résultatstrompeurs comme la source <strong>de</strong> 10MHz nulle ou non selon le pas minimum choisi ou <strong>en</strong>core lasortie plus ou moins filtrée selon la précision <strong>de</strong>mandée.Le tableau ci-<strong>de</strong>ssous résume les temps <strong>de</strong> calcul extraits <strong>de</strong>s tests.Temps <strong>de</strong> la <strong>simulation</strong> (s)Simul'Elec Ppice SimplorerTemporel 620 pts 1,3 Impossible 6Temporel 6200 pts 2 <strong>de</strong> 13,8Temporel 62000 pts 20 préciser 80Précision suffisantepour affichagecorrect20 608 1 800Tab. 2 Comparaison <strong>de</strong>s temps <strong>de</strong> calcul du circuit <strong>de</strong>s sources bruitées139 / 213


Chapitre 4 : Validation3 Banc <strong>de</strong> sélectivité <strong>de</strong>s protections.Dans le cadre <strong>de</strong> la validation du module <strong>de</strong> <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électrotechniquesSimul’Elec, une série <strong>de</strong> tests a été effectuée sur un banc didactique <strong>de</strong> sélectivité <strong>de</strong>sprotections <strong>en</strong> TBT (Très Basse T<strong>en</strong>sion). Ce banc va nous permettre <strong>de</strong> réaliser <strong>de</strong>s mesuressur les disjoncteurs <strong>en</strong> reproduisant <strong>de</strong>s courts-<strong>circuits</strong> dans <strong>de</strong>s conditions sécurisées avec <strong>de</strong>scourants pouvant atteindre 200A. Nous l’avons exploité notamm<strong>en</strong>t pour vali<strong>de</strong>r la <strong>simulation</strong><strong>de</strong> la sélectivité <strong>de</strong>s disjoncteurs.La sélectivité correspond à l'aptitu<strong>de</strong> d'un système <strong>de</strong> protection à détecter un défaut dans unezone déterminée d'un réseau électrique et à provoquer le décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s protectionsappropriées pour éliminer ce défaut, avec le minimum <strong>de</strong> perturbation pour la partie saine duréseau.Le but <strong>de</strong> ces manipulations n'est pas <strong>de</strong> vérifier l'exactitu<strong>de</strong> parfaite <strong>de</strong>s résultats mais plutôtle comportem<strong>en</strong>t général <strong>de</strong>s disjoncteurs <strong>en</strong> fonction du type <strong>de</strong> montage et du type <strong>de</strong>sélectivité. On observe égalem<strong>en</strong>t la cohér<strong>en</strong>ce <strong>de</strong>s temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs.3.1 Description du banc.Le "Banc <strong>de</strong> sélectivité <strong>de</strong>s protections <strong>en</strong> TBT"a été conçu dans un but didactique [BAN] parMerlin-Gérin du groupe Schnei<strong>de</strong>r-Electric. Cinq disjoncteurs sont utilisables simultaném<strong>en</strong>tsur trois niveaux différ<strong>en</strong>ts : D1 est un disjoncteur 20A (1 er niveau). Les courbes B, C et D sont disponibles. D2 est un disjoncteur 10A (2 ème niveau). Les courbes B, C et D sont disponibles. D3 est un disjoncteur 10A (2 ème niveau). Les courbes B, C et D sont disponibles. D4 est un disjoncteur 6A (3 ème niveau). Les courbes B, C et D sont disponibles. D5 est un disjoncteur 4A (3 ème niveau). Les courbes B, C et D sont disponibles.Le banc dispose égalem<strong>en</strong>t d'un bouton poussoir (BP) qui permet <strong>de</strong> créer le défaut dans lecircuit et d'ampoules témoins qui indiqu<strong>en</strong>t l'état <strong>de</strong>s disjoncteurs amont.On trouvera <strong>en</strong> Annexe 8 <strong>de</strong>s images <strong>de</strong>s faces du banc.Chaque disjoncteur peut être relié à une bobine ayant une faible impédance mais permettant<strong>de</strong> limiter le courant lors <strong>de</strong>s courts-<strong>circuits</strong>. Chaque bobine dispose <strong>de</strong> trois bornes <strong>de</strong>branchem<strong>en</strong>ts utilisables selon la va<strong>leur</strong> <strong>de</strong> l’impédance désirée.Ce banc didactique a été créé dans un but pédagogique afin d'illustrer le fonctionnem<strong>en</strong>t d'unsystème électrique, ses protections et les év<strong>en</strong>tuels problèmes pouvant surv<strong>en</strong>ir <strong>en</strong> cas <strong>de</strong>défaut. Il permet <strong>de</strong> s<strong>en</strong>sibiliser les personnes sur l'importance <strong>de</strong>s protections et <strong>de</strong> <strong>leur</strong>dim<strong>en</strong>sionnem<strong>en</strong>t.Le banc est alim<strong>en</strong>té <strong>en</strong> 48V via un transformateur 230V/48V. C’est la t<strong>en</strong>sion <strong>de</strong> sécurité <strong>en</strong>local sec. Les disjoncteurs disponibles sont <strong>de</strong>s disjoncteurs Merlin-Gérin C60N <strong>de</strong> calibres20A, 10A, 6A et 4A. Ces disjoncteurs sont généralem<strong>en</strong>t utilisés <strong>en</strong> 230V mais ils peuv<strong>en</strong>tégalem<strong>en</strong>t fonctionner sur les <strong>circuits</strong> alim<strong>en</strong>tés <strong>en</strong> triphasé. Leur t<strong>en</strong>sion nominale est <strong>de</strong>400V. Pour chaque disjoncteur, les courbes B, C et D sont disponibles. Le temps <strong>de</strong> coupured’un disjoncteur par rapport au courant est exprimé sur les courbes constructeur fournies <strong>en</strong>Annexe 3.140 / 213


Chapitre 4 : ValidationLa gamme C60N est la plus répandue <strong>de</strong> Merlin-Gérin et fait partie <strong>de</strong>s matériels <strong>de</strong>protection les plus installés <strong>en</strong> France, tous fabricants confondus. Les différ<strong>en</strong>tes courbesdisponibles sur ce banc correspon<strong>de</strong>nt à différ<strong>en</strong>ts types d'utilisation : Courbe B : protection <strong>de</strong>s générateurs, <strong>de</strong>s personnes et <strong>de</strong>s gran<strong>de</strong>s longueurs <strong>de</strong>câbles Courbe C : protection <strong>de</strong> câbles alim<strong>en</strong>tant <strong>de</strong>s récepteurs classiques Courbe D : protection <strong>de</strong>s câbles alim<strong>en</strong>tant les récepteurs à fort courant d'appelLes va<strong>leur</strong>s <strong>en</strong> ohms <strong>de</strong>s impédances <strong>de</strong>s bobines, transformateur, générateur et disjoncteursqui nous ont servi pour les calculs sont résumées dans les tableaux <strong>en</strong> Annexe 9.3.2 Schémas testés.Le banc didactique est fourni avec un gui<strong>de</strong> <strong>de</strong> manipulation à l’usage <strong>de</strong>s <strong>en</strong>seignants et <strong>de</strong>sélèves. En se basant sur ce support et les expéri<strong>en</strong>ces proposées, nous avons défini 3 schémas<strong>de</strong> base d’une complexité progressive permettant <strong>de</strong> contrôler la sélectivité.3.2.1 Un Disjoncteur.Ce montage représ<strong>en</strong>te une installation simple qui n'est protégée que par un seul disjoncteur.En cas <strong>de</strong> défaut, toute l'installation est privée <strong>de</strong> courant. Si certains équipem<strong>en</strong>ts ne doiv<strong>en</strong>tpas être stoppés, il est préférable <strong>de</strong> les séparer du reste <strong>de</strong> l'installation électrique.Fig. 15 Schéma du circuit <strong>de</strong> test à 1 disjoncteurLes mesures <strong>de</strong>s courants <strong>de</strong> court-circuit et <strong>de</strong>s temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>ts ont été effectuéessuccessivem<strong>en</strong>t sur les différ<strong>en</strong>ts disjoncteurs disponibles avec un temps <strong>de</strong> repos <strong>en</strong>trechaque mesure sur un même disjoncteur d’au moins 5 minutes. En effet, lorsqu'un courantimportant traverse le disjoncteur, le décl<strong>en</strong>cheur thermique chauffe. Le temps <strong>de</strong> repos <strong>de</strong>5mn est nécessaire afin <strong>de</strong> le laisser refroidir et <strong>de</strong> pouvoir refaire les tests dans les mêmesconditions initiales. Le disjoncteur est connecté <strong>en</strong> série à une impédance Z1 permettant <strong>de</strong>limiter le courant <strong>de</strong> court-circuit et à une résistance <strong>de</strong> charge (ampoule) qui est courtcircuitéepour créer le défaut.3.2.2 Deux Disjoncteurs.Ce montage plus complexe que le précé<strong>de</strong>nt permet <strong>de</strong> tester le principe <strong>de</strong> sélectivité. Il estégalem<strong>en</strong>t plus répandu car il permet d'isoler certains équipem<strong>en</strong>ts. Un défaut sur une partie<strong>de</strong> l'installation ne doit décl<strong>en</strong>cher que le système <strong>de</strong> protection directem<strong>en</strong>t amont sansperturber le reste du système. Ce type <strong>de</strong> montage fait apparaître le principe <strong>de</strong> disjoncteurgénéral <strong>en</strong> amont et <strong>de</strong> disjoncteur divisionnaire <strong>en</strong> aval.141 / 213


Chapitre 4 : ValidationFig. 16 Schéma du circuit <strong>de</strong> test à 2 disjoncteursComme pour les <strong>circuits</strong> n’ayant qu’un disjoncteur, les mesures ont été effectuéessuccessivem<strong>en</strong>t sur les différ<strong>en</strong>ts disjoncteurs avec un temps <strong>de</strong> repos <strong>en</strong>tre chaque mesure surun même disjoncteur d’au moins 5 minutes. Les disjoncteurs sont connectés <strong>en</strong> série à uneimpédance Z1 et/ou Z2 et/ou Z3 et/ou Z4 permettant <strong>de</strong> limiter le courant <strong>de</strong> court-circuit et àune résistance <strong>de</strong> charge (ampoule) qui est court-circuitée pour créer le défaut.L’avantage <strong>de</strong> tester <strong>de</strong>s montages à plusieurs disjoncteurs est <strong>de</strong> permettre <strong>de</strong> tester nonseulem<strong>en</strong>t le disjoncteur dans son fonctionnem<strong>en</strong>t mais égalem<strong>en</strong>t la sélectivité d’undisjoncteur par rapport à l’autre.3.2.3 Trois Disjoncteurs.Les domaines d'utilisation <strong>de</strong> ce type <strong>de</strong> montage sont les mêmes que pour celui à <strong>de</strong>uxdisjoncteurs. Les tests <strong>de</strong> sélectivité sont donc toujours réalisables et il est possible <strong>de</strong> vérifier<strong>de</strong> plus nombreuses configurations.Fig. 17 Schéma du circuit <strong>de</strong> test à 3 disjoncteursLes mesures se font comme pour les <strong>circuits</strong> à <strong>de</strong>ux disjoncteurs.3.3 Analyse théorique.Lors <strong>de</strong> la création du court-circuit, on ferme le circuit avec une impédance d’une va<strong>leur</strong> trèsfaible. Cette impédance s’ajoute à celle <strong>de</strong>s autres composants <strong>en</strong> série avec elle, notamm<strong>en</strong>tcelle <strong>de</strong>s appareils <strong>de</strong> protection. Leurs va<strong>leur</strong>s généralem<strong>en</strong>t faibles ont donc une gran<strong>de</strong>influ<strong>en</strong>ce sur la va<strong>leur</strong> du courant <strong>de</strong> court-circuit Icc et donc du fonctionnem<strong>en</strong>t <strong>de</strong>sprotections.3.3.1 Définition du courant <strong>de</strong> court circuit.Un courant <strong>de</strong> court-circuit (Icc) est un courant provoqué par un défaut d’impédanc<strong>en</strong>égligeable <strong>en</strong>tre <strong>de</strong>s points d’installation prés<strong>en</strong>tant normalem<strong>en</strong>t une différ<strong>en</strong>ce <strong>de</strong> pot<strong>en</strong>tiel[SOC00]. On distingue 3 niveaux <strong>de</strong> courant <strong>de</strong> court-circuit. :142 / 213


Chapitre 4 : ValidationLe court-circuit crête (Icc crête) correspond à la va<strong>leur</strong> extrême <strong>de</strong> l’on<strong>de</strong> générant <strong>de</strong>sforces électrodynamiques élevées notamm<strong>en</strong>t au niveau <strong>de</strong>s jeux <strong>de</strong> barres et <strong>de</strong>scontacts ou connexions d’appareillage.Le courant <strong>de</strong> court-circuit efficace (Icc eff) : va<strong>leur</strong> efficace du courant <strong>de</strong> défaut quiprovoque <strong>de</strong>s échauffem<strong>en</strong>ts dans les appareils et conducteurs et peut porter lesmasses <strong>de</strong>s matériels à <strong>de</strong>s pot<strong>en</strong>tiels dangereux.Le courant <strong>de</strong> court-circuit minimum (Icc min) : va<strong>leur</strong> efficace du courant <strong>de</strong> défauts’établissant dans les <strong>circuits</strong> d’impédance élevée (conducteur à section réduite etcanalisation <strong>de</strong> gran<strong>de</strong> longueur…) et donc cette impédance a été <strong>en</strong> plus augm<strong>en</strong>téepar l’échauffem<strong>en</strong>t <strong>de</strong> la canalisation <strong>en</strong> défaut. Il est nécessaire d’éliminer rapi<strong>de</strong>m<strong>en</strong>tce type <strong>de</strong> défaut par les moy<strong>en</strong>s appropriés.Fig. 18 Allure du courant <strong>de</strong> court-circuit à l’apparition du défautNotons que le calcul théorique qui suit porte sur la détermination <strong>de</strong> la va<strong>leur</strong> efficace ducourant <strong>de</strong> court-circuit. De même, le simulateur ne pr<strong>en</strong>d pas <strong>en</strong> compte le courant Icc crêtemais seulem<strong>en</strong>t la va<strong>leur</strong> efficace.3.3.2 Calcul du courant <strong>de</strong> court-circuit.Le courant <strong>de</strong> court-circuit Icc se calcule <strong>en</strong> fonction <strong>de</strong>s impédances <strong>de</strong> court-circuit. Onutilise la métho<strong>de</strong> <strong>de</strong>s impédances décrite par la norme CEI 60-909 [CEI88]. Au cours ducalcul, les composants du circuit sont modélisés par <strong>leur</strong> impédance afin <strong>de</strong> calculersimplem<strong>en</strong>t la va<strong>leur</strong> <strong>de</strong> l’Icc.Il est nécessaire <strong>de</strong> pr<strong>en</strong>dre <strong>en</strong> compte l'impédance interne du générateur ram<strong>en</strong>ée ausecondaire, l'impédance interne du transformateur au secondaire, les impédances <strong>de</strong> "charge"Z et les impédances internes <strong>de</strong>s disjoncteurs.Exemple <strong>de</strong> calcul :On considère le montage <strong>de</strong> la Fig. 16 compr<strong>en</strong>ant une alim<strong>en</strong>tation 50Hz, 230V <strong>de</strong> puissance10kVA, un transformateur 230V/48V, un disjoncteur D1, 20A, un disjoncteur D2, 10A, unecharge Z1c, une charge Z2c et une ampoule mise <strong>en</strong> court circuit pour créer le courant <strong>de</strong>défaut.143 / 213


Chapitre 4 : Validationa) Impédance du générateur (Za)22U 230Za 0, 53où U est la t<strong>en</strong>sion composée du réseau non chargé.3Scc 100.10En BT, Xa 0 , 98Za soit Xa 0, 52et Ra 0 , 2Za pour une puissance inférieure à150kVA donc Ra 0, 10.b) Impédance du générateur ram<strong>en</strong>é au secondaire (Za')Za' k2 Za k étant le rapport <strong>de</strong> transformation du transformateur donc2 48 Za ' 0,53 soit Za ' 21, 2et donc Xa' 20, 7m 230 et Ra' 4, 2m.c) Impédance du transformateurLes données constructeur pour le transformateur sontRs 20 , 8m.Zs 86 , 4m, Xs 83 , 8m,d) Impédance <strong>de</strong>s disjoncteursLe constructeur annonce une impédance <strong>de</strong> 7,4m pour le disjoncteur <strong>de</strong> 20A et <strong>de</strong>19,6m pour le disjoncteur <strong>de</strong> 10A. Ces va<strong>leur</strong>s s'<strong>en</strong>t<strong>en</strong><strong>de</strong>nt par pôle. Il apparaît que lesdisjoncteurs utilisés ont 2 pôles. On obti<strong>en</strong>t donc une impédance totale <strong>de</strong>sdisjoncteurs Zd 7 ,42 19,62 54m. On considère que cette impédance estess<strong>en</strong>tiellem<strong>en</strong>t inductive donc que Xd 54 m.e) Impédance <strong>de</strong>s chargesOn utilise ici l'impédance Z1 c 270moù R1 c 260met X1 c 75metl'impédance Z 2 c 185moù R2 c 170met X 2 c 72m.f) Impédance totaleOn obti<strong>en</strong>t donc une impédance totaleZcc2 Ra'Rs Rd R1c R2c2 Xa'Xs Xd X1c X 2 c soit 2AN : Zcc 4,2 20,8 0 260 170 2 20,7 83,8 54 75 72 2Zcc 548 m .g) Courant <strong>de</strong> court-circuitConnaissant la va<strong>leur</strong> <strong>de</strong> l'impédance du circuit et la t<strong>en</strong>sion à la sortie du transformateur, ilUsest possible <strong>de</strong> calculer le courant <strong>de</strong> défaut. On obti<strong>en</strong>t : Icc doncZccIcc 48 103 87, 6A548 .144 / 213


Chapitre 4 : Validation3.3.3 Va<strong>leur</strong> théorique <strong>de</strong>s Icc pour les montages étudiés.Nous avons déterminé pour chacun <strong>de</strong>s trois montages les va<strong>leur</strong>s <strong>de</strong>s Icc att<strong>en</strong>dues parchaque configuration possible. On voit dans le Tab. 3 le résultat <strong>de</strong> calcul pour le montage àun disjoncteur. Le détail <strong>de</strong>s calculs pour les autres montages est donné <strong>en</strong> Annexe 11 etAnnexe 12.Va<strong>leur</strong>s totales <strong>de</strong>simpédancesutilisées (m)Impédancetotale <strong>de</strong>défaut(m)Courant<strong>de</strong> défaut(A)Charge Disj. Courbe Réelle Imaginaire Zcc IccB 170 72,1 273 176D1 C 170 72,1 273 176D 170 72,1 273 176B 170 72,1 273 165Z2c D2 C 170 72,1 273 165D 170 72,1 273 165B 170 72,1 273 165D3 C 170 72,1 273 165D 170 72,1 273 165Z4D4B 378 282,6 569 67C 378 282,6 569 67D5B 378 282,6 569 60C 378 282,6 569 60Tab. 3 Calcul <strong>de</strong> Icc pour le montage à un disjoncteur3.3.4 Détermination <strong>de</strong>s temps <strong>de</strong> coupure et <strong>de</strong> la sélectivité.Comme nous l’avons vu dans le chapitre 3, le temps <strong>de</strong> coupure <strong>de</strong>s disjoncteurs dép<strong>en</strong>d <strong>de</strong> lava<strong>leur</strong> du courant <strong>de</strong> défaut et du type <strong>de</strong> disjoncteur utilisé. Ils se lis<strong>en</strong>t sur les courbesconstructeur (voir Fig. 19 ci-contre). Elles définiss<strong>en</strong>t une <strong>en</strong>veloppe à l’intérieure <strong>de</strong> laquelleon peut situer le décl<strong>en</strong>chem<strong>en</strong>t du disjoncteur. Ces courbes sont fournies <strong>de</strong> manière plusprécise <strong>en</strong> Annexe 3. On peut ainsi définir une plage <strong>de</strong> temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t à partit <strong>de</strong> lava<strong>leur</strong> <strong>de</strong> l’IccFig. 19 courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t d'undisjoncteurFig. 20 Création d’un défaut <strong>en</strong> aval du réseau.145 / 213


Chapitre 4 : ValidationOn rappelle que la sélectivité est l'aptitu<strong>de</strong> d'un système <strong>de</strong> protection à détecter un défautdans une zone déterminée d'un réseau électrique et à provoquer le décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>sdisjoncteurs appropriés pour éliminer ce défaut, avec le minimum <strong>de</strong> perturbation pour lapartie saine du réseau [BLA82].Cette notion <strong>de</strong> sélectivité est valable <strong>en</strong>tre <strong>de</strong>ux (ou plusieurs) dispositifs <strong>de</strong> protection telsque fusibles, disjoncteur, discontacteur.La sélectivité totale est assurée lorsque les zones temps/ courants <strong>de</strong>s <strong>de</strong>ux dispositifs <strong>de</strong>protection ne se recouvr<strong>en</strong>t pas (Fig. 21). La sélectivité partielle intervi<strong>en</strong>t lorsque les courbesse chevauch<strong>en</strong>t <strong>en</strong> partie. Le fonctionnem<strong>en</strong>t sera correct si le courant <strong>de</strong> défaut est inférieurau point <strong>de</strong> croisem<strong>en</strong>t <strong>de</strong>s courbes [SOC00]. On a une non-sélectivité dans les zones où lescourbes se chevauch<strong>en</strong>t cFig. 21 Sélectivité totaleFig. 22 Non-sélectivitéA partir <strong>de</strong>s va<strong>leur</strong>s <strong>de</strong> Icc précé<strong>de</strong>mm<strong>en</strong>t calculées, nous avons pu <strong>de</strong>terminer le temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong> chaque disjoncteur. Ainsi on peut déterminer lequel décl<strong>en</strong>che <strong>en</strong> premieret définir la sélectivité correspondante. Nous avons ainsi déterminé les sélectivités théoriquespour les montages à <strong>de</strong>ux disjoncteurs et à trois disjoncteurs (voir tableaux <strong>en</strong> Annexe 11 etAnnexe 12).3.4 Simulation <strong>de</strong>s schémas.Pour chaque cas <strong>de</strong> circuit (un, <strong>de</strong>ux et trois disjoncteurs), on dispose d’un schéma (Fig. 23)type pour lequel on modifie les va<strong>leur</strong>s <strong>de</strong>s composants afin <strong>de</strong> correspondre au matériel quel’on utilise dans chaque essai (disjoncteurs et charges).146 / 213Fig. 23 Schéma simulé du circuit à un disjoncteur


Chapitre 4 : ValidationAu mom<strong>en</strong>t <strong>de</strong> la validation, les modèles <strong>de</strong> composants du simulateur ne pr<strong>en</strong>n<strong>en</strong>t pas <strong>en</strong>compte les résistances internes <strong>de</strong>s générateurs et <strong>de</strong>s disjoncteurs. Les impédances <strong>de</strong>sdiffér<strong>en</strong>ts composants comme Zsource et Zdisjoncteur ont été rajoutés lors <strong>de</strong> la saisie <strong>de</strong>sschémas. Le choix du transformateur s'est porté sur un transformateur dont le modèle intègrel'impédance interne ram<strong>en</strong>ée au secondaire (modèle <strong>de</strong> Kapp) et qui correspond à celui ret<strong>en</strong>upar la métho<strong>de</strong> <strong>de</strong> calcul <strong>de</strong>s Icc. La charge (Ampoule <strong>de</strong> charge) a été modélisée par uneRésistance <strong>de</strong> 100 .Le défaut est crée <strong>en</strong> court-circuitant la charge à l'ai<strong>de</strong> d'un bouton poussoir. Celui-ci permet<strong>de</strong> décl<strong>en</strong>cher le disjoncteur. Ce court-circuit peut être franc ou réalisé via une impédance.Pour pr<strong>en</strong>dre l’exemple du schéma à un disjoncteur, nous suivrons la démarche suivante :Apres avoir lancé le module <strong>de</strong> <strong>simulation</strong>, et choisi le mo<strong>de</strong> <strong>de</strong> résolution <strong>en</strong> régimeévénem<strong>en</strong>tiel, nous allons procé<strong>de</strong>r à la modification <strong>de</strong>s états logiques et à la visualisation<strong>de</strong>s sorties pour pouvoir afficher les résultats. Le BP (bouton poussoir) est sélectionné dans "modifier les états logiques" puis il estrajouté dans les <strong>en</strong>trées logiques. On l’active pour créer le court-circuit. Le Disj1 est sélectionné dans "Etat logique" puis il est rajouté dans les sortieslogiques. On visualise ainsi l’état logique du disjoncteur (ouvert ou fermé) IZ2c est sélectionné dans "Sorties" puis elle est rajoutée dans "Courant", cela nouspermet <strong>de</strong> visualiser la va<strong>leur</strong> efficace du courant. Z2c est sélectionné dans "Visualisation sortie", "Sélection <strong>de</strong>s courbes" puis rajoutédans "Courant". Cela nous permet <strong>de</strong> visualiser la courbe du courant <strong>de</strong> court-circuit.Fig. 24 Affichage du résultat d'une <strong>simulation</strong>147 / 213


Chapitre 4 : ValidationLa va<strong>leur</strong> courant <strong>de</strong> court-circuit est indiquée sur la sortie IZ2.Pour mesurer le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t du disjoncteur, on utilise les marqueurs du logicielpour relever le temps écoulé <strong>en</strong>tre la création du court-circuit par l’appui sur le boutonpoussoir et l’ouverture du disjoncteur.Ici, on relève Icc = 166.117A et Td = 7ms.3.5 Mesures.Pour chaque cas d’essai, on provoque un court-circuit. Nous relevons la courbe du couranttraversant les disjoncteurs. A partir <strong>de</strong> cette courbe, Nous mesurons la va<strong>leur</strong> du courant <strong>de</strong>court-circuit et le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t du disjoncteur.3.5.1 Métho<strong>de</strong> <strong>de</strong> prises <strong>de</strong> mesures.Les mesures se sont effectuées à l’ai<strong>de</strong> d’un oscilloscope numérique, d’une son<strong>de</strong> isolée <strong>de</strong>courant et d’un ordinateur portable <strong>de</strong> type PC.L’oscilloscope à mémoire numérique est un TDS2002 (Tektronix). Il dispose d’une mémoire<strong>de</strong> 2500 points permettant d’afficher les courbes prov<strong>en</strong>ant <strong>de</strong> <strong>de</strong>ux voies différ<strong>en</strong>tes surl’écran cou<strong>leur</strong>. Un module d’ext<strong>en</strong>sion <strong>de</strong> communication TDS2CMA a été ajouté afin <strong>de</strong>permettre une connexion à un ordinateur via un câble Null Mo<strong>de</strong>m.La son<strong>de</strong> <strong>de</strong> courant utilisée est une MN60 BNC ( Chauvin Arnoux ). Cette son<strong>de</strong> à effet Hallse connecte directem<strong>en</strong>t sur l’une <strong>de</strong>s voies d’un oscilloscope. Elle dispose <strong>de</strong> <strong>de</strong>ux calibrespermettant <strong>de</strong> mesurer <strong>de</strong>s courants compris <strong>en</strong>tre 100mA et 20A ou <strong>en</strong>tre 500mA et 200A.Son rapport <strong>de</strong> conversion est <strong>de</strong> 1A/10mV pour le plus grand calibre et 1A/100mV pour leplus petit. Sa plage <strong>de</strong> fonctionnem<strong>en</strong>t optimale est comprise <strong>en</strong>tre 48Hz et 65Hz et sonfacteur <strong>de</strong> distorsion est inférieur à 1%.L’ordinateur portable est un PC Packard Bell disposant d’un connecteur RS232 permettant <strong>de</strong>connecter l’oscilloscope numérique. Le logiciel WaveStar Version 2.6 (Tektronix) y a étéinstallé afin <strong>de</strong> traiter les informations prov<strong>en</strong>ant <strong>de</strong> l’oscilloscope.3.5.2 Relevé <strong>de</strong> courant.La son<strong>de</strong> <strong>de</strong> courant est connectée à l’oscilloscope et placée sur l’un <strong>de</strong>s fils du montage. Lecalibre sélectionné est le plus grand car les courants <strong>de</strong> courts-<strong>circuits</strong> peuv<strong>en</strong>t dépasser les200A. Afin <strong>de</strong> mesurer le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs ainsi que les courants <strong>de</strong>court-circuit, l’oscilloscope a été utilisé dans son mo<strong>de</strong> SEQ UNIQUE qui permet <strong>de</strong> capturerune séqu<strong>en</strong>ce unique du signal et <strong>de</strong> la conserver à l’écran. Le décl<strong>en</strong>chem<strong>en</strong>t se fait sur frontmontant lorsque le signal dépasse un seuil prédéfini. La partie antérieure au décl<strong>en</strong>chem<strong>en</strong>test sauvegardée n'est donc pas perdue. Par contre, il peut arriver que sur un signal court ou unfront <strong>de</strong>sc<strong>en</strong>dant, l’acquisition ne se fasse pas. Il faut alors recomm<strong>en</strong>cer la manipulation.Une fois le signal affiché sur l’écran <strong>de</strong> l’oscilloscope, celui-ci est transféré sur l’ordinateurqui permet aisém<strong>en</strong>t <strong>de</strong> mesurer le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs et les va<strong>leur</strong>s <strong>de</strong>courants. Toutes les courbes sont sauvegardées afin d’être év<strong>en</strong>tuellem<strong>en</strong>t réutiliséesultérieurem<strong>en</strong>t.148 / 213


Chapitre 4 : Validation3.5.3 Problèmes <strong>de</strong> mesures.Il est apparu dès les premiers tests que les résultats obt<strong>en</strong>us pour <strong>de</strong>ux essais i<strong>de</strong>ntiquespeuv<strong>en</strong>t varier. Ces variations peuv<strong>en</strong>t prov<strong>en</strong>ir <strong>de</strong>s conditions <strong>de</strong> température ambiantediffér<strong>en</strong>tes, d'échauffem<strong>en</strong>t <strong>de</strong>s disjoncteurs et <strong>de</strong>s bobines et <strong>de</strong> l'instant <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t ducourt-circuit par rapport à la va<strong>leur</strong> instantanée du signal sinusoïdal <strong>de</strong> fréqu<strong>en</strong>ce 50Hzcomme le montre la Fig. 25.I(A)I(A)t(s)t(s)Apparition dudéfautInstant présumé <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>tt1Cas <strong>de</strong> figure 1Décl<strong>en</strong>chem<strong>en</strong>t au bout <strong>de</strong> t1Cas <strong>de</strong> figure 2Décl<strong>en</strong>chem<strong>en</strong>t au bout <strong>de</strong> t2t1>t2Fig. 25 Variation du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t selon l'instant d'apparition du défautt2Même quantitéd'énergiedissipéeEn effet, si le défaut apparaît alors que le signal est dans sa partie haute (cas 2 <strong>de</strong> la Fig. 25),la quantité d'énergie qui traverse le disjoncteur est importante. A l'inverse, si le défaut apparaîtalors que le signal est près <strong>de</strong> l'origine, le temps nécessaire pour accumuler la même quantitéd'énergie pour décl<strong>en</strong>cher le disjoncteur est plus grand (cas 1 <strong>de</strong> la Fig. 25).Les 5 courbes suivantes représ<strong>en</strong>t<strong>en</strong>t le courant <strong>de</strong> court-circuit à partir du mom<strong>en</strong>t où celui-cicomm<strong>en</strong>ce jusqu’au mom<strong>en</strong>t où le disjoncteur se décl<strong>en</strong>che. Les conditions d'essai sonti<strong>de</strong>ntiques : même disjoncteur, même charge. Au moins 5 minutes se sont écoulées <strong>en</strong>trechaque décl<strong>en</strong>chem<strong>en</strong>t afin que le système, et <strong>en</strong> particulier le décl<strong>en</strong>cheur thermique, ait letemps <strong>de</strong> refroidir.Fig. 26 D1C Z2c TEST 1 Front montantCourant Efficace : 142ATemps <strong>de</strong> coupure : 17.1msFig. 27 D1C Z2c TEST 2 Front montantCourant Efficace : 142ATemps <strong>de</strong> coupure : 17.4ms149 / 213


Chapitre 4 : ValidationFig. 28 D1C Z2c TEST 3 Front <strong>de</strong>sc<strong>en</strong>dantCourant Efficace : 141ATemps <strong>de</strong> coupure : 26.5msFig. 30 D1C Z2c TEST 5 Front montantCourant Efficace : 141ATemps <strong>de</strong> coupure : 27.1msFig. 29 D1C Z2c TEST 4 Front montantCourant Efficace : 141ATemps <strong>de</strong> coupure : 36.2msEn raison <strong>de</strong> la dispersion <strong>de</strong>s résultats pourun même essai, nous avons décidé d’effectuerchaque mesure trois fois et <strong>de</strong> gar<strong>de</strong>r lamoy<strong>en</strong>ne <strong>de</strong>s résultats.Lors <strong>de</strong>s <strong>simulation</strong>s, le résultat obt<strong>en</strong>u seratoujours le même car le simulateur considèreque la forme du signal est toujours la mêmeavec le déphasage <strong>de</strong> la t<strong>en</strong>sion toujours nulau temps t=0. De plus la <strong>simulation</strong> ne pr<strong>en</strong>dpas <strong>en</strong> compte les phénomènes transitoiresliés à la prés<strong>en</strong>ce d’inductances dans le circuitet qui peuv<strong>en</strong>t avoir un effet sur la forme <strong>de</strong>ssignaux.3.5.4 Mesures effectuées.Les résultats relevés pour les trois schémas sont regroupés dans les tableaux prés<strong>en</strong>tés <strong>en</strong>Annexes 13, 14 et 15 . A chaque fois les trois mesures sont données mais lors <strong>de</strong> l'analyse <strong>de</strong>srésultats, nous nous intéresserons plus particulièrem<strong>en</strong>t aux va<strong>leur</strong>s moy<strong>en</strong>nes.Le banc est composé <strong>de</strong> <strong>de</strong>ux surfaces <strong>de</strong> travail à peu près i<strong>de</strong>ntiques. Les essais sur les<strong>circuits</strong> à un disjoncteur ont été effectués sur les <strong>de</strong>ux cotés. Il est apparu que les disjoncteursinstallés sur le coté 1 ne donnai<strong>en</strong>t pas toujours <strong>de</strong>s temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t cohér<strong>en</strong>tscomme D1 courbe C ou D3 courbe D qui ont <strong>de</strong>s temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t beaucoup troplongs. C'est <strong>en</strong> effet la surface la plus utilisée et par conséqu<strong>en</strong>t les composants sont plussollicités. Nous avons donc décidé <strong>de</strong> n'utiliser que les disjoncteurs situés sur le coté 2 dubanc pour la suite <strong>de</strong>s tests. Les disjoncteurs disponibles sont D1, D3 et D4.Les résultats obt<strong>en</strong>us grâce au simulateur ne sont valables que pour <strong>de</strong>s appareils neufs ouutilisés dans <strong>de</strong>s conditions normales. Les modèles ne pr<strong>en</strong>n<strong>en</strong>t pas <strong>en</strong> compte l'usure <strong>de</strong>scomposants.150 / 213


Chapitre 4 : Validation3.6 Comparaison <strong>de</strong>s résultats.Les tableaux suivants ( Tab. 4, Tab. 5, Tab. 6 ) prés<strong>en</strong>t<strong>en</strong>t les va<strong>leur</strong>s théoriques, simulées etmesurées <strong>de</strong>s courants <strong>de</strong> courts-<strong>circuits</strong> et <strong>de</strong>s temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs. Ilest à remarquer que les va<strong>leur</strong>s <strong>de</strong>s courants sont <strong>en</strong> va<strong>leur</strong>s efficaces pour les va<strong>leur</strong>sthéoriques et simulées et <strong>en</strong> va<strong>leur</strong> max pour celles mesurées. En effet, lors <strong>de</strong>s manipulations,il n'était pas toujours possible <strong>de</strong> relever la va<strong>leur</strong> efficace du courant car le temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t du disjoncteur était le plus souv<strong>en</strong>t inférieur à une pério<strong>de</strong> <strong>de</strong> sinusoï<strong>de</strong> (50Hzdonc 20ms).Nous disposons <strong>de</strong> 55 configurations différ<strong>en</strong>tes : 8 cas pour le circuit à un disjoncteur. 24 cas pour le circuit à <strong>de</strong>ux disjoncteurs. 23 cas pour le circuit à trois disjoncteurs.Pour chacune, nous avons comparé les différ<strong>en</strong>ces <strong>en</strong>tre le comportem<strong>en</strong>t simulé et lecomportem<strong>en</strong>t réel. Dans le cas du circuit à un disjoncteur, Nous nous sommes plusparticulièrem<strong>en</strong>t intéressés à la précision <strong>de</strong>s va<strong>leur</strong>s <strong>de</strong> Icc et du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t.Les cas <strong>de</strong>s <strong>circuits</strong> à <strong>de</strong>ux et trois disjoncteurs nous permett<strong>en</strong>t plutôt <strong>de</strong> vérifier la sélectivitéavec <strong>leur</strong>s 47 cas <strong>de</strong> test.151 / 213


Chapitre 4 : ValidationEcarts(1) Va<strong>leur</strong>sThéoriques(2) Va<strong>leur</strong>sSimulées(3) Va<strong>leur</strong>s Mesurées |(1)-(2)||(1)-(2)|/1(%)|(1)-(3)||(1)-(3)|/1(%)|(2)-(3)| |(2)-(3)|/2(%)|(1)-(3)|-|(2)-(3)||(1)-(3)|-|(2)-(3)| %ChargeDisjoncteurCourbeIcceff (A)Temps <strong>de</strong>coupuremin(ms)max(ms)Icc Tempseff (A) (ms)Iccmax (A)Banc côté 2Temps <strong>de</strong>coupure(ms)Icceff(A)Tps(ms)IcceffTpsTps(ms)TpsTps(ms)Tps Tps( ms) TpsB 176 10 17 166 7 183 8,0 9,6 3,0 5,4 30,0 2,0 20,0 1,0 14,3 1,0 5,6D1 C 176 10 1800 166 9 200 22,9 9,6 1,0 5,4 10,0 12,9 129,3 13,9 154,7 -1,0 -25,5Z2cD 176 700 1800 166 848 196 1200,0 9,6 148,0 5,4 21,1 500,0 71,4 352,0 41,5 148,0 29,9B 165 8 20 157 6 163 6,0 8,1 2,0 4,9 25,0 2,0 25,0 0,0 0,1 2,0 25,0D3 C 165 8 20 157 7 169 7,0 8,1 1,0 4,9 12,5 1,0 11,9 0,0 0,7 0,9 11,3D 165 8 20 157 10 171 13,5 8,1 2,0 4,9 25,0 5,5 68,4 3,5 34,7 2,0 33,7B 67 9 19 66 7 96 6,1 1,1 2,0 1,7 22,2 2,9 32,1 0,9 12,7 2,0 19,4Z4 D4 C 67 9 19 66 8 93 9,7 1,1 1,0 1,7 11,1 0,7 8,1 1,7 21,6 -1,0 -13,5Tab. 4 Calcul <strong>de</strong>s écarts pour le circuit à un disjoncteur.152 / 213


Chapitre 4 : ValidationD1 D2BBCDBCDBD CDImpédancesutiliséesIcc(A)SélectThéorie Simulation MesuresTemps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t (ms) IccDisj. Tps (ms) Icc (A) Disj Tps (ms)min D1 max D1 min D2 max D2 (A)Z1b, Z2c, Z4 51 T 8000 28000 10,5 29 51 2 7 67 2 19Z1c, Z2c 88 P 13 6000 9 23 92 2 7 93 2 8Z1c, Z2a 116 A 13 19 8,5 20,5 107 2 7 107 2 7Z1a, Z2b 167 A 11 18 8 20 151 2 6 154 2 6Z1b, Z2c, Z4 51 T 8000 28000 3100 8000 51 2 26 67 2 5736Z1c, Z2b 103 A 12 20 9 21 96 1 8 108 2 27Z1a, Z2c 131 A 11 19 8 20 120 1 7 129 2 7Z2a, Z3a 221 A 9 14 7 18 189 2 6 171 1&2 et 2 4Z1c, Z2c 88 A 13 6000 1400 2900 82 1 8 95 2 1814Z1b, Z2b 126 A 11 19 7,5 2000 116 1 7 129 1 30Z1a, Z2a 202 A 10 15 6,5 19,5 180 1 7 173 1&2 10Z1c, Z2c, Z4 47 T 9000 30000 10,5 1000 47 2 8 58 2 23Z1b, Z2c 104 T 2000 5000 8,9 21 97 2 7 111 2 8Z1a, Z2a 202 A 10 18 7,5 19,5 180 2 6 158 2 4Z1a 239 A 9 17 7 18,5 213 2 6 207 2 9Z1b, Z2a 146 P 11 2200 7 20 133 1 10 137 2 824Z1a, Z2a 202 A 10 18 6,5 19,5 180 1 8 171 2 12Z1a 239 A 9 17 6 18 202 1 8 223 2 4Z1b, Z2a, Z4 60 T 5500 18000 10 19 59 2 7 73 2 11Z1a 239 P 9 1100 7 18,5 171 2 6 197 2 4Z1c, Z2a 116 T 1800 3900 8,5 20,5 107 2 8 115 2 17Z1a 239 P 9 1100 7 18 213 2 6 225 2 4Z1b, Z2c 104 P 2000 5000 9 2300 97 2 631 109 2 1317Z1a, Z2a 202 P 10 1300 6,5 19,5 180 2 10 173 2 6Tab. 5 Comparatif <strong>en</strong>tre calcul théorique, résultats <strong>de</strong> <strong>simulation</strong> et comportem<strong>en</strong>t mesuré pour le circuit à <strong>de</strong>ux disjoncteurs.T Sélectivité Totale P Sélectivité Partielle A Aucune Sélectivité Courant <strong>de</strong> Court-circuitDiffér<strong>en</strong>ce <strong>en</strong>tre <strong>simulation</strong> et mesure Sélectivité simulée mais non respectée Temps à comparer153 / 213


Chapitre 4 : ValidationD1BD3 D4 ImpédancesutiliséesBCDBCBCBCIcc(A)SélectThéorie Simulation MesuresTemps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t (ms)Icc Disjoncteur Tps Icc Disjoncteurmin D1 max D1 min D3 max D3 min D4 max D4 (A) qui coupe (ms) (A) qui coupeZ1c, Z4, Z5 33 Part. (3/4) 30000 130000 11 19000 12 22 33 4 7 46 4 16,9Z1c, Z2c, Z4 42 Part. (3/4) 13000 50000 10,5 10000 11 21 40 4 7 54 4 10,8Z1c, Z2c 71 Part. (1/3/4) 13 9000 10 27 9 20 66 4 7 77 3&4 6,8Z2b, Z3b 101 NS 12 20 9 22 8 19 82 4 7 100 3&4 4,4Z1c, Z2c, Z4 42 NS (3/4) 13000 50000 10,5 10000 11 6500 40 3 8 55 3 78,8Z2a, Z4 61 NS (3/4) 6300 12000 10 29 9,5 20 58 3 7 77 4 et 3&4 7,0Z1b, Z2a 99 NS (1/3/4) 12 20 9 22 7 17 93 3 7 103 3&4 7,9Z1a, Z2a 117 NS (1/3/4) 11 19 7 21 6 16 110 3&4 7 122 3&4 5,6Z1c, Z2a, Z4 47 Totale 10000 30000 3100 8000 10,5 20,5 45 4 7 57 4 6,8Z2c, Z3c 77 Part. (1/3/4) 13 8000 9,5 3500 9 19,5 73 4 7 83 4 7,7Z1b, Z2a 99 NS (1/3/4) 12 20 9 29 8 19 93 4 6 105 4 4,9Z1a, Z2a 117 NS (1/3/4) 11 19 7,5 21 7 14 110 4 6 125 4 3,2Z1a, Z4 59 Part. (3/4) 6000 20000 2200 5500 10 2400 56 4 8 75 4 11,0Z1b, Z2b 91 Part. (1/3/4) 12 5000 9 2800 8 18 85 4 7 91 4 5,5Z2b, Z3b 101 NS (1/3/4) 12 20 9 29 7 17 94 4 7 104 4 4,4Z1a, Z2a 117 NS (1/3/4) 11 19 7,5 21 6 16 110 4 7 121 4 5,9Z2b, Z4 57 Totale 6000 20000 2700 5800 10 20 55 4 7 69 4 5,9Z1b, Z2a 99NS (1/3)Part. (1/4) 12 20 29 2500 8 1993 4 6 102 4 6,8Z1a, Z2a 117 NS (1/3/4) 11 19 8 2000 7 14 110 4 6 115 4 4,3Z2a, Z3a 121 NS (1/3/4) 11 19 8 2000 7 14 114 4 6 118 4 3,3Z2b, Z4 57 Part. (1/3) 6000 20000 2800 7000 10 2400 55 4 8 74 4 9,2Z1b, Z2a 99NS (1/3)Part. (1/4) 12 20 29 2500 7 1793 4 7 105 4 4,7Z1a 124 NS (1/3/4) 11 19 8 2000 6 16 118 4 7 142 4 3,2Tab. 6 Comparatif <strong>en</strong>tre calcul théorique, résultats <strong>de</strong> <strong>simulation</strong> et comportem<strong>en</strong>t mesuré pour le circuit à <strong>de</strong>ux disjoncteurs.T Sélectivité Totale P Sélectivité Partielle A Aucune Sélectivité Courant <strong>de</strong> Court-circuitDiffér<strong>en</strong>ce <strong>en</strong>tre <strong>simulation</strong> et mesure Sélectivité simulée mais non respectée Temps à comparerTps(ms)154 / 213


Chapitre 4 : Validation3.7 Analyse <strong>de</strong>s différ<strong>en</strong>ces.Comme le montr<strong>en</strong>t les tableaux précé<strong>de</strong>nts, <strong>de</strong>s écarts peuv<strong>en</strong>t surv<strong>en</strong>ir <strong>en</strong>tre les va<strong>leur</strong>sthéoriques, les <strong>simulation</strong>s et les mesures effectuées aux cours <strong>de</strong>s essais. Ces écarts se situ<strong>en</strong>tà trois niveaux : au niveau <strong>de</strong>s courants <strong>de</strong> défauts, <strong>de</strong>s temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>sdisjoncteurs et <strong>de</strong>s sélectivités <strong>de</strong>s montages. Ces gran<strong>de</strong>urs étant interdép<strong>en</strong>dantes, un écartsur le courant <strong>de</strong> court-circuit va impliquer un écart sur le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t et peuaboutir à une différ<strong>en</strong>ce <strong>de</strong> sélectivité. Rappelons que l’appréciation <strong>de</strong> la qualité <strong>de</strong> résultatsne peut être que qualitative et portera sur la cohér<strong>en</strong>ce du comportem<strong>en</strong>t. Les métho<strong>de</strong>s <strong>de</strong>calcul normalisées et les données constructeur ne donn<strong>en</strong>t qu’une estimation assez large ducomportem<strong>en</strong>t att<strong>en</strong>du.3.7.1 Différ<strong>en</strong>ce <strong>de</strong>s courants <strong>de</strong> défaut.A l’analyse du Tab. 4, Il paraît évi<strong>de</strong>nt que les résultats obt<strong>en</strong>us ne peuv<strong>en</strong>t pas êtrerigoureusem<strong>en</strong>t les mêmes lors <strong>de</strong>s manipulations et <strong>de</strong>s calculs théoriques et simulés.Cep<strong>en</strong>dant, les va<strong>leur</strong>s lors <strong>de</strong>s <strong>simulation</strong>s <strong>de</strong>vrai<strong>en</strong>t approcher <strong>de</strong> manière assez précise lesva<strong>leur</strong>s théoriques. On relève par exemple un courant <strong>de</strong> défaut <strong>de</strong> 176A théorique pour uncourant <strong>de</strong> défaut <strong>de</strong> 166A simulé pour le montage à un disjoncteur 20A. Cet écart diminue<strong>en</strong>suite pour les disjoncteurs à plus faible calibre. Il est possible que les métho<strong>de</strong>s <strong>de</strong> calculutilisées par le simulateur ne soi<strong>en</strong>t pas les mêmes que celles utilisées dans ce docum<strong>en</strong>t.Cette différ<strong>en</strong>ce va donc égalem<strong>en</strong>t <strong>en</strong>traîner un écart sur le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t dudisjoncteur.Si on analyse finem<strong>en</strong>t les résultats, on constate qu’<strong>en</strong>tre la <strong>simulation</strong> et la mesure, lesdiffér<strong>en</strong>ces <strong>de</strong> temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t (écart |(2)-(3)|) sont généralem<strong>en</strong>t minimes (<strong>de</strong> l’ordre<strong>de</strong> la millisecon<strong>de</strong>) et correspon<strong>de</strong>nt aux écarts att<strong>en</strong>dus. On trouve toutefois <strong>de</strong>s écartsimportant pour certaines va<strong>leur</strong>s. Ils sont explicables <strong>en</strong> partie par une différ<strong>en</strong>ce importantedu courant <strong>de</strong> court circuit mesuré qui se répercute sur la va<strong>leur</strong> du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t.Ces différ<strong>en</strong>ces sont d’autant plus flagrantes si on se trouve à la jonction <strong>en</strong>tre les <strong>de</strong>uxdécl<strong>en</strong>cheurs où la variation <strong>de</strong> la courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t est quasi verticale. Ici unevariation faible du courant Icc peut se traduire par un écart très important <strong>en</strong> terme <strong>de</strong> temps<strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t. Il est important <strong>de</strong> relever que dans la majorité <strong>de</strong>s cas la <strong>simulation</strong> donneune meil<strong>leur</strong>e estimation du résultat que la métho<strong>de</strong> <strong>de</strong> calcul (écart |(1)-(3)|-|(2)-(3)| ).De plus les temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t sont donnés par les constructeurs à partir <strong>de</strong> mesures <strong>en</strong>courant continu. Pour <strong>de</strong>s courants très élevés, on a <strong>de</strong>s temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t très rapi<strong>de</strong>sinférieurs à une pério<strong>de</strong> du signal (20 ms) comme on l’a décrit <strong>en</strong> Fig. 25. Or le simulateurdétermine les temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t par rapport à la va<strong>leur</strong> efficace du courant <strong>de</strong> courtcircuit.La notion <strong>de</strong> va<strong>leur</strong> efficace est valable pour un signal sur un nombre fini <strong>de</strong> pério<strong>de</strong>smais pas sur une portion <strong>de</strong> cette pério<strong>de</strong>. Il convi<strong>en</strong>drait dans ces cas <strong>de</strong> résonner davantagepar rapport à l’énergie apporté qu’<strong>en</strong> fonction <strong>de</strong> la va<strong>leur</strong> efficace du courant.Il n’est pas forcem<strong>en</strong>t alarmant d’avoir un écart fort <strong>en</strong>tre les va<strong>leur</strong>s <strong>de</strong> Icc issues <strong>de</strong> la<strong>simulation</strong> et celles mesurées. En effet, on peut difficilem<strong>en</strong>t prévoir la va<strong>leur</strong> <strong>de</strong> l’impédancequi provoque le court-circuit et donc la va<strong>leur</strong> <strong>de</strong> l’Icc. Le point le plus critique est que ledisjoncteur ait bi<strong>en</strong> le même comportem<strong>en</strong>t <strong>en</strong> <strong>simulation</strong> que dans la réalité.C’est donc la sélectivité qui sera le plus important <strong>de</strong> respecter lors <strong>de</strong> la <strong>simulation</strong>.155 / 213


Chapitre 4 : Validation3.7.2 Cohér<strong>en</strong>ce <strong>de</strong>s résultats au niveau <strong>de</strong> la sélectivité.Lors <strong>de</strong>s prises <strong>de</strong> mesure, il est apparu que les disjoncteurs se comportai<strong>en</strong>t comme prévu parle simulateur lorsque l’on se trouve <strong>en</strong> sélectivité totale. Cep<strong>en</strong>dant, dans certains cas où lechoix du matériel ne permet pas <strong>de</strong> respecter la sélectivité (non-sélectivité ou sélectivitépartielle) le simulateur annonce parfois <strong>de</strong>s résultats différ<strong>en</strong>ts <strong>de</strong> ceux mesurés, où ce n’estpas le disjoncteur att<strong>en</strong>du qui décl<strong>en</strong>che. Nous att<strong>en</strong>dons du simulateur qu’il nous ai<strong>de</strong> àprédire <strong>de</strong>s cas <strong>de</strong> non-sélectivité. On distinguera donc les cas où il prévoit une non-sélectivitéqui ne se vérifie pas dans la réalité <strong>de</strong>s autres cas plus rares (3 sur 47 cas <strong>de</strong> test) où lesimulateur prés<strong>en</strong>te un fonctionnem<strong>en</strong>t d’appar<strong>en</strong>te sélectivité alors que dans la réalité on aune non-sélectivité.3.7.2.1 Cas <strong>de</strong> non-sélectivité observés.Les cas <strong>de</strong> non-sélectivité que nous avons relevés correspon<strong>de</strong>nt à <strong>de</strong>s cas relativem<strong>en</strong>tréalistes. Ils peuv<strong>en</strong>t apparaître quand un appareil aval et amont ont <strong>de</strong>s calibres proches et<strong>de</strong>s courbes <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t mal adaptées. Dans ce cas, <strong>leur</strong>s caractéristiques Td=f(Icc) sont<strong>en</strong> partie confondues notamm<strong>en</strong>t sur la partie thermique <strong>de</strong> la courbe.On peut constater que les cas <strong>de</strong> non-sélectivité intervi<strong>en</strong>n<strong>en</strong>t pour <strong>de</strong>s temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>ts très faibles <strong>de</strong> l’ordre <strong>de</strong> la millisecon<strong>de</strong>. Dans tous les cas <strong>de</strong> non-sélectivitéque nous avons relevés, les <strong>de</strong>ux disjoncteurs décl<strong>en</strong>ch<strong>en</strong>t simultaném<strong>en</strong>t. On n’a jamais <strong>de</strong>cas ou seul l’appareil amont décl<strong>en</strong>che. On trouve égalem<strong>en</strong>t <strong>de</strong>s cas <strong>de</strong> non-sélectivitéflagrante quand on compare les courbes mais ou le fonctionnem<strong>en</strong>t réel semble correct. Dansce cas, le simulateur doit faire apparaître le risque <strong>de</strong> non-sélectivité.3.7.2.2 Décl<strong>en</strong>chem<strong>en</strong>t amont et aval simultané.Nous avons relevé 5 cas sur 47 où dans la réalité les disjoncteurs amont et aval décl<strong>en</strong>ch<strong>en</strong>tsimultaném<strong>en</strong>t alors que la <strong>simulation</strong> ne prévoit que le décl<strong>en</strong>chem<strong>en</strong>t du disjoncteur amont.Dans la <strong>simulation</strong> et <strong>en</strong> mesure, on constate dans les <strong>de</strong>ux cas que l’on a un cas <strong>de</strong> nonsélectivité,le simulateur remplit donc correctem<strong>en</strong>t sa fonction. Toutefois Les modèles <strong>de</strong>disjoncteur utilisés lors <strong>de</strong>s <strong>simulation</strong>s ne permett<strong>en</strong>t pas <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t simultané <strong>de</strong> 2disjoncteurs.Dans le cas D1(B),D2(C,Z2a,Z3a) on peut constater que les temps minimum et maximum <strong>de</strong>sdiffér<strong>en</strong>ts appareils sont quasim<strong>en</strong>t i<strong>de</strong>ntiques. Le simulateur va donc déterminer un temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t pour chacun <strong>de</strong>s disjoncteurs qui sera assez proche mais pas confondu. Les<strong>de</strong>ux décl<strong>en</strong>chem<strong>en</strong>ts seront planifiés à <strong>de</strong>s instants très proches (écart <strong>de</strong> l’ordre <strong>de</strong> 1ms).Dès que le premier disjoncteur a décl<strong>en</strong>ché, dans la <strong>simulation</strong>, le circuit est ouvert donc le<strong>de</strong>uxième disjoncteur n’est plus parcouru par le courant <strong>de</strong> défaut. Sans défaut, ledécl<strong>en</strong>chem<strong>en</strong>t prévu est annulé et n’aura pas lieu. Or dans la réalité, il décl<strong>en</strong>che tout <strong>de</strong>même. Pour ces va<strong>leur</strong>s <strong>de</strong> Icc (Icc >> 10.In) c’est le décl<strong>en</strong>cheur thermique qui opère. Enraison <strong>de</strong> l’inertie thermique, même après l’ouverture du circuit, ce décl<strong>en</strong>cheur continue às’échauffer p<strong>en</strong>dant un temps suffisant pour ouvrir le disjoncteur.Il sera nécessaire <strong>de</strong> corriger le modèle afin d’intégrer ce phénomène. Cela pose surtout unproblème dans les cas inverses ou la sélectivité semble respecté <strong>en</strong> <strong>simulation</strong> mais ne l’estpas <strong>en</strong> réalité ni <strong>en</strong> théorie.156 / 213


Chapitre 4 : Validation3.7.2.3 Non-sélectivité mesurée mais non simulée.Dans <strong>de</strong> rares cas, on mesure une non sélectivité avec décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s composants amontet aval, alors que la <strong>simulation</strong> affiche un comportem<strong>en</strong>t ou seul le disjoncteur aval disjoncte.Ce cas est plus problématique que le précé<strong>de</strong>nt car il laisse passer un risque et une nonconformité<strong>de</strong> l’installation. Cela représ<strong>en</strong>te 2 cas sur 47. Les causes <strong>en</strong> sont les mêmes quepour le cas précé<strong>de</strong>nt sauf qu’ici c’est le disjoncteur aval qui décl<strong>en</strong>che <strong>en</strong> premier suivi par ledisjoncteur amont, un très court instant plus tard.3.8 Conclusion.Le simulateur a démontré un bon fonctionnem<strong>en</strong>t global. Les ordres <strong>de</strong> gran<strong>de</strong>urcorrespon<strong>de</strong>nt dans l’<strong>en</strong>semble à ceux observées lors <strong>de</strong>s <strong>simulation</strong>s. La sélectivité est <strong>en</strong>général bi<strong>en</strong> respectée, même si <strong>de</strong>s différ<strong>en</strong>ces ont pu être observées. En cas <strong>de</strong> résultatdiffér<strong>en</strong>t, la plupart du temps, le simulateur est plus sélectif que la réalité. Il fait apparaître <strong>de</strong>sdéfauts pot<strong>en</strong>tiels ce qui apporte une bonne sécurité d'utilisation.Le comportem<strong>en</strong>t global du simulateur est satisfaisant puisqu'<strong>en</strong> sélectivité totale, les résultatsobt<strong>en</strong>us après <strong>simulation</strong> sont toujours ceux observés sur le banc. En sélectivité partielle ounon-sélectivitée, seuls quelques rares résultats diffèr<strong>en</strong>t <strong>en</strong>tre les <strong>simulation</strong>s et la réalité.Dans l’<strong>en</strong>semble <strong>de</strong>s cas, nous obt<strong>en</strong>ons une meil<strong>leur</strong>e précision que celle du calcul théoriquequi est utilisé pour le dim<strong>en</strong>sionnem<strong>en</strong>t <strong>de</strong>s protections.Il reste à pr<strong>en</strong>dre <strong>en</strong> considération l’inertie thermique <strong>de</strong>s décl<strong>en</strong>cheurs pour la <strong>simulation</strong> <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t quasi instantanés. Il serait égalem<strong>en</strong>t utile <strong>de</strong> pr<strong>en</strong>dre <strong>en</strong> considération <strong>de</strong>va<strong>leur</strong> crête du courant <strong>de</strong> court-circuit pour les décl<strong>en</strong>chem<strong>en</strong>ts rapi<strong>de</strong>s. De plus, le modèle<strong>de</strong>vra pr<strong>en</strong>dre <strong>en</strong> compte plus précisém<strong>en</strong>t le comportem<strong>en</strong>t du disjoncteur dans la zone <strong>de</strong>jonction <strong>de</strong>s <strong>de</strong>ux décl<strong>en</strong>cheurs ou une augm<strong>en</strong>tation <strong>de</strong> 5% du court <strong>de</strong> court-circuit peut setraduire par une diminution <strong>de</strong> 99 % du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t.Ces essais nous ont permis <strong>de</strong> vérifier le comportem<strong>en</strong>t <strong>de</strong>s disjoncteurs <strong>en</strong> cas <strong>de</strong> courtcircuit.Cela ne couvre qu’une partie <strong>de</strong> <strong>leur</strong> rôle <strong>de</strong> protection. Le banc d’essai évolutif ( voirparagraphe 4) a été réalisé afin <strong>de</strong> compléter cette validation.157 / 213


Chapitre 4 : Validation4 Banc d’essai évolutif.Suite à la première série <strong>de</strong> tests effectués sur un banc <strong>de</strong> sélectivité "Très Basse T<strong>en</strong>sion", un<strong>de</strong>uxième banc <strong>de</strong> mesure a été utilisé dans le cadre <strong>de</strong> la validation du module <strong>de</strong> <strong>simulation</strong><strong>de</strong> <strong>circuits</strong> électrotechniques. Nous avons conçu ce banc d’essai évolutif pour vali<strong>de</strong>r lecomportem<strong>en</strong>t <strong>de</strong> différ<strong>en</strong>ts types <strong>de</strong> matériel tels que les disjoncteurs, contacteur, câbles. Lesessais réalisés ici sont complém<strong>en</strong>taires <strong>de</strong> ceux faits sur le banc <strong>de</strong> sélectivité car il couvred’autres plages <strong>de</strong> fonctionnem<strong>en</strong>t <strong>de</strong>s disjoncteurs et il inclut d’autres types <strong>de</strong> matériel.Contrairem<strong>en</strong>t aux tests effectués sur le premier banc, ici la sélectivité n'est pas traitée. C'estplutôt le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t dans le cadre d'un fonctionnem<strong>en</strong>t simple, aussi bi<strong>en</strong> <strong>en</strong>courant alternatif qu'<strong>en</strong> continu, qui sera observé. Les nombreuses possibilités <strong>de</strong> tests offertespar ce banc permettront égalem<strong>en</strong>t d'étudier l'influ<strong>en</strong>ce d'une variation <strong>en</strong> forme d'échelon ducourant sur le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t d'un disjoncteur, le temps <strong>de</strong> réaction d'un relais ou<strong>en</strong>core le comportem<strong>en</strong>t d'un câble <strong>de</strong> gran<strong>de</strong> longueur et la chute <strong>de</strong> t<strong>en</strong>sion qui apparaît à sesbornes <strong>en</strong> fonction <strong>de</strong> son mo<strong>de</strong> <strong>de</strong> pose.4.1 Description du banc.Ce banc à été dim<strong>en</strong>sionné pour être complém<strong>en</strong>taire du banc d’essai <strong>de</strong> sélectivité. Ce<strong>de</strong>rnier permettait d’étudier <strong>de</strong>s courts-<strong>circuits</strong> et <strong>de</strong> travailler avec <strong>de</strong>s courants allant jusqu’à200A mais pas <strong>de</strong> travailler sur les zones <strong>de</strong> surcharges <strong>de</strong>s disjoncteurs ( In > Icc > 10.In) ni<strong>de</strong> traiter d’autres appareils. Le banc d’essai évolutif permet <strong>de</strong> travailler avec <strong>de</strong>s courantsallant jusqu’à 40A sous 230V ou 240 V alternatif ou <strong>en</strong> courant continu.Nous utilisons <strong>de</strong>s disjoncteurs C60N Merlin-Gérin <strong>de</strong> calibres très faibles, <strong>en</strong>tre 0,5 et 2 Aafin <strong>de</strong> pouvoir couvrir suffisamm<strong>en</strong>t la courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>en</strong> travaillant avec <strong>de</strong>scourants inférieurs à 30 A. Ainsi, nous avons pu dim<strong>en</strong>sionner notre banc <strong>de</strong> manièrerelativem<strong>en</strong>t réaliste (section <strong>de</strong> câbles, puissance <strong>de</strong>s sources et du transformateur, etc) etadaptée à nos possibilités.Ce banc est divisé <strong>en</strong> 2 maquettes séparées : un "mini banc mobile" et un "banc d'essaiprincipal".4.1.1 Mini banc mobile.Le mini banc ne sert que <strong>de</strong> support à undisjoncteur. Il ne comporte donc que 2 bornesd'<strong>en</strong>trée, 3 bornes <strong>de</strong> sortie, un disjoncteur etune résistance <strong>de</strong> charge variable branchée <strong>en</strong>série. Les 3 bornes <strong>de</strong> sortie correspon<strong>de</strong>nt à lamasse, à la va<strong>leur</strong> maximale <strong>de</strong> la résistance età la va<strong>leur</strong> intermédiaire, variable, <strong>de</strong> larésistance. Cette <strong>de</strong>rnière borne est appelée"curseur".Ce mini banc sert à caractériser lesdisjoncteurs <strong>en</strong> t<strong>en</strong>sion continue afin <strong>de</strong>comparer les résultats avec les donnéesconstructeurs et év<strong>en</strong>tuellem<strong>en</strong>t d'affiner lescourbes utilisées dans le simulateur.Fig. 31 Schéma du"mini banc mobile"158 / 213


Chapitre 4 : ValidationSes dim<strong>en</strong>sions permett<strong>en</strong>t <strong>de</strong> le transporter facilem<strong>en</strong>t et il est très simple d'utilisation. Laconception extrêmem<strong>en</strong>t simple du mini banc permet <strong>de</strong>s manipulations basiques. L'objectifest <strong>de</strong> caractériser les disjoncteurs <strong>en</strong> faisant varier le courant circulant dans le circuit. Cestests s'effectu<strong>en</strong>t <strong>en</strong> courant continu grâce à une source <strong>de</strong> courant programmable. Ils vontpermettre <strong>de</strong> vérifier les courbes <strong>de</strong> temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t fournies par le constructeur. Unefois ces courbes retracées, il est possible <strong>de</strong> comparer les résultats avec le simulateur etév<strong>en</strong>tuellem<strong>en</strong>t <strong>de</strong> modifier les données saisies pour le configurer.4.1.2 Banc d'essai principal.Cette <strong>de</strong>uxième maquette, plus complexe, sert à vérifier le bon fonctionnem<strong>en</strong>t <strong>de</strong>sdisjoncteurs <strong>en</strong> régime sinusoïdal, que ce soit <strong>en</strong> 24V ou <strong>en</strong> 230V. Il est donc divisé <strong>en</strong> 2parties, une première qui est alim<strong>en</strong>tée <strong>en</strong> 230V et une secon<strong>de</strong> <strong>en</strong> 24V.Comme le montre la Fig. 32ci-contre, la partie alim<strong>en</strong>tée<strong>en</strong> 230V est contrôlée par<strong>de</strong>ux relais, KA1 et KA3. Cesrelais sont commandés par lemême interrupteur mais KA3dispose d'une temporisationréglable qui permet d'ajouterun retard à la fermeture.Fig. 32 Banc d'essai principal, partie Basse T<strong>en</strong>sion 230VLes sorties <strong>de</strong> banc sont reliées à unboîtier dans lequel <strong>de</strong>s ampoules <strong>de</strong>100W ont été branchées <strong>en</strong> parallèle.Ces ampoules sont réparties <strong>en</strong> 2groupes <strong>de</strong> 3 ampoules chacun, ce quipermet <strong>de</strong> brancher une partie sur lasortie notée DJ5 et l'autre sur la sortieDJ5 temporisation qui, comme sonnom l'indique est la sortie retardée parKA3.Grâce à ces ampoules, il sera possibled'observer le comportem<strong>en</strong>t <strong>de</strong>sdisjoncteurs suivant le nombred'ampoules connectées et donc ducourant circulant dans le circuit.Fig. 33 Boîtier cont<strong>en</strong>ant les ampoulesLa partie basse t<strong>en</strong>sion est alim<strong>en</strong>tée <strong>en</strong> 230V et est <strong>de</strong>stinée à être reliée à <strong>de</strong>s ampoulesbranchées <strong>en</strong> parallèle. Deux sorties sont disponibles qui sont commandées par <strong>de</strong>ux relaisdont un dispose d'un retard programmable. Il est donc possible d'observer le comportem<strong>en</strong>t<strong>de</strong>s disjoncteurs <strong>en</strong> fonction du nombre d'ampoules connectées, donc du courant circulantdans le circuit.Le retardateur peut permettre d'effectuer <strong>de</strong>s tests sur l'influ<strong>en</strong>ce d'une secon<strong>de</strong> surchargeapparaissant brusquem<strong>en</strong>t alors que le circuit subissait déjà une première surcharge.159 / 213


Chapitre 4 : ValidationLa <strong>de</strong>uxième partie du banc principal ( Fig. 34 ) est alim<strong>en</strong>tée <strong>en</strong> 24V via un transformateur230V/24V <strong>de</strong> 1000 kVA. Il dispose <strong>de</strong> 4 douilles <strong>de</strong> mesures <strong>en</strong> faça<strong>de</strong>. Il est possible d'yconnecter, sur la sortie DJ1, le câble <strong>de</strong> 100m afin <strong>de</strong> relever la chute <strong>de</strong> t<strong>en</strong>sion se produisantselon la manière dont est disposé le câble. Sur la sortie DJ2, il est possible <strong>de</strong> connecter unrhéostat afin <strong>de</strong> faire varier la résistance du circuit et donc <strong>de</strong> modifier la va<strong>leur</strong> du couranttraversant le disjoncteur. On dispose égalem<strong>en</strong>t <strong>de</strong> résistances variables montées sur lamaquette qui s'ajout<strong>en</strong>t au rhéostat. On peut sélectionner selon le besoin une résistance <strong>de</strong>22 supportant une puissance <strong>de</strong> 100W ou <strong>de</strong>ux résistances parallèles <strong>de</strong> 4,7 chacune etsupportant cette fois une puissance <strong>de</strong> 280W chacune soit une résistance globale <strong>de</strong> 2.35pour 560W (courant pouvant monter jusqu'à 15.4A).Fig. 34 Banc principal, partie Très Basse T<strong>en</strong>sion 24VLa partie Très Basse T<strong>en</strong>sion du banc principal est alim<strong>en</strong>tée <strong>en</strong> 24V sinusoïdal 50Hz via untransformateur 230V/24V. La prés<strong>en</strong>ce <strong>de</strong>s résistances variables connectées à un rhéostatpermet <strong>de</strong> régler précisém<strong>en</strong>t la va<strong>leur</strong> du courant désiré dans le circuit. Il est donc possible <strong>de</strong>vérifier les résultats obt<strong>en</strong>us précé<strong>de</strong>mm<strong>en</strong>t <strong>en</strong> continu avec le mini banc. Ces tests ferontapparaître les différ<strong>en</strong>ces pouvant exister <strong>en</strong>tre les courbes constructeur fournies pour unet<strong>en</strong>sion continue et les courbes obt<strong>en</strong>ues <strong>en</strong> courant alternatif (domaine le plus fréqu<strong>en</strong>td'utilisation <strong>de</strong>s disjoncteurs).Cette partie TBT possè<strong>de</strong> égalem<strong>en</strong>t une sortie protégée par un disjoncteur 10A qui peut êtreutilisée pour connecter un câble <strong>de</strong> longue distance. Il est ainsi possible <strong>de</strong> mesurer les effets<strong>de</strong> déploiem<strong>en</strong>t du câble sur la chute <strong>de</strong> t<strong>en</strong>sion apparaissant à ses bornes.160 / 213


Chapitre 4 : Validation4.2 Caractéristiques du banc.Afin <strong>de</strong> pouvoir r<strong>en</strong>seigner au mieux les modèles <strong>de</strong>s composants, il est indisp<strong>en</strong>sable <strong>de</strong>relever certaines caractéristiques <strong>de</strong> ces composants. Il s’agit notamm<strong>en</strong>t <strong>leur</strong> impédance,nécessaire pour l’évaluation <strong>de</strong>s va<strong>leur</strong>s <strong>de</strong>s courants <strong>de</strong> défaut.4.2.1 Impédance <strong>de</strong>s disjoncteurs.Dans les premiers essais, nous avons noté l'importance <strong>de</strong> la prise <strong>en</strong>compte <strong>de</strong>s impédances <strong>de</strong>s disjoncteurs. Afin <strong>de</strong> les vérifier, ceuxciont été montés <strong>en</strong> série avec une résistance <strong>de</strong> charge purem<strong>en</strong>trésistive <strong>de</strong> 5 et un GBF fonctionnant à 50Hz comme le montre leschéma Fig. 35 ci-contre.Le résultat obt<strong>en</strong>u est prés<strong>en</strong>té sur la Fig. 36 ci-<strong>de</strong>ssous. La courbela plus gran<strong>de</strong> représ<strong>en</strong>te la t<strong>en</strong>sion aux bornes du disjoncteur etcorrespond à la voie 2 (CH2). L’autre est la t<strong>en</strong>sion fournie par leGBF (voie 1-CH1). Les <strong>de</strong>ux signaux sont parfaitem<strong>en</strong>t <strong>en</strong> phase, cequi implique que les disjoncteurs sont parfaitem<strong>en</strong>t résistifs.Voie 1 Voie 2Fig. 35 Mesured’impédance <strong>de</strong>sdisjoncteursCe montage est celui d'un pont diviseur <strong>de</strong> t<strong>en</strong>sion donc la t<strong>en</strong>sion <strong>de</strong> sortie vaut :ZDisjVout Vin (1.2.1.1)5 ZDisjsoit pour l'impédance du disjoncteur :VoutZ Dis 5 (1.2.1.2)Vin VoutPour le disjoncteur 0,5A courbe C dont le relevé à l'oscilloscope numérique est montré ci<strong>de</strong>ssous,on mesure une va<strong>leur</strong> Vin <strong>de</strong> 4.04V et Vout <strong>de</strong> 2.56V.50Hz5 OhmsDisjFig. 36 T<strong>en</strong>sion aux bornes du disjoncteur 0,5A courbe C <strong>en</strong> fonction <strong>de</strong> la t<strong>en</strong>siond'alim<strong>en</strong>tation161 / 213


Chapitre 4 : ValidationD'après l'équation (1.2.1.2), on obti<strong>en</strong>t :2.56ZDisj 5 8. 64(1.2.1.3)4.04 2.56La même métho<strong>de</strong> est utilisée pour l'<strong>en</strong>semble <strong>de</strong>s disjoncteurs, ce qui permet d'obt<strong>en</strong>ir letableau ci-<strong>de</strong>ssous :Disjoncteur (Courbe Calibre) C0,5 D0,5 C0,75 C1 D1 B10Impédance par pôle () 8,64 8,42 4,9 2,2 2,2 0,04Tab. 7 Impédance <strong>de</strong>s disjoncteurs <strong>en</strong> fonction du calibreDans le simulateur, l'impédance <strong>de</strong>s pôles est négligée, ce qui peut parfois poser <strong>de</strong>sproblèmes si le calibre est faible. Afin <strong>de</strong> modéliser cette impédance, il est nécessaire <strong>de</strong>trouver une relation liant le calibre à son impédance. Le graphe ci-<strong>de</strong>ssous montre l'évolution<strong>de</strong> l'impédance <strong>en</strong> fonction du calibre.108.534.9Impédance <strong>de</strong>s pôles <strong>de</strong>s disjoncteurs<strong>en</strong> fonction <strong>de</strong> <strong>leur</strong> calibreImpédance (Ohms)10,12.2Impédance1.0041 10Calibre (A)Fig. 37 Evolution <strong>de</strong> l'impédance <strong>de</strong>s pôles d'un disjoncteur <strong>en</strong> fonction <strong>de</strong> <strong>leur</strong> calibreIl apparaît une certaine linéarité lorsque les échelles sont logarithmiques. Cela nous permetalors d'<strong>en</strong> déduire l'équation <strong>de</strong> la courbe <strong>de</strong> t<strong>en</strong>dance :Y 1.8026X 0.4001(1.2.1.3)avec Y représ<strong>en</strong>tant le logarithme base 10 <strong>de</strong> l'impédance et X le logarithme base 10 ducalibre.L'équation globale est alors :LOG ( y) 1.8026LOG(x) 0.4001(1.2.1.4)et donc :1.8026LOG(x)0.4001y 10(1.2.1.5)162 / 213


Chapitre 4 : Validation4.2.2 Impédance <strong>de</strong>s ampoules.Les ampoules utilisées dans le boîtier sont <strong>de</strong>s ampoules à baïonnette <strong>de</strong> puissance 100W. Ilest intéressant <strong>de</strong> remarquer qu'un appel <strong>de</strong> courant se produit au mom<strong>en</strong>t <strong>de</strong> la mise soust<strong>en</strong>sion comme le montre la figure suivante.Afin <strong>de</strong> mesurer <strong>leur</strong> impédance, uneampoule a été branchée directem<strong>en</strong>t sur le230V sans disjoncteur ni relais afin que ri<strong>en</strong>ne vi<strong>en</strong>ne perturber la mesure. Il apparaît uncourant efficace <strong>de</strong> 451mA. L'impédance <strong>de</strong>l'ampoule est donc <strong>de</strong>U 230R 510. On peut vérifier3I 45110ce résultat par le calcul <strong>de</strong> la puissanc<strong>en</strong>ominale P N =100W. En effet, le calcul2UP donne P=103,7W. La va<strong>leur</strong> <strong>de</strong>Il'impédance trouvée est donc correcte.4.2.3 Impédance <strong>de</strong>s résistances variables.Fig. 38 Courant dans une ampoule <strong>de</strong> 100Walim<strong>en</strong>tée <strong>en</strong> 230VOn dispose <strong>de</strong> 2 résistances variables <strong>de</strong> 4,7 montées <strong>en</strong> parallèle, d'une résistance variable<strong>de</strong> 22 et d'un rhéostat <strong>de</strong> 5,6. Afin <strong>de</strong> vérifier ces mesures, un multimètre a été utilisé <strong>en</strong>mo<strong>de</strong> ohmmètre. Les résultats obt<strong>en</strong>us sont résumés dans les tableaux suivants.RésistanceRhéostat ()RésistanceRC1 ()RésistanceRC2//RC3 ()Curseur à 0 % 0 0,1 0,02Curseur à 50 % 2,77 11,47 1,18Curseur à 100%5,5 22,82 2,35Tab. 8 Impédances <strong>de</strong>s résistances variables4.3 Mesure du décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs.Tout d’abord nous allons vérifier la conformité du décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs par rapportaux courbes constructeur et aux résultats <strong>de</strong> la <strong>simulation</strong>. Contrairem<strong>en</strong>t aux essais réalisé surle banc <strong>de</strong> sélectivité, nous allons mesurer pour les différ<strong>en</strong>ts disjoncteurs disponiblesdiffér<strong>en</strong>ts points sur la courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t et pas uniquem<strong>en</strong>t une va<strong>leur</strong> <strong>de</strong> courant <strong>de</strong>court-circuit. Les surint<strong>en</strong>sités que nous provoquons peuv<strong>en</strong>t être <strong>de</strong>s surcharges <strong>en</strong>tre 3 et 10fois le courant nominal In, prises <strong>en</strong> compte par le décl<strong>en</strong>cheur magnétique. Cela peut être <strong>de</strong>scourt-circuit pour <strong>de</strong>s va<strong>leur</strong>s supérieures à 10.In qui seront traitées par le décl<strong>en</strong>cheurthermique163 / 213


Chapitre 4 : Validation4.3.1 Temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>en</strong> Basse T<strong>en</strong>sion (230 V).Dans un premier temps, nous mesurons le comportem<strong>en</strong>t <strong>de</strong>s disjoncteurs dans <strong>de</strong>s conditionsnominales d’utilisation, sous 230 V alternatif. La charge du circuit est constitué par un<strong>en</strong>semble <strong>de</strong> 6 ampoules <strong>de</strong> 100W consommant <strong>en</strong>viron 0,43 A chacune. Nous faisons varierla charge <strong>en</strong> modulant le nombre d’ampoules.Fig. 39 Décl<strong>en</strong>chem<strong>en</strong>t sous 230 V chargé par <strong>de</strong>s lampes4.3.1.1 Utilisation d'un retard.La première mesure effectuée a fait apparaître un bref appel <strong>de</strong> courant à la mise sous t<strong>en</strong>sion.Cet appel n'est pas négligeable. Pour 6 ampoules <strong>en</strong> sortie, il va provoquer le décl<strong>en</strong>chem<strong>en</strong>tdu disjoncteur dans la plupart <strong>de</strong>s cas.Fig. 40 Appel <strong>de</strong> courant à la mise soust<strong>en</strong>sion <strong>de</strong>s ampoules (4 ampoules)Fig. 41 Décl<strong>en</strong>chem<strong>en</strong>t immédiat dudisjoncteur à cause <strong>de</strong> l'appel <strong>de</strong>courant (6 ampoules)Afin <strong>de</strong> limiter cet appel, les ampoules vont être réparties sur les <strong>de</strong>ux sorties disponibles et le<strong>de</strong>uxième groupe ne sera branché que quelques millisecon<strong>de</strong>s après le premier comme lemontre la Fig. 42.L'appel <strong>de</strong> courant est alors réparti <strong>en</strong> 2 fois et évite d'atteindre une va<strong>leur</strong> <strong>de</strong> courantsollicitant le décl<strong>en</strong>cheur magnétique du disjoncteur.164 / 213


Chapitre 4 : Validation43msFig. 42 Utilisation du retard pour limiter l'amplitu<strong>de</strong> <strong>de</strong> l'appel <strong>de</strong> courant4.3.1.2 Résultats obt<strong>en</strong>us.Les mesures ont donc été effectuées selon la métho<strong>de</strong> décrite précé<strong>de</strong>mm<strong>en</strong>t avec le retard <strong>de</strong>43ms. Etant donné la faible va<strong>leur</strong> <strong>de</strong> ce retard, on peut considérer qu'il est négligeable parrapport à la secon<strong>de</strong>. Or, étant donné les va<strong>leur</strong>s <strong>de</strong> courant qui doiv<strong>en</strong>t circuler dans lecircuit, nous sommes toujours <strong>en</strong> surcharge et jamais <strong>en</strong> court-circuit, ce qui implique <strong>de</strong>stemps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t supérieurs à la secon<strong>de</strong>.Les résultats obt<strong>en</strong>us par la mesure sont détaillés sur un tableau <strong>en</strong> Annexe 16. Ils sont reprisplus visuellem<strong>en</strong>t dans les Fig. 43 à Fig. 45.Les courbes ci-contre montr<strong>en</strong>t les différ<strong>en</strong>ces<strong>en</strong>tre les va<strong>leur</strong>s mesurées et les va<strong>leur</strong>ssimulées. A part pour le disjoncteur C60N-C0,5A qui se décl<strong>en</strong>che à cause <strong>de</strong> l'appel <strong>de</strong>courant important à la mise sous t<strong>en</strong>sion, lesva<strong>leur</strong>s simulées sont proches <strong>de</strong>s va<strong>leur</strong>smesurées.Temps (s)1000010001001010,1Courbe C 1AThéoriqueMesuréeSimulée0,011E-31 10 100Courant (A)Fig. 43 Comparaison <strong>de</strong>s temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t mesurés et simulés d'undisjoncteur C1 <strong>en</strong> 230V165 / 213


Chapitre 4 : Validation10000Courbe C 0.5A10000Courbe D 0,5A10001000Temps (s)1001010,1ThéoriqueMesurée avec tempoSimuléeTemps (s)100101ThéoriqueMesuréeSimulée0,010,11E-30,011E-41 10 100Courant (A)1E-31 10 100Courant (A)Fig. 44 Comparaison <strong>de</strong>s temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t mesurés et simulésd'un disjoncteur C0,5 <strong>en</strong> 230VFig. 45 Comparaison <strong>de</strong>s temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t mesurés et simulés d'undisjoncteur D0,5 <strong>en</strong> 230V4.3.1.3 Corrections à apporter au simulateur.Il serait sans doute intéressant <strong>de</strong> modifier les courbes prises <strong>en</strong> compte pour ledécl<strong>en</strong>chem<strong>en</strong>t du disjoncteur. Au cours <strong>de</strong>s tests effectués sur le banc <strong>de</strong> sélectivité TBT, ilétait apparu que les temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t se situai<strong>en</strong>t plus vers la limite basse. Cep<strong>en</strong>dant,les disjoncteurs avai<strong>en</strong>t beaucoup été utilisés contrairem<strong>en</strong>t à ceux installés sur le <strong>de</strong>uxièmebanc qui sont neufs.Les modifications à apporter sur les courbes simulateurs serai<strong>en</strong>t donc : Faire une moy<strong>en</strong>ne <strong>de</strong>s temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t haut et bas sur la partie thermique dudécl<strong>en</strong>cheur. Pr<strong>en</strong>dre <strong>en</strong> compte l'appel <strong>de</strong> courant qui se fait au mom<strong>en</strong>t <strong>de</strong> la mise sous t<strong>en</strong>sionafin <strong>de</strong> prévoir le décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs.4.3.2 Temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>en</strong> Très Basse T<strong>en</strong>sion (24V).Avec l’essai précé<strong>de</strong>nt, nous avons pu vérifier dans <strong>de</strong>s conditions nominales lefonctionnem<strong>en</strong>t du disjoncteur. Ces conditions <strong>de</strong> fonctionnem<strong>en</strong>t ne nous ont pas permis <strong>de</strong>couvrir la totalité <strong>de</strong> la courbe. Nous allons donc compléter cet essai par <strong>de</strong>s mesures à t<strong>en</strong>sionréduite.Cette partie du banc, relié à un rhéostat permet <strong>de</strong> mesurer les temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>sdisjoncteurs <strong>en</strong> fonction <strong>de</strong> la va<strong>leur</strong> <strong>de</strong>s impédances, donc <strong>de</strong> la va<strong>leur</strong> du courant.Fig. 46 Schéma <strong>de</strong> la mesure du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>en</strong> TBT166 / 213


Chapitre 4 : ValidationLes différ<strong>en</strong>tes configurations <strong>de</strong> résistances possibles sont soit <strong>de</strong> connecter la résistancevariable 100W <strong>de</strong> 22,8 avec le rhéostat <strong>de</strong> 5,5 soit <strong>de</strong> connecter les résistances variables280W branchées <strong>en</strong> parallèle et ayant une va<strong>leur</strong> maximale <strong>de</strong> 2,35 avec le rhéostat. Lava<strong>leur</strong> maximale <strong>de</strong> la résistance dans le circuit que l'on peut obt<strong>en</strong>ir est donc22,8+5,5=28.3. Cela implique une va<strong>leur</strong> minimale <strong>de</strong> courant <strong>de</strong> 848mA et une va<strong>leur</strong>maximale <strong>de</strong> courant très élevée pouvant atteindre 20A si l'on considère que le disjoncteur aune résistance négligeable.Cep<strong>en</strong>dant, il est apparu que l'impédance interne <strong>de</strong>s disjoncteurs n'est pas négligeable <strong>en</strong>raison <strong>de</strong> <strong>leur</strong> calibre faible. En effet, elle est d'<strong>en</strong>viron 2 par pôle pour les disjoncteurs àt<strong>en</strong>sion <strong>de</strong> seuil 1A et 8,5 par pôle pour les disjoncteurs à t<strong>en</strong>sion <strong>de</strong> seuil 0,5A. Le courantU 24maximal est donc Imax 5. 45Apour un disjoncteur 1A. Cette va<strong>leur</strong> estRmin22.2insuffisante pour pouvoir balayer toute la courbe <strong>de</strong> fonctionnem<strong>en</strong>t.L'idée <strong>de</strong> n'utiliser qu'un seul pôle a été avancée, ce qui permet <strong>de</strong> monter jusqu'à 10,9A. Cetteva<strong>leur</strong> n'est toujours pas suffisante pour balayer toute la courbe <strong>de</strong> fonctionnem<strong>en</strong>t.La solution ret<strong>en</strong>ue a donc été <strong>de</strong> modifier le banc d'essai pour pouvoir remplacer les 24Valim<strong>en</strong>tant cette partie par les 230V d'origine. Il est alors possible d'obt<strong>en</strong>ir <strong>de</strong>s courants plusimportants.Si l'on suppose l'utilisation <strong>de</strong> la résistance variable <strong>de</strong> 22 avec le rhéostat réglé aumaximum, on obti<strong>en</strong>t :UI 230min 7.03ARc1 Rrhéostat RDisjonteur22.8 5.5 2*2.2(4.2.1.1)La puissance dissipée par la résistance <strong>de</strong> 22 est donc :22P Rc 1 Rc1*Imin 22.8*7.03 1128W(4.2.1.2)La puissance dissipée par cette résistance est donc bi<strong>en</strong> trop élevée par rapport aux 100Wpour laquelle elle est pré<strong>vue</strong> et il apparaît qu'elle n'est pas utilisable dans cette configuration.Si maint<strong>en</strong>ant on utilise les <strong>de</strong>ux résistances <strong>de</strong> 280W montées <strong>en</strong> parallèle, le courantminimum <strong>de</strong>vi<strong>en</strong>t :UI 230min18.75ARc2//Rc3 Rrhéostat RDisjonteur2.35 5.5 2*2.2(4.2.1.3)La puissance dissipée par ces résistances est donc :22P Rc 1 Rc2//Rc3*Imin 2.35*18.75 826W(4.2.1.4)Même si la puissance dissipée est toujours supérieure à celle pré<strong>vue</strong> qui est <strong>de</strong> 560W, celle-ciest acceptable à condition que le décl<strong>en</strong>chem<strong>en</strong>t se fasse rapi<strong>de</strong>m<strong>en</strong>t. A 20A, le disjoncteurréagit <strong>en</strong> quelques millisecon<strong>de</strong>s.Nous avons décidé <strong>de</strong> relever une première série <strong>de</strong> mesures <strong>en</strong> 24V afin d'obt<strong>en</strong>ir le temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t pour les va<strong>leur</strong>s basses du courant (0 à 4,45A) puis <strong>de</strong> relever une <strong>de</strong>uxièmesérie <strong>de</strong> mesure <strong>en</strong> 230V pour les va<strong>leur</strong>s hautes <strong>de</strong> courant (18,75A à 40A).Il est regrettable <strong>de</strong> ne pouvoir relever la plage <strong>de</strong> va<strong>leur</strong> pour laquelle le disjoncteur passe dudécl<strong>en</strong>cheur thermique au décl<strong>en</strong>cheur magnétique mais aucune solution n'a été trouvée avecle matériel disponible.167 / 213


Chapitre 4 : Validation4.3.3 Résultats obt<strong>en</strong>us.Le tableau suivant représ<strong>en</strong>te les temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t relevés <strong>en</strong> fonction du courantcirculant dans le circuit. Ce courant a été réglé <strong>en</strong> modifiant la va<strong>leur</strong> <strong>de</strong>s résistances variableset <strong>en</strong> particulier du rhéostat.24V230VCourant (A) 1,51 2,02 3,02 4,05 4,55 5 5,2 18,42 30 40Temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t(s)455 56,45 20,02 11,66 9,66 8,47 8,3 0,00775 0,00462 0,00373Les résultats obt<strong>en</strong>us sont parfaitem<strong>en</strong>t dans l'intervalle spécifié par le constructeur pour lesfaibles courants. Par contre, il apparaît que le disjoncteur décl<strong>en</strong>che plus vite pour les fortscourants.10000010000Courbes théorique et mesurée <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>td'un disjoncteur C60N 1A courbe C1000Temps (s)100101ThéoriqueMesuréeSimulée0,10,011E-31 10 100Courant (A)Fig. 47 Courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t mesurée et simulée d'un disjoncteur C60N-C 1A4.3.4 Corrections à apporter aux modèles.Les résultats précé<strong>de</strong>nts confirm<strong>en</strong>t ceux obt<strong>en</strong>us aux étapes précé<strong>de</strong>ntes et réaffirm<strong>en</strong>t doncqu'il serait sans doute intéressant <strong>de</strong> modifier les temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t pris <strong>en</strong> compte dansle simulateur dans la partie décl<strong>en</strong>cheur magnétique.Les modifications à apporter sur les courbes simulateurs serai<strong>en</strong>t donc : Faire une moy<strong>en</strong>ne <strong>de</strong>s temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t haut et bas sur la partie "décl<strong>en</strong>cheurmagnétique" (1 à 10.In). Gar<strong>de</strong>r la courbe basse pour la partie "décl<strong>en</strong>cheur thermique".168 / 213


Chapitre 4 : Validation4.3.5 Influ<strong>en</strong>ce d'un échelon <strong>de</strong> courant.Ce section a pour but d'étudier l'influ<strong>en</strong>ce d'un échelon <strong>de</strong> courant sur le temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs. Ainsi, le circuit est laissé <strong>en</strong> fonctionnem<strong>en</strong>t p<strong>en</strong>dant unedurée pouvant aller jusqu'à 40s avant que le courant y circulant ne soit doublé.La partie principale basse t<strong>en</strong>sion du banc est utilisée.Fig. 48 Montage pour la mesure <strong>de</strong> l’effet d’un échelon <strong>de</strong> courantDans un premier temps, le réglage <strong>de</strong> la durée <strong>de</strong> la temporisation est réglé sur le relais KA3.Ensuite, les disjoncteurs C60N 1A courbe C et 0,5A courbe D sont testés alternativem<strong>en</strong>t. Lessortie DJ1 et DJ3 commandées respectivem<strong>en</strong>t par les relais KA1 et KA3 sont reliées <strong>en</strong> sortieà 2 ampoules chacune. Le temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t est mesuré.Après ces tests, une ampoule est rajoutée à chaque sortie.4.3.5.1 Résultats obt<strong>en</strong>usLe tableau suivant résume les résultats obt<strong>en</strong>us lors <strong>de</strong>s mesures :4 ampoulesC60N 0,5A Courbe DRetard Décl<strong>en</strong>chem<strong>en</strong>t(s) (s)4 ampoulesC60N 01A Courbe CRetard Décl<strong>en</strong>chem<strong>en</strong>t(s) (s)6 ampoulesC60N 0,5A Courbe DRetard Décl<strong>en</strong>chem<strong>en</strong>t(s) (s)6 ampoulesC60N 1A Courbe CRetard Décl<strong>en</strong>chem<strong>en</strong>t(s) (s)0 9 0 109,45 0 5 0 265,2 12,4 5 113,28 1,1 5,15 3,38 30,0510,77 16,6 10,5 117,16 2,5 5,95 11,16 36,3820,78 24,78 19,94 128,3 5,1 8,06 20,4 42,7230,44 33,11 31,6 139,4 7,55 9,84 30,95 50,2341,5 43,16 42,1 146,6 10,77 12,31 39,17 58,6647 47 14 14169 / 213


Chapitre 4 : ValidationL'évolution du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t semble linéaire, ce qui est confirmé par les courbesprés<strong>en</strong>tées ci-après.Temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t (s)20015010050Temps mesuréTemps simuléRetards (s)00 10 20 30 40 50Fig. 49 Décl<strong>en</strong>chem<strong>en</strong>t D0,5 aprèstemporisation (4 ampoules)Temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t (s)604020Temps mesuréTemps simuléRetards (s)00 10 20 30 40 50Fig. 50 Décl<strong>en</strong>chem<strong>en</strong>t C1 aprèstemporisation (4 ampoules)Temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t (s)4020Temps mesuréTemps simuléRetards (s)00 10 20 30 40 50Fig. 51 Décl<strong>en</strong>chem<strong>en</strong>t D0,5 aprèstemporisation (6 ampoules)Temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t (s)15105Temps mesuréTemps simuléRetards (s)00 5 10 15Fig. 52 Décl<strong>en</strong>chem<strong>en</strong>t C1 aprèstemporisation (6 ampoules)Nous obt<strong>en</strong>ons dans tous les cas <strong>de</strong>s caractéristiques quasi-linéaires dont les p<strong>en</strong>tes sont trèsproches pour la <strong>simulation</strong> et la mesure. La prise <strong>en</strong> compte <strong>de</strong>s échelons <strong>de</strong> t<strong>en</strong>sion par lesmodèles semble correcte. Pour certaines caractéristiques, la courbe simulée est décalée vers lebas. Cet écart correspond au fait que le simulateur utilise la courbe minimum donné par lesconstructeur au lieu d’utiliser une moy<strong>en</strong>ne <strong>de</strong>s courbes minimum et maximum. Les temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t qui sont <strong>en</strong> jeu ici nous confirm<strong>en</strong>t que c’est le décl<strong>en</strong>cheur magnétique quiopère, pour lequel ce problème est connu.4.3.6 Mesure du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>en</strong> courant continu.Nous avons à notre disposition un générateur programmable TTi TSX1820P <strong>de</strong> fortepuissance (18V, 20A). Il alim<strong>en</strong>te les disjoncteurs directem<strong>en</strong>t <strong>en</strong> courant. Il permet <strong>de</strong> fixerplus facilem<strong>en</strong>t la va<strong>leur</strong> <strong>de</strong> courant <strong>de</strong> défaut.Nous souhaitons ici vali<strong>de</strong>r le fait que les caractéristiques sont équival<strong>en</strong>tes <strong>en</strong> courantcontinu et alternatif.Il est aisé <strong>de</strong> mesurer l'impédance <strong>de</strong>s pôles <strong>de</strong>s disjoncteurs <strong>en</strong> réglant le générateur <strong>en</strong> mo<strong>de</strong>générateur <strong>de</strong> courant et <strong>en</strong> fournissant 1A par exemple. Pour le disjoncteur C60N <strong>de</strong> t<strong>en</strong>sion<strong>de</strong> seuil 1A, courbe C, la t<strong>en</strong>sion à ses bornes est <strong>de</strong> 2.24V. Sa résistance est donc <strong>de</strong> 2,24.On mesure <strong>de</strong> même la résistance <strong>de</strong>s disjoncteurs <strong>de</strong> t<strong>en</strong>sion <strong>de</strong> seuil 0,75A et 0,5A. Onobti<strong>en</strong>t respectivem<strong>en</strong>t 5.08 et 8,86. Ces va<strong>leur</strong>s correspon<strong>de</strong>nt à peu près à celles170 / 213


Chapitre 4 : Validationtrouvées au cours <strong>de</strong> la mesure <strong>en</strong> alternatif et prés<strong>en</strong>tées dans la partie 1.2.1, ce qui confirmebi<strong>en</strong> l'impédance purem<strong>en</strong>t résistive <strong>de</strong>s disjoncteurs.La va<strong>leur</strong> <strong>de</strong> résistance <strong>de</strong> charge pré<strong>vue</strong> est <strong>de</strong> 0,47, ce qui implique une t<strong>en</strong>sion <strong>de</strong> 14,1V à30A. Si le circuit n'avait pas eu <strong>de</strong> résistance interne, il aurait été possible <strong>de</strong> balayer toute lacourbe. Malheureusem<strong>en</strong>t, la va<strong>leur</strong> importante <strong>de</strong> la résistance interne <strong>de</strong>s disjoncteurs vanous empêcher d'effectuer les tests sur toute sa plage <strong>de</strong> fonctionnem<strong>en</strong>t. En effet, si l'onpr<strong>en</strong>d l'exemple du disjoncteur C60N-1A courbe C, sa résistance est d'<strong>en</strong>viron 2,2 par pôleet le courant <strong>de</strong> sortie va donc être très limité comme le montre le calcul suivant :VMAXg<strong>en</strong>erateur18IMAX 4. 09A(2.2.1)RMin22.2Les va<strong>leur</strong>s <strong>de</strong> courant sont <strong>en</strong>core plus faibles pour les disjoncteurs à t<strong>en</strong>sion <strong>de</strong> seuilinférieure. Il y a donc peu d'intérêt à mesurer les temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong> ces disjoncteurs.Il est év<strong>en</strong>tuellem<strong>en</strong>t possible <strong>de</strong> n'utiliser qu'un seul pôle du disjoncteur, ce qui nous permetalors <strong>de</strong> doubler la plage <strong>de</strong> tests jusqu'à 8,18A. Cette va<strong>leur</strong> est toujours insuffisante maiscertains résultats intéressants peuv<strong>en</strong>t <strong>en</strong> ressortir.4.3.6.1 Mesures effectuéesLes mesures n'ont été faites que sur le disjoncteur C60N-C <strong>de</strong> seuil 1A car c'est le disjoncteurdisponible qui nous permet <strong>de</strong> relever le maximum <strong>de</strong> points intéressants. La va<strong>leur</strong> <strong>de</strong>courant maximale est <strong>de</strong> 7,3A. Le tableau ci-<strong>de</strong>ssous prés<strong>en</strong>te les résultats obt<strong>en</strong>us.Courant (A) 1,5 2 3 4 5 6 7 7,3Temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t (ms)460 52,1 18,7 11,2 8,03 6,27 5,2 5,254.3.6.2 Comparaisons par rapport aux mesures effectuées <strong>en</strong> alternatifAfin <strong>de</strong> comparer ces mesures à celles relevées <strong>en</strong> alternatif et aux va<strong>leur</strong>s théoriques, toutesles données ont été insérées dans la Fig. 53 <strong>de</strong> la page suivante.100001000Courbes théorique et mesurées <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>td'un disjoncteur C60N 1A courbe CTemps (s)1001010,1ThéoriqueMesuré <strong>en</strong> alternatifMesuré <strong>en</strong> continuSimulée0,011E-31 10 100Courant (A)Fig. 53 Courbes théorique et mesurées <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t d'un disjoncteur C60N-C 1A171 / 213


Chapitre 4 : ValidationLes va<strong>leur</strong>s relevées <strong>en</strong> continu coïnci<strong>de</strong>nt parfaitem<strong>en</strong>t avec celles relevées <strong>en</strong> alternatif. Cerésultat est prévisible étant donné que la va<strong>leur</strong> prise <strong>en</strong> compte <strong>en</strong> alternatif est la va<strong>leur</strong>efficace du courant.Il aurait été intéressant <strong>de</strong> pouvoir observer le comportem<strong>en</strong>t du disjoncteur lorsqu'il estalim<strong>en</strong>té <strong>en</strong> continu et que c'est le décl<strong>en</strong>cheur thermique qui est sollicité. Malheureusem<strong>en</strong>t,l'impédance élevée du disjoncteur <strong>de</strong> seuil 1A nous empêche <strong>de</strong> monter assez haut <strong>en</strong> courantavec le matériel disponible. En choisissant un calibre plus faible, avec un courant plus faibl<strong>en</strong>ous pourrions couvrir une plus gran<strong>de</strong> partie <strong>de</strong> la courbe. Mais l’impédance du disjoncteurserait plus forte limitant ainsi le courant. Aucune solution n'a été trouvée pour augm<strong>en</strong>ter lecourant circulant dans le disjoncteur. Pour un régime sinusoïdal, les temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>tsont inférieurs à la pério<strong>de</strong> du signal. Nous avons vu précé<strong>de</strong>mm<strong>en</strong>t que cela posait <strong>de</strong>sproblèmes d’incertitu<strong>de</strong> <strong>de</strong> mesure et <strong>de</strong>s écarts avec la <strong>simulation</strong>. On peut s’att<strong>en</strong>dre àobserver dans ce cas une différ<strong>en</strong>ce <strong>de</strong> résultat <strong>en</strong>tre le continu et l’alternatif.4.4 Mesure du temps <strong>de</strong> réaction d'un relaisNous disposons <strong>de</strong> relais (contacteurs) qui serv<strong>en</strong>t à faciliter la comman<strong>de</strong> <strong>de</strong>s bancs. Il estdonc possible <strong>de</strong> caractériser le temps mis par un relais pour s'<strong>en</strong>cl<strong>en</strong>cher lorsqu'un courantcircule dans la partie comman<strong>de</strong>.4.4.1 Caractéristiques du relaisLe relais est composé <strong>de</strong> <strong>de</strong>ux parties distinctes. La première est lapartie <strong>de</strong> comman<strong>de</strong> qui fonctionne à faible puissance. C'est ellequi contrôle la <strong>de</strong>uxième partie du relais, c'est-à-dire les contactsqui permett<strong>en</strong>t ou non le passage du courant.Entre l'instant où une t<strong>en</strong>sion apparaît aux bornes <strong>de</strong> la comman<strong>de</strong>et l'instant où le relais laisse circuler le courant, il existe unecertaine inertie. C'est ce retard que est caractérisé dans cette partie.Les relais utilisés sur le banc <strong>de</strong> test sont <strong>de</strong>s contacteurs LC1-D12 <strong>de</strong> chez Télémécanique.Le constructeur donne une consommation moy<strong>en</strong>ne <strong>de</strong> 7VA à 24V soit une impédance <strong>de</strong>U2 24 2UeffZ 82. 3. Le courant efficace est donc <strong>de</strong> Ieff 0, 29A. Le cos est <strong>de</strong>P 7Z0,3 donc la partie résistive <strong>de</strong> l'impédance est <strong>de</strong> R Z cos 24. 69. La partie inductiveX 78.5est <strong>de</strong> X 7sin 78.5. La bobine fait donc L 250mH.2 f 250172 / 213


Chapitre 4 : Validation4.4.2 Temps <strong>de</strong> réaction du relaisAfin <strong>de</strong> vérifier le temps <strong>de</strong>réaction <strong>de</strong> ces relais, on utilise lapartie TBT du banc, d'unoscilloscope numérique et <strong>de</strong>uxpinces <strong>de</strong> courant. Ledécl<strong>en</strong>chem<strong>en</strong>t se fait sur lepremier front du signal 1 sur lequelest branché la son<strong>de</strong> mesurant lecourant <strong>de</strong> comman<strong>de</strong> circulantdans la bobine. Le temps <strong>de</strong>réaction est mesurable <strong>en</strong> faisant ladiffér<strong>en</strong>ce <strong>en</strong>tre le premier front dusignal 1 et le premier front dusignal 2.Fig. 54 Temps <strong>de</strong> fermeture ou d'ouverture <strong>de</strong>s contactsd'un relais, schéma du montageDans un premier temps, on mesure le délai lors <strong>de</strong> la fermeture du contact, puis, dans un<strong>de</strong>uxième temps, on mesure le délai lors <strong>de</strong> l'ouverture du contact. Ces <strong>de</strong>ux situations sontmontrées sur les <strong>de</strong>ux figures ci-<strong>de</strong>ssous.11.8ms17.8msFig. 55 Délai à la fermeture du contactFig. 56 Délai à l'ouverture du contactOn observe sur la Fig. 55 que le signal <strong>de</strong> comman<strong>de</strong> n'est pas sinusoïdal. La prés<strong>en</strong>ce <strong>de</strong> cetransitoire est du à l’appel <strong>de</strong> courant <strong>de</strong> la bobine du relais.Afin <strong>de</strong> minimiser les erreurs, 5 mesures ont été faites et la moy<strong>en</strong>ne a été gardée. 2 relais ontété utilisés pour ces mesures. Les résultats sont résumés dans le tableau ci-<strong>de</strong>ssous.173 / 213


Chapitre 4 : ValidationRelais n°1 Relais n°2fermeture(ms)ouverture(ms)fermeture(ms)ouverture(ms)test1 17,22 18,88 15,44 17,11test2 17,22 15,77 16,66 22,66test3 15,44 11,78 15,33 19,55test4 17,89 18 18,55 17,78test5 15,55 9,77 17,55 5,55Moy<strong>en</strong>ne 16,664 14,84 16,706 16,53Tab. 9 Relevé <strong>de</strong>s temps d’ouverture et <strong>de</strong> fermeture <strong>de</strong>s relaisLa durée mise par le contact à se fermer est assez stable par contre, la durée d'ouverture ducontact est très variable.4.4.3 Impédance <strong>de</strong>s câbles <strong>de</strong> gran<strong>de</strong>s longueur.Nous avons vu précé<strong>de</strong>mm<strong>en</strong>t lors du calcul <strong>de</strong>s courants <strong>de</strong> court-circuit que chaqueimpédance doit être prise <strong>en</strong> compte pour prévoir au mieux la va<strong>leur</strong> <strong>de</strong> l’Icc. La va<strong>leur</strong> <strong>de</strong>l’impédance <strong>de</strong>s câbles n’est plus négligeable lorsqu’ils atteign<strong>en</strong>t <strong>de</strong>s longueurs importanteset que <strong>leur</strong>s sections sont faibles. Nous rappelons la relation R .L/S ou R est la résistancedu câble, L et S sont sa longueur et sa section et est la résistivité du matériau conducteur.Cette impédance provoque un chute <strong>de</strong> t<strong>en</strong>sion qui doit être estimée finem<strong>en</strong>t car elle peutr<strong>en</strong>dre les protections moins efficaces et nuit à la qualité du courant.4.4.3.1 Int<strong>en</strong>sité admissible et mo<strong>de</strong> <strong>de</strong> pose.L’int<strong>en</strong>sité admissible Iz d’un câble est une va<strong>leur</strong> <strong>de</strong> courant que le câble peut supporterp<strong>en</strong>dant une courte durée sans risque <strong>de</strong> <strong>de</strong>struction. Cette int<strong>en</strong>sité Iz est supérieure àl’int<strong>en</strong>sité l’utilisation nominale In du câble. Le câble doit pouvoir supporter un courant Izcorrespondant à une surcharge.La norme NFC-15 100 [NFC98] définit <strong>de</strong>s règles <strong>de</strong> calcul <strong>de</strong> cet Iz <strong>en</strong> fonction <strong>de</strong>scaractéristiques <strong>de</strong> câbles et <strong>de</strong>s mo<strong>de</strong>s <strong>de</strong> poses. Les mo<strong>de</strong>s <strong>de</strong> poses sont définisess<strong>en</strong>tiellem<strong>en</strong>t par le type <strong>de</strong> canalisation dans laquelle on place le câble, le nombre etl’ag<strong>en</strong>cem<strong>en</strong>t <strong>de</strong>s câbles dans cette canalisation et le milieu ambiant.Elle utilise <strong>de</strong>s coeffici<strong>en</strong>ts <strong>de</strong> pondération qui permett<strong>en</strong>t <strong>de</strong> déterminer la va<strong>leur</strong> <strong>de</strong> Iz <strong>en</strong>fonction <strong>de</strong> toutes ces caractéristiques.Ces mo<strong>de</strong>s <strong>de</strong> pose ne sont pas intégrés dans nos modèles. Nous avons voulu mesurer quellepeut être <strong>leur</strong> influ<strong>en</strong>ce pour <strong>de</strong>s types et longueur <strong>de</strong> câbles pouvant se trouver dans <strong>de</strong>sinstallations domestiques.L’int<strong>en</strong>sité admissible est à un courant correspondant à un surchage <strong>de</strong> l’installation p<strong>en</strong>dantlaquelle la va<strong>leur</strong> du courant peut provoquer une chute <strong>de</strong> t<strong>en</strong>sion dans le cable et <strong>de</strong>gra<strong>de</strong>r laqualité <strong>de</strong> la t<strong>en</strong>sion du réseau.174 / 213


Chapitre 4 : Validation4.4.3.2 Métho<strong>de</strong> <strong>de</strong> mesure <strong>de</strong> la chute <strong>de</strong> t<strong>en</strong>sion.Pour tester la chute <strong>de</strong> t<strong>en</strong>sion dans un câble <strong>de</strong> gran<strong>de</strong> longueur, nous disposons d'un câble <strong>de</strong>100m, <strong>de</strong> section 1,5mm 2 . Son isolant est <strong>en</strong> PVC. Celui-ci est branché sur la partie TBT dubanc principal et est donc alim<strong>en</strong>té <strong>en</strong> 24V. Ce type <strong>de</strong> câble supporte un courant d'<strong>en</strong>viron18A. Il est donc nécessaire d'ajouter une résistance <strong>de</strong> charge. Nous nous servons du rhéostat<strong>de</strong> 5,5. Nous faisons circuler un courant <strong>de</strong> 5A dans le câble afin <strong>de</strong> comparer les résultats.4.4.3.3 Evaluation <strong>de</strong>s résultats <strong>de</strong> la chute <strong>de</strong> t<strong>en</strong>sionAfin d'évaluer les résultats, un logiciel <strong>de</strong> calcul <strong>en</strong> ligne a été utilisé. Celui-ci est proposé <strong>en</strong>ligne par Elec-Planet [ELE]. Il propose un calcul <strong>de</strong> la chute <strong>de</strong> t<strong>en</strong>sion dans le câble.La <strong>simulation</strong> <strong>de</strong> la configuration précé<strong>de</strong>nte donne une impédance <strong>de</strong> 1,48 par phase donc<strong>de</strong> 3 pour le câble. La limite <strong>en</strong> courant est donc <strong>de</strong> 8A car la chute <strong>de</strong> t<strong>en</strong>sion seraitsupérieure à 100% avec un courant plus important.Le simulateur <strong>en</strong> ligne donne donc une chute <strong>de</strong> t<strong>en</strong>sion <strong>de</strong> 61.7% soit 14,8V avec un courant<strong>de</strong> 5A.4.4.3.4 Mesures effectuéesTrois mo<strong>de</strong>s <strong>de</strong> pose différ<strong>en</strong>ts ont été testés. Le premier correspond à un câble déroulé <strong>en</strong>ligne droite, le <strong>de</strong>uxième à un câble disposé <strong>en</strong> accordéon et <strong>en</strong>fin, le <strong>de</strong>rnier à un câble<strong>en</strong>roulé <strong>en</strong> spires larges, dont on retrouvera <strong>de</strong>s illustrations <strong>en</strong> Annexe 17.Afin d'obt<strong>en</strong>ir le courant <strong>de</strong> 5A, la résistance variable a été réglée à 1,93.Câble droitLe câble est déployé <strong>de</strong> manière à effectuer une large courbe. La t<strong>en</strong>sion au début du câble est<strong>de</strong> 24,14V. A la fin du câble, il reste 10,41V. La chute <strong>de</strong> t<strong>en</strong>sion est donc <strong>de</strong> 13,73V soit56.88%.L'impédance du câble est <strong>de</strong> 2,74 donc <strong>de</strong> 1.37 par phase.Câble <strong>en</strong> accordéonLe câble est déployé <strong>de</strong> manière à effectuer <strong>de</strong>s "allers-retours" successifs. Chaque partiedroite fait <strong>en</strong>viron un mètre <strong>de</strong> long. La t<strong>en</strong>sion au début du câble est <strong>de</strong> 24,4V. A la fin ducâble, il reste 10,33V. La chute <strong>de</strong> t<strong>en</strong>sion est donc <strong>de</strong> 14.07V soit 57.6%.L'impédance ducâble est <strong>de</strong> 2,8 donc <strong>de</strong> 1.4 par phase.Câble <strong>en</strong> spires largesLe câble est déployé <strong>de</strong> manière à effectuer <strong>de</strong>s spires d'<strong>en</strong>viron 1m <strong>de</strong> diamètre. La t<strong>en</strong>sionau début du câble est <strong>de</strong> 24,2V. A la fin du câble, il reste 10,36V. La chute <strong>de</strong> t<strong>en</strong>sion est donc<strong>de</strong> 13,84V soit 57.2%.L'impédance du câble est <strong>de</strong> 2,77 donc <strong>de</strong> 1.38 par phase.175 / 213


Chapitre 4 : Validation4.4.3.5 Schéma du circuit simuléE124 V50 Hz0 <strong>de</strong>g1+3R13.3 Ohms4122Cable1Al/Cu :CuLongueur : 100 mSection : 1.5 mm²Capa : 0.1 µF/mChaque phase du câble est modélisée que par une seule résistance <strong>de</strong> va<strong>leur</strong> R :L8100R Cu 1.7210 1.15.6S1.510Un modèle plus complexe pr<strong>en</strong>ant <strong>en</strong> compte <strong>de</strong>ux résistances <strong>en</strong> parallèle avec uncon<strong>de</strong>nsateur est <strong>en</strong> cours d'implantation. Ce nouveau modèle permettra <strong>de</strong> pr<strong>en</strong>dre <strong>en</strong> compteles effets capacitifs <strong>de</strong>s câbles.Afin <strong>de</strong> régler le courant <strong>de</strong> 5A, la résistance <strong>de</strong> charge est fixée à 2,5. La <strong>simulation</strong> donneune t<strong>en</strong>sion <strong>de</strong> 24V au début du câble et <strong>de</strong> 12.48V <strong>en</strong> sortie. Cela implique une chute <strong>de</strong>t<strong>en</strong>sion <strong>de</strong> 11.52V soit 48%.4.4.3.6 Comparaison <strong>de</strong>s résultatsAfin <strong>de</strong> comparer la chute <strong>de</strong> t<strong>en</strong>sion dans les câbles, toutes les va<strong>leur</strong>s simulées et mesuréesont été résumées dans le tableau ci-<strong>de</strong>ssous :Dispositiondu câbleT<strong>en</strong>sion au débutdu câble (V)T<strong>en</strong>sion à lafin du câble (V)Pourc<strong>en</strong>tage<strong>de</strong> perteChute <strong>de</strong>t<strong>en</strong>sion (V)Impédance ducâble (ohms)Droit 24,14 10,41 56,88% 13,73 2,746Mesure Accordéon 24,4 10,33 57,66% 14,07 2,814Spires larges 24,2 10,36 57,19% 13,84 2,768elec-planet.com 24 9,2 61,70% 14,8 2,96Simul'Elec 24 12,48 48,00% 11,52 2,304Tab. 10 Mesures <strong>de</strong>s chutes <strong>de</strong> t<strong>en</strong>sion pour différ<strong>en</strong>ts mo<strong>de</strong>s <strong>de</strong> posesLes mesures confirm<strong>en</strong>t les résultats donnés par elec-planet [ELE]. Simul'Elec est un peu pluséloigné mais les résultats rest<strong>en</strong>t assez proches <strong>de</strong> ceux mesurés. Nous pouvons expliquercette différ<strong>en</strong>ce par le fait que le modèle <strong>de</strong> câble ret<strong>en</strong>u n’est pas un modèle <strong>de</strong> câble maisplutôt un <strong>en</strong>semble <strong>de</strong> modèle <strong>de</strong> fil nu (sans isolant) qui détermin<strong>en</strong>t l’impédance <strong>de</strong> l’âme<strong>de</strong> chaque conducteur. En effet, ce modèle ne pr<strong>en</strong>d pas <strong>en</strong> compte les effets inductifs oucapacitif <strong>en</strong>tre les différ<strong>en</strong>ts fils du câbles ni la nature <strong>de</strong> l’isolant.176 / 213


Conclusion générale et perspectivesConclusion généraleet perspectivesUne majorité <strong>de</strong>s simulateurs <strong>de</strong> <strong>circuits</strong> électriques sont basés sur SPICE [NAG75] ouintègr<strong>en</strong>t son noyau <strong>de</strong> calcul. Ce simulateur à été développé à l’université <strong>de</strong> Berkeley [SPI1]pour répondre au besoin <strong>de</strong> <strong>simulation</strong> <strong>de</strong> l’industrie électronique et <strong>en</strong> particulier <strong>de</strong>scomposants à semi-conducteurs comme les transistors. Cet outil et ses déclinaisons serévèl<strong>en</strong>t mal adaptés à la <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> électrotechniques qui ont <strong>en</strong>tre autre laparticularité d’avoir <strong>de</strong>s comportem<strong>en</strong>ts séqu<strong>en</strong>tiels qui font interv<strong>en</strong>ir <strong>de</strong>s durées très longues<strong>de</strong>vant les constantes <strong>de</strong> temps <strong>de</strong>s équipem<strong>en</strong>ts électriques.Sur le marché français, il n’existe à l’heure actuelle aucun logiciel <strong>de</strong> <strong>simulation</strong> ori<strong>en</strong>té versl’électrotechnique ou l’électricité <strong>de</strong>s courants forts, intégré à une solution <strong>de</strong> schématiqueélectrique professionnelle.C'est dans ce contexte que se situ<strong>en</strong>t ces travaux <strong>de</strong> recherche réalisés sous la cotutelle dulaboratoire IXL <strong>de</strong> l'université <strong>de</strong> Bor<strong>de</strong>aux 1 et du laboratoire LIPSI-ESTIA, dans le cadred'un étroit part<strong>en</strong>ariat avec la société Algo'Tech Informatique. Ces travaux ont débouché surla conception et la réalisation d'un simulateur <strong>de</strong> <strong>circuits</strong> électrotechnique commercialisé :Simul'Elec.Nous proposons une alternative aux logiciels <strong>de</strong> type SPICE que nous avons conçue pourfaciliter la <strong>simulation</strong> du comportem<strong>en</strong>t <strong>de</strong>s <strong>circuits</strong> électrotechniques et pour être plus proche<strong>de</strong> la démarche <strong>de</strong> conception <strong>de</strong>s installations électrotechniques ou électriques. Au travers <strong>de</strong>notre mo<strong>de</strong> d’analyse événem<strong>en</strong>tielle notamm<strong>en</strong>t, nous avons voulu offrir un outil fiable etsimple d’utilisation permettant d’estimer le comportem<strong>en</strong>t <strong>de</strong>s installations afin d’optimiser<strong>leur</strong> coût <strong>de</strong> conception et <strong>de</strong> vali<strong>de</strong>r <strong>leur</strong> fonctionnem<strong>en</strong>t.Simul’Elec est conçu dans l’optique d’être accessible au plus grand nombre, <strong>en</strong> particulier àceux qui ne sont pas familiers <strong>de</strong> la <strong>simulation</strong>.Simul’Elec est commercialisé par Algo’Tech Informatique au sein <strong>de</strong> sa solution <strong>de</strong> CAOélectrique et suscite déjà un fort intérêt auprès <strong>de</strong>s industriels et du corps <strong>en</strong>seignant.Différ<strong>en</strong>ts projets sont à l’étu<strong>de</strong>, notamm<strong>en</strong>t : La validation fonctionnelle du simulateur dans le cadre <strong>de</strong> l’<strong>en</strong>seignem<strong>en</strong>t technique.Un part<strong>en</strong>ariat avec le lycée Louis <strong>de</strong> Foix se met <strong>en</strong> place et vise à définir les besoinsd’adaptation du logiciel aux différ<strong>en</strong>ts niveaux d’étu<strong>de</strong> et aux différ<strong>en</strong>tes filièresd’<strong>en</strong>seignem<strong>en</strong>t. L’interfaçage avec CATIA V5 pour la <strong>simulation</strong> et la localisation <strong>de</strong> défauts dans unaéronef.177 / 213


Conclusion générale et perspectivesLa <strong>simulation</strong> fine du comportem<strong>en</strong>t <strong>de</strong>s câbles, torons et harnais utilisés <strong>en</strong>aéronautique <strong>en</strong> pr<strong>en</strong>ant <strong>en</strong> compte les échanges thermiques <strong>en</strong>tre les fils et avec lastructure <strong>de</strong> l’aéronef. Ce travail <strong>de</strong> recherche et développem<strong>en</strong>t se déroulerait dans lecadre d’un projet europé<strong>en</strong> <strong>en</strong> part<strong>en</strong>ariat avec un lea<strong>de</strong>r français du câblageaéronautique. Son objectif sera la création d’un outil d’ai<strong>de</strong> au dim<strong>en</strong>sionnem<strong>en</strong>td’équipem<strong>en</strong>ts, à <strong>de</strong>stination <strong>de</strong>s technici<strong>en</strong>s.Les bons résultats <strong>de</strong> la validation nous ont permis <strong>de</strong> confirmer la pertin<strong>en</strong>ce <strong>de</strong>s modèles et<strong>de</strong>s mo<strong>de</strong>s d’analyse que nous avons développés. Nous avons pu vérifier ainsi la justesse <strong>de</strong>nos mo<strong>de</strong>s d’analyse qui ont su se montrer plutôt performants, notamm<strong>en</strong>t par rapport àPspice, dans <strong>de</strong>s configurations caractéristiques <strong>de</strong> l’électrotechnique. De plus, nous avons étéconfortés sur le domaine <strong>de</strong> validité <strong>de</strong>s modèles innovants que nous avons développés.L’analyse comparative <strong>de</strong>s données issues <strong>de</strong> la <strong>simulation</strong>, réalisée <strong>en</strong> particulier pour le cas<strong>de</strong>s disjoncteurs, s’est révélée satisfaisante. D’une manière générale, ceux-ci reproduis<strong>en</strong>tfidèlem<strong>en</strong>t le comportem<strong>en</strong>t att<strong>en</strong>du, principalem<strong>en</strong>t la sélectivité, étant donné la relativeprécision garantie par les constructeurs. La <strong>simulation</strong> donne d’ail<strong>leur</strong>s <strong>de</strong> meil<strong>leur</strong>s résultatsque les métho<strong>de</strong>s <strong>de</strong> calcul théorique utilisées pour le dim<strong>en</strong>sionnem<strong>en</strong>t <strong>de</strong> ces équipem<strong>en</strong>ts.Nous avons pu isoler les rares cas diverg<strong>en</strong>ts et proposer <strong>de</strong>s pistes d’améliorations.Ces conclusions <strong>en</strong>courageantes, nous permett<strong>en</strong>t <strong>de</strong> nous fixer <strong>de</strong>s objectifs plus ambitieuxpour le perfectionnem<strong>en</strong>t <strong>de</strong> Simul’Elec. Nous avons déterminé un certain nombre d’axes <strong>de</strong>développem<strong>en</strong>t pour l’amélioration <strong>de</strong>s mo<strong>de</strong>s d’analyses et <strong>de</strong>s modèles.Un point ess<strong>en</strong>tiel, dont nous avons estimé la faisabilité au cours <strong>de</strong> nos recherches, estl’ouverture <strong>de</strong>s modèles à l’utilisateur par l’utilisation du langage VHDL-AMS [VHD1]. Celanécessitera l’implém<strong>en</strong>tation d’un traducteur VHDL-AMS vers Delphi qui permettra ausimulateur d’interpréter les modèles définis par l’utilisateur. Cette étape sera suivie par lacréation d’une interface graphique permettant une création simple <strong>de</strong>s modèles et générant duco<strong>de</strong> VHDL-AMS.Sachant que les domaines <strong>de</strong> l’hydraulique et du pneumatique sont régis par les mêmeséquations fondam<strong>en</strong>tales, nous <strong>en</strong>visageons à moy<strong>en</strong> terme, d’adapter nos techniques <strong>de</strong><strong>simulation</strong> pour traiter non seulem<strong>en</strong>t <strong>de</strong>s <strong>circuits</strong> électriques, mais aussi pneumatiques,hydrauliques et mixtes, courants <strong>en</strong> électrotechnique. Cette ext<strong>en</strong>sion sera gran<strong>de</strong>m<strong>en</strong>tfacilitée par les possibilités <strong>de</strong> modélisation multi-domaines et multi-technologiques dulangage VHDL-AMS.Les mo<strong>de</strong>s d’analyses actuellem<strong>en</strong>t disponibles permett<strong>en</strong>t <strong>de</strong> réaliser une étu<strong>de</strong> rapi<strong>de</strong> sur <strong>de</strong>nombreux <strong>circuits</strong> électrotechniques. Il convi<strong>en</strong>dra toutefois <strong>de</strong> les faire évoluer ainsi qued’ét<strong>en</strong>dre la gamme <strong>de</strong>s composants simulables dans l’optique <strong>de</strong> traiter davantage <strong>de</strong> cas et<strong>de</strong> réaliser <strong>de</strong>s étu<strong>de</strong>s plus complètes. Cela concerne notamm<strong>en</strong>t la correction <strong>de</strong>s modèles <strong>de</strong>disjoncteurs et la création <strong>de</strong> nouveaux modèles appar<strong>en</strong>tés comme les disjoncteursdiffér<strong>en</strong>tiels ou les relais <strong>de</strong> protection thermique <strong>de</strong>s moteurs.La prés<strong>en</strong>ce <strong>de</strong> phénomènes transitoires dans <strong>de</strong>s <strong>circuits</strong> électrotechniques peut avoir uneinflu<strong>en</strong>ce non négligeable sur le fonctionnem<strong>en</strong>t normal d’une installation. Ces phénomènessont généralem<strong>en</strong>t bi<strong>en</strong> connus et sont particulièrem<strong>en</strong>t importants lorsqu’il s’agit <strong>de</strong>surt<strong>en</strong>sions ou <strong>de</strong> surint<strong>en</strong>sités. On retrouvera par exemple <strong>de</strong>s effets <strong>de</strong> surcharges, <strong>de</strong>sdécl<strong>en</strong>chem<strong>en</strong>ts <strong>de</strong> protections ou <strong>de</strong>s <strong>de</strong>structions liées à <strong>de</strong>s courants d’appels trop élevésqui peuv<strong>en</strong>t être le résultat d’un démarrage <strong>de</strong> moteur ou <strong>de</strong> la mise sous t<strong>en</strong>siond’inductances. Dès aujourd’hui, nous avons la possibilité d’intégrer ces phénomènestransitoires dans l’analyse événem<strong>en</strong>tielle à la manière d’un niveau <strong>de</strong> détail supplém<strong>en</strong>taire.178 / 213


Conclusion générale et perspectivesAinsi, dans le modèle <strong>de</strong> certains composants, il serait possible <strong>de</strong> définir un comportem<strong>en</strong>ttransitoire sous la forme d’une succession d’événem<strong>en</strong>ts qui <strong>en</strong> faisant varier un paramètre dumodèle permet <strong>de</strong> reproduire le comportem<strong>en</strong>t att<strong>en</strong>du. On peut imaginer par exemple <strong>de</strong> fairevarier, durant sa phase <strong>de</strong> démarrage, l’impédance caractéristique d’un moteur pour simulerson courant d’appel et ainsi observer ses effets sur ses équipem<strong>en</strong>ts <strong>de</strong> protection.La prise <strong>en</strong> compte <strong>de</strong>s phénomènes transitoires peut donc passer par ce moy<strong>en</strong> atypique où lemodèle prédéfinit le comportem<strong>en</strong>t qu’il att<strong>en</strong>d. Dans ce cas, c’est ess<strong>en</strong>tiellem<strong>en</strong>t l’effet dutransitoire que l’on souhaite étudier et pas nécessairem<strong>en</strong>t la cause. Ce moy<strong>en</strong> permet, dansl’esprit <strong>de</strong> Simul’Elec d’obt<strong>en</strong>ir <strong>de</strong>s résultats plus intuitifs et plus rapi<strong>de</strong>m<strong>en</strong>t bi<strong>en</strong> que lamétho<strong>de</strong> utilisée puisse sembler moins rigoureuse. Afin d’exploiter ce pot<strong>en</strong>tiel, il seranécessaire <strong>de</strong> développer les modèles <strong>de</strong> composants intégrant ces caractéristiques.Afin d’<strong>en</strong>richir les possibilités du simulateur, il serait toutefois nécessaire <strong>de</strong> compléter cespossibilités par un « vrai » mo<strong>de</strong> d’analyse transitoire comme on peut <strong>en</strong> trouver dans lessimulateurs tels que Spice. Dans ce cas, le simulateur calcule point après point l’évolutiontemporelle <strong>de</strong>s t<strong>en</strong>sions et <strong>de</strong>s courants. Pour cela, il résout un système d’équationsdiffér<strong>en</strong>tielles <strong>en</strong> utilisant <strong>de</strong>s algorithmes spécifiques et connus (algorithmes d’intégration :trapézoïdal, Gear, backward-Euler…). Ce type <strong>de</strong> mo<strong>de</strong> d’analyse requiert une <strong>de</strong>scriptionbeaucoup plus fine et plus complexes <strong>de</strong>s modèles.Actuellem<strong>en</strong>t, le simulateur pr<strong>en</strong>d <strong>en</strong> compte un certain nombre <strong>de</strong> discontinuités liéesgénéralem<strong>en</strong>t aux va<strong>leur</strong>s efficaces <strong>de</strong>s t<strong>en</strong>sions et <strong>de</strong>s courants. Ces discontinuités sontsimulées par l’analyse événem<strong>en</strong>tielle à partir <strong>de</strong> <strong>leur</strong> <strong>de</strong>scription au sein <strong>de</strong>s modèles <strong>de</strong>scomposants. C’est par exemple le cas du cycle d’hystérésis qui définit l’état logique d’unrelais <strong>en</strong> fonction <strong>de</strong> la va<strong>leur</strong> efficace <strong>de</strong> la t<strong>en</strong>sion aux bornes <strong>de</strong> la bobine.Il serait intéressant <strong>de</strong> pouvoir <strong>en</strong>richir les possibilités <strong>de</strong>s mo<strong>de</strong>s d’analyse <strong>en</strong> <strong>leur</strong> permettant<strong>de</strong> traiter d’autres comportem<strong>en</strong>ts non linéaires touchant notamm<strong>en</strong>t aux va<strong>leur</strong>s instantanées<strong>de</strong>s signaux comme le seuillage, l’écrêtage, la saturation.Ainsi, nous <strong>en</strong>visageons égalem<strong>en</strong>t d’intégrer <strong>de</strong>s modèles <strong>de</strong> composants typiquem<strong>en</strong>t nonlinéaires (dio<strong>de</strong>s, transistor, thyristor…) pour ét<strong>en</strong>dre notre champ d’application àl’électronique <strong>de</strong> puissance. Nous les traiterions dans un premier temps comme <strong>de</strong>scomposants idéalisés ayant un comportem<strong>en</strong>t d’interrupteurs commandés.En introduisant <strong>de</strong>s variables externes ou <strong>en</strong>vironnem<strong>en</strong>tales telles que la températureambiante, nous pourrions observer l’influ<strong>en</strong>ce <strong>de</strong> l’<strong>en</strong>vironnem<strong>en</strong>t sur une installation. Il estnécessaire <strong>de</strong> définir quelles peuv<strong>en</strong>t être ces variables et <strong>de</strong> quelles manières nous <strong>de</strong>vons lesfaire interv<strong>en</strong>ir dans la modélisation <strong>de</strong>s différ<strong>en</strong>ts composants.Sur un plan plus ergonomique, nous <strong>en</strong>visageons égalem<strong>en</strong>t l’amélioration <strong>de</strong> l’interactivité<strong>en</strong>tre la <strong>simulation</strong> et le schéma. Des travaux <strong>en</strong> ce s<strong>en</strong>s ont été initiés, <strong>en</strong> parallèle <strong>de</strong> cetravail <strong>de</strong> thèse, par Algo’Tech Informatique <strong>de</strong>puis début 2003. Il s’agit d’appliquer <strong>de</strong>sstimuli directem<strong>en</strong>t sur le schéma ainsi que <strong>de</strong> placer <strong>de</strong>s son<strong>de</strong>s pour mesurer les gran<strong>de</strong>urscaractéristiques. On retrouvera les va<strong>leur</strong>s <strong>de</strong> t<strong>en</strong>sions et <strong>de</strong> courants affichés sommairem<strong>en</strong>tau niveau du schéma, ainsi que les états logiques et les branches parcourues ou non par uncourant.179 / 213


180 / 213


Référ<strong>en</strong>ces bibliographiquesRéfér<strong>en</strong>ces bibliographiques[ABI03]AH. Abitbol, Validation d'un simulateur <strong>de</strong> circuit électrotechnique -Rapport <strong>de</strong> mission <strong>en</strong> <strong>en</strong>treprise, Projet 2ème année ESTIA, 2003[ADV] ADVance MS refer<strong>en</strong>ce manual, M<strong>en</strong>tor Graphics, 2000.[AIR98][ALA98][ALG][BAN][BEA]R. Airiau & al., VHDL langage, modélisation, synthèse, 2ème édition,Presses polytechniques et universitaires roman<strong>de</strong>s, 1998 ISBN 2-88074-361-3O. Alali, Modélisation VHDL-AMS analogique et Simulation Spice,Thèse ENST, 1998Algo’Tech Informatique,http://www.algotech.frBInstitut Schnei<strong>de</strong>r Formation, Banc <strong>de</strong> sélectivité,http://www.schnei<strong>de</strong>rformation.com/didactic.fr/fiches/in<strong>de</strong>x.php?G_id=5BEAMS, Behavioural mo<strong>de</strong>lling of Analogue and Mixed Systems,a non-lucrative association for the promotion of behavioural mo<strong>de</strong>lling and<strong>simulation</strong> with HDL languages (mainly VHDL-AMS),http : //www.beams.asso.fr[BLA82] E. Blanc & al., Gui<strong>de</strong> <strong>de</strong> l'installation électrique, Merlin-Gerin, 1982[BOR02] BORLAND, Delphi 7 Gui<strong>de</strong> du développeur, 2002[BOU92][BOY81][CEI88][CIT94]R. Bourgeois, D. Cogniel, Mémotech Electrotechnique, 4ème édition,Casteilla, 1992 ISBN 2-7135-1219-0P. Boye, A. Bianciotto, Le schéma <strong>en</strong> électrotechnique, Delagrave, 1981ISBN 2-206-00112-8CNorme CEI 900 Calcul <strong>de</strong>s courants <strong>de</strong> court-circuit dans les réseauxtriphasés à courant alternatif, UTE, 1988Schémathèque Technologies du contrôle industriel, CITEF, 1994 ,ISBN 2-907314-21-1[COG00] Collectif Cogisoft, Delphi5 / XML, CampusPress, 2000, ISBN 2-7440-0926-1[COU99][COV]M. Cousineau, Modélisation <strong>de</strong>s systèmes analogiques,Thèse INP Toulouse, 1999XML Cover pages, XML and Electronic Design Automation (EDA),http://xml.coverpages.org/xmlAndEDA.html181 / 213


Référ<strong>en</strong>ces bibliographiques[CRO][DEL]Crocodile physics ,http://www.crocodile-clips.com/fr<strong>en</strong>ch/crocodile/physics/in<strong>de</strong>x.htmDDelphi, http://www.borland.fr/<strong>de</strong>lphi/in<strong>de</strong>x.html[DEP91] Y. Déplanche, Mémo Formulaire, Casteilla, 1991 ISBN 2-7135-1162-3[DEP1][DEP2][EDM97][ELE][EIT][ERA96][ETO][FIT]N. Depail, Validation d'un simulateur <strong>de</strong> circuit électrotechnique - Rapport<strong>de</strong> mission <strong>en</strong> <strong>en</strong>treprise, Projet 2ème année ENSEIRB, 2003N. Depail, H. Abitbol, Validation d'un simulateur <strong>de</strong> circuit électrotechnique- Dossier technique global, 2003EJ. Edminister, M. Nahvi, Circuits électriques, théorie et problèmes,3ème édition, McGraw-Hill, 1997 ISBN 2-7042-1291-0Elec Planet, http://www.elec-planet.com/Calcul d’installation électriques <strong>en</strong> ligne.Pôle Technologique EITICA (Electronique, Informatique et TIC <strong>en</strong> Aquitaine),http://www.eitica.orgP.-J. Erard, P. Déguénon, Simulation par événem<strong>en</strong>t discret, 1ère édition,Presses polytechniques et universitaires roman<strong>de</strong>s, 1996 ISBN 2-88074-295-1Traducteurs EDA-XML, e-tools,http://www.e-tools.com/cont<strong>en</strong>t/xml_translators.htmlF, G, HSchémaplic, Fitec, http://www.fitec.fr/interactif.htm[FOU84] J.-M. Fouchet, Electricité pratique, Dunod, 1984 ISBN 2-04-015912-6[GAR01][GIL98][HAM][HER][HER02][IED]J. M. Garrido, Object Ori<strong>en</strong>ted Discrete-Ev<strong>en</strong>t Simulation with Java,Kluwer Aca<strong>de</strong>mic/Pl<strong>en</strong>um publishers, 2001 ISBN 0-306-46688-0N. Gilardi, Création d'un module <strong>de</strong> <strong>simulation</strong> Electrique SiCi pour unlogiciel <strong>de</strong> Schématique, Projet DESS SPIA, 1998HAMSTER, http:/hamster-ams.comY. HERVE, GRAMMAIRE VHDL-AMS (forme BNF),http://www-<strong>en</strong>sps.u-strasbg.fr/cours<strong>en</strong>/Option3A/ams_bnf.htmlY. Hervé, VHDL-AMS Applications et <strong>en</strong>jeux industriels,Dunod, 2002 ISBN 2-10-005888-6I, J, KTime warp, Institute of electronic <strong>de</strong>sign automation, Allemagne,www.eda.ei.tum.<strong>de</strong>/forschung.simul/<strong>en</strong>glisch/timewarp.html182 / 213


Référ<strong>en</strong>ces bibliographiques[JAM][JEM03][KIE98][LAR97][LAW91]S. James, Initiation à XML et Delphi 6, http://sjames.<strong>de</strong>veloppez.com/xml/S. Jemmali, Contribution à l'amélioration <strong>de</strong> méthodologie et d'outils d'ai<strong>de</strong>à la conception <strong>de</strong> systèmes multitechnologiques , Thèse ENST, 2003R. Kielkowsji, Insi<strong>de</strong> Spice, 2ème édition, McGRaw-Hill,1998 ISBN 0-07-913712-1LP. Larcher, VHDL introduction à la synthèse logique, Eyrolles, 1997ISBN 2-212-09584-8A. M. Law, W. D. Kelton , Simulation mo<strong>de</strong>ling & analysis, 2ème édition,McGRaw-Hill, 1991 ISBN 0-07-100803-9[LEG00] Appareillage électrique d'installation, LEGRAND, 2000[LEG03][LEG04][LIT97][MATL][MATH][MEN][MER98][MIL02][MIL97]F. Legrand, N. Couture, R. Briand, H. Lévi,Simulation <strong>de</strong> schémas électriques ou électrotechniques par utilisation <strong>de</strong>l’analyse événem<strong>en</strong>tielle, CIAI3 (Confér<strong>en</strong>ce Internationale surl’Automatisation Industrielle), Montréal, Canada, juin 2003F. Legrand, H. Lévi, N. Couture, J.J. Charlot, VHDL-AMS Mo<strong>de</strong>ling andLibrary Building for Power Electrical Engineering,AMC'04 (the 8th IEEE International Workshop on Advanced Motion Control),Kawasaki Japon, Mars 2004V. Litovski, M. Zwolinski, VLSI Circuit Simulation and Optimization,Chapman & Hall, 1997 ISBN 0-412-63860-6MMatLab – Simulink, http://www.mathworks.com/MathML, http://www.w3.org/Math/M<strong>en</strong>tor graphics, http://www.m<strong>en</strong>tor.com/R. Mérat & al., Génie électrotechnique STI-BTS, Editions Nathan, 1998ISBN 2-09-177980-6N. Milet-Lewis, S. Snai<strong>de</strong>ro, Y. Hervé, G. Monnerie, D. Geoffroy, A. Fakhfakh,and H. Levi, Behavioural Library Developm<strong>en</strong>t :Mo<strong>de</strong>ls Docum<strong>en</strong>tationand Qualification, Forum on Design Languages, FDL'02,Septembre 23-27, 2002, Marseille, FranceN. Millet-Lewis, Contribution à la modélisation comportem<strong>en</strong>tale <strong>de</strong>s<strong>circuits</strong> analogiques, Thèse Bor<strong>de</strong>aux I, 1997183 / 213


Référ<strong>en</strong>ces bibliographiquesN, O, Q, R[NAG75][NEW78]L.W. Nagel, SPICE2 : a computer program to simulate semiconductor<strong>circuits</strong>, University of California, Berkeley, 1975 Mémorandum n° ERL-M520R. Newton, The <strong>simulation</strong> of large scale integrated <strong>circuits</strong>,University of California, Berkeley, 1978 Mémorandum n°UCB/ERL M78/52[NFC98] Norme NFC15-100 Installations électrique basse t<strong>en</strong>sion, UTE, 1998[ORC][QUE88]OrCAD, Simulation PSPICE,http://www.orcad.com/products/pspice/J.L. Queyrel, J. Mesplè<strong>de</strong>, Précis <strong>de</strong> physique - Electronique,Bréal, 1988 ISBN 2-85394-264-3[RAP98]J. Rappaz, M. Picasso, Introduction à l'analyse numérique,Presses polytechniques et universitaires roman<strong>de</strong>s, 1998 ISBN 2-88074-363-XS[SAB] Saber, http://www.analogy.com/products/mixedsignal/saber/saber.html[SCH01] Automatismes Industriels télémécanique, Schnei<strong>de</strong>r Electric, 2001[SEY00]F. Seyler, Analyse d'un simulateur <strong>de</strong> circuit générique intégré,Mémoire <strong>de</strong> DEA-LABRI, 2000ANSOFT, Simplorer, Simulation software for multi-domain <strong>de</strong>signs in[SIM] automotive, aerospace, power electronics, and electric drive systemshttp://www.ansoft.com/products/em/simplorer/[SOC00] Catalogue général - Cahier technique, SOCOMEC, 2000[SPI1]Spice, The Spice page, Université <strong>de</strong> Berkeley,http://bwrc.eecs.berkeley.edu/Classes/IcBook/SPICE/[SPI2]Spice3F5, Spice Latest version, Université <strong>de</strong> Berkeley,http://www.eecs.berkeley.edu/IPRO/Software/Catalog/Description/spice3f5[SVG][VHD1][VHD2][VLA81][W3C][WOO01]SVG, scalable Vector Graphics, http://www.w3.org/TR/SVG/V, WIEEE Standard VHDL Analog and Mixed-Signal Ext<strong>en</strong>sions,IEEE Std 1076.1 – 1999.Groupe <strong>de</strong> travail IEEE VHDL-AMS, http://www.eda.org/vhdl-ams/A. Vladimirescu & al., Spice Version 2g user's gui<strong>de</strong>,University of California, Berkeley, 1981W3C, World Wi<strong>de</strong> Web Consortium , eXt<strong>en</strong>sible Markup Language,http://www.w3.org/XML/K. Wood, Delphi Developer's gui<strong>de</strong> to XML, Wordware publishing, 2001ISBN 1-55622-812-0184 / 213


AnnexesANNEXESPublication…………………………………………………………………………………..186F. Legrand, N. Couture, R. Briand, H. Lévi,Simulation <strong>de</strong> schémas électriques ou électrotechniques par utilisation <strong>de</strong>l’analyse événem<strong>en</strong>tielle,CIAI3 (Confér<strong>en</strong>ce Internationale sur l’Automatisation Industrielle), Montréal,Canada, juin 2003Annexe 1 : Macro Modèles simples..................................................................................191Annexe 2 : Courbe <strong>de</strong> fusion <strong>de</strong>s fusibles.........................................................................193Annexe 3 : Courbes <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteurs magnétothermiques Merlin-GérinC60. ........................................................................................................................194Annexe 4 : DTD « Schnei<strong>de</strong>rML »...................................................................................195Annexe 5 : Liste <strong>de</strong>s fonctions non-supportées par hAMSter...........................................196Annexe 6 : Démarche <strong>de</strong> publication <strong>de</strong>s modèles VHDL-AMS <strong>de</strong> l’association BEAMS. .........................................................................................................................197Annexe 7 : Modèles VHDL-AMS ....................................................................................198Annexe 8 : Banc <strong>de</strong> sélectivité <strong>de</strong>s protections TBT ........................................................201Annexe 9 : Impédances <strong>de</strong>s élém<strong>en</strong>ts <strong>de</strong> banc didactique.................................................201Annexe 10 : Courant <strong>de</strong> court-circuit et temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t théoriques pour lemontage à un disjoncteur................................................................................................202Annexe 11 : Courant <strong>de</strong> court-circuit, temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t et sélectivité théoriques pourle montage à <strong>de</strong>ux disjoncteurs.......................................................................................203Annexe 12 : Courant <strong>de</strong> court-circuit, temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t et sélectivité théoriques pourle montage à trois disjoncteurs .......................................................................................204Annexe 13 : Mesure <strong>de</strong>s courant <strong>de</strong> défaut et temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t relevés sur un circuità un seul disjoncteur. ......................................................................................................205Annexe 14 : Courant <strong>de</strong> défaut et temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t relevés sur un circuit à <strong>de</strong>uxdisjoncteurs ....................................................................................................................206Annexe 15 : Courant <strong>de</strong> défaut et temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t relevés sur un circuit à troisdisjoncteurs ....................................................................................................................207Annexe 16 : Banc <strong>de</strong> test évolutif, comparatif <strong>de</strong>s va<strong>leur</strong>s théoriques simulées etmesurées pour le décl<strong>en</strong>chem<strong>en</strong>t sous 230V ..................................................................208Annexe 17 : Mo<strong>de</strong> <strong>de</strong> pose <strong>de</strong>s câbles pour la mesure <strong>de</strong> la chute <strong>de</strong> t<strong>en</strong>sion....................209Annexe 18 : Captures d’écran <strong>de</strong> Simul’Elec .....................................................................209185 / 213


AnnexesSIMULATION DE SCHEMAS ELECTRIQUES OU ELECTROTECHNIQUESPAR UTILISATION DE L’ANALYSE EVENEMENTIELLEFabi<strong>en</strong> LEGRAND 1 , Nadine COUTURE 1 , R<strong>en</strong>aud BRIAND 1 , Hervé LÉVI 21 LIPSI-ESTIATechnopole Izarbel 64210 Bidart, France E-mail: [f.legrand, n.couture ; r.briand]@estia.fr2 IXL - Université Bor<strong>de</strong>aux I (UMR CNRS 5818)351, cours <strong>de</strong> la Libération 33405 Tal<strong>en</strong>ce, Ce<strong>de</strong>x France. E-mail : levi@ixl.u-bor<strong>de</strong>aux.frAbstract : We pres<strong>en</strong>t an electrical circuit simulator integrated in a CAD software for industry. Itsimulates the reaction of an electrical circuit to user <strong>de</strong>fined stimuli. It returns voltages, curr<strong>en</strong>tsand logic states values of simulated <strong>de</strong>vices. This simulator uses an original ev<strong>en</strong>t driv<strong>en</strong> analysismo<strong>de</strong> which simulates relatively long period ev<strong>en</strong>ts without any transi<strong>en</strong>t analysis to keep<strong>simulation</strong> speed.Résumé : Nous prés<strong>en</strong>tons un simulateur <strong>de</strong> circuit électrique intégré au logiciel <strong>de</strong> schématiqueélectrique pour l’industrie, Elec’view. Il simule les réactions d’un circuit électrotechnique à <strong>de</strong>sstimuli définis par l’utilisateur et donne comme résultats les va<strong>leur</strong>s <strong>de</strong> t<strong>en</strong>sions, <strong>de</strong> courants et lesétats logiques <strong>de</strong>s appareils simulés. Il utilise un mo<strong>de</strong> d’analyse événem<strong>en</strong>tielle original quipermet <strong>de</strong> simuler <strong>de</strong>s événem<strong>en</strong>ts relativem<strong>en</strong>t long sans recourir à une analyse transitoire afin <strong>de</strong>préserver la rapidité <strong>de</strong> calcul.Mots clés : Conception Assistée par Ordinateur, Simulateur <strong>de</strong> circuit électrotechnique, analyseévénem<strong>en</strong>tielle, modélisation <strong>de</strong> composants pour l’électrotechnique, optimisation <strong>de</strong>sinstallations électriques.1. INTRODUCTIONNous partons <strong>de</strong> trois constats. D’une part, leslogiciels <strong>de</strong> schématique électrique dédiés à laconception <strong>de</strong>s installations électriques nepermett<strong>en</strong>t pas, à ce jour, <strong>leur</strong> <strong>simulation</strong>. D’autrepart, les logiciels <strong>de</strong> <strong>simulation</strong> électrotechniquesont surtout utilisés pour l’étu<strong>de</strong> du fonctionnem<strong>en</strong>t<strong>de</strong>s machines électriques, mais pas pour l’étu<strong>de</strong>d’une installation complète. Enfin, le logiciel <strong>de</strong><strong>simulation</strong> électrique SPICE [1] qui est la référ<strong>en</strong>ceactuelle <strong>en</strong> matière <strong>de</strong> <strong>simulation</strong> est surtout dédié àla <strong>simulation</strong> <strong>de</strong> <strong>circuits</strong> intégrés <strong>en</strong> <strong>vue</strong> <strong>de</strong> <strong>leur</strong>fabrication. De ce fait, SPICE et les simulateursissus <strong>de</strong> SPICE sont mal adaptés pour simuler lecomportem<strong>en</strong>t <strong>de</strong> <strong>circuits</strong> ou systèmes sur <strong>de</strong>sdurées importantes, surtout si les événem<strong>en</strong>tsprovoquant <strong>de</strong>s modifications <strong>de</strong> l’état du systèmesont relativem<strong>en</strong>t espacés dans le temps. En effet,ces simulateurs effectu<strong>en</strong>t <strong>de</strong>s analyses transitoiresavec un pas <strong>de</strong> calcul suffisamm<strong>en</strong>t fin par rapportaux constantes <strong>de</strong> temps du circuit pour conserverune bonne précision <strong>de</strong>s résultats. Enélectrotechnique, les événem<strong>en</strong>ts ont généralem<strong>en</strong>t<strong>de</strong>s durées importantes (minutes, secon<strong>de</strong>s) <strong>de</strong>vantce pas ce qui conduit souv<strong>en</strong>t à <strong>de</strong>s temps <strong>de</strong> calculrédhibitoires.Nous proposons donc un simulateur électrique etélectrotechnique, intégré à un logiciel <strong>de</strong>schématique, résolvant les problèmes énoncés ci<strong>de</strong>ssus.2. LE SIMULATEUR DE CIRCUITELECTROTECHNIQUENous prés<strong>en</strong>tons ici le module <strong>de</strong> <strong>simulation</strong> intégréau logiciel <strong>de</strong> DAO-CAO électrique Elec’view [2] .Son but est <strong>de</strong> permettre la <strong>simulation</strong> <strong>de</strong> réseauxélectriques, intégrant la partie puissance et lapartie comman<strong>de</strong>, afin d’estimer le comportem<strong>en</strong>tphysique <strong>de</strong>s installations et ainsi optimiser <strong>leur</strong>coût <strong>de</strong> conception. La mise <strong>en</strong> œuvre du logiciel anécessité une réflexion sur les mo<strong>de</strong>s d’analysemais égalem<strong>en</strong>t sur la modélisation <strong>de</strong>s composants<strong>de</strong> l’électrotechnique (moteurs, transformateurs,disjoncteurs, relais, sources…).Ainsi, notre simulateur se démarque <strong>de</strong>ssimulateurs classiques (analogiques, numériques oumixtes) par le type <strong>de</strong> composants qu’il permet <strong>de</strong>simuler. Comme avec SPICE, on peut simuler lescomposants électriques <strong>de</strong> base tels que résistances,con<strong>de</strong>nsateurs, selfs, sources, transformateurs etamplificateurs parfaits. Avec notre simulateur, il estpossible <strong>de</strong> simuler égalem<strong>en</strong>t <strong>de</strong>s composantsspécifiques à l’électrotechnique comme les relais,sectionneurs, fusibles, disjoncteurs, etc. Cescomposants sont particuliers car ils ont d’une partun comportem<strong>en</strong>t analogique (impédancecaractéristique par exemple) et d’autre part uncomportem<strong>en</strong>t « Tout Ou Ri<strong>en</strong> » lié à l’état logiqueque l’on peut <strong>leur</strong> associer (ouvert, fermé,<strong>en</strong>cl<strong>en</strong>ché, décl<strong>en</strong>ché, etc.).186 / 213


AnnexesLa création du module <strong>de</strong> <strong>simulation</strong> du logiciel <strong>de</strong>DAO-CAO électrique Elec’view a nécessité laréalisation d’une interface graphique permettantl’accès aux différ<strong>en</strong>ts mo<strong>de</strong>s d’analyses (régimesperman<strong>en</strong>t, événem<strong>en</strong>tiel et fréqu<strong>en</strong>tiel). Parmi cesmo<strong>de</strong>s d’analyses, nous prés<strong>en</strong>tons un mo<strong>de</strong>d’analyse événem<strong>en</strong>tiel original. Il permet <strong>de</strong>simuler le comportem<strong>en</strong>t séqu<strong>en</strong>tiel <strong>de</strong>séquipem<strong>en</strong>ts, <strong>en</strong> réaction à <strong>de</strong>s stimuli définis parl’utilisateur, tout <strong>en</strong> conservant <strong>de</strong>s durées <strong>de</strong><strong>simulation</strong> acceptables.3. LE MODE D’ANALYSE EN REGIME PERMANENTNous allons prés<strong>en</strong>ter l’analyse <strong>en</strong> régimeperman<strong>en</strong>t afin <strong>de</strong> mieux appréh<strong>en</strong><strong>de</strong>r par la suite lemo<strong>de</strong> d’analyse événem<strong>en</strong>tiel. Cet mo<strong>de</strong> d’analyse<strong>en</strong> régime perman<strong>en</strong>t nous donne un état stable <strong>de</strong>l’installation <strong>en</strong> une seule résolution du systèmed’équations modélisant le circuit. Il ne s’agit pas icid’un régime continu mais plutôt d’un régimesinusoïdal perman<strong>en</strong>t. En effet, on obti<strong>en</strong>t <strong>de</strong>sva<strong>leur</strong>s complexes <strong>de</strong> t<strong>en</strong>sions et <strong>de</strong> courants avecpour chacune la notion d’amplitu<strong>de</strong> et <strong>de</strong>déphasage.Pour l’obt<strong>en</strong>tion <strong>de</strong> chaque régime établi, onmodélise le circuit sous forme d’un systèmed’équations linéaires (avec <strong>de</strong>s termes complexes).Il est construit selon la métho<strong>de</strong> d’analyse nodalemodifiée [3] égalem<strong>en</strong>t utilisée par SPICE. Il estcont<strong>en</strong>u dans le système <strong>de</strong> matrices creuses :G.U = IEn simplifiant, on peut considérer que G représ<strong>en</strong>tela matrice <strong>de</strong>s admittances (contributions <strong>de</strong>simpédances <strong>de</strong>s différ<strong>en</strong>ts composants du circuit),U est la matrice <strong>de</strong>s t<strong>en</strong>sions (pot<strong>en</strong>tiel <strong>de</strong>sdiffér<strong>en</strong>ts nœuds du circuit, inconnues) et I est lamatrice <strong>de</strong>s courants (int<strong>en</strong>sités traversant lesbranches, excitations du circuit). Le systèmed’équations ainsi obt<strong>en</strong>u est résolu par <strong>de</strong>smétho<strong>de</strong>s classiques d’analyse numérique [4](décomposition LU suivi d’une élimination <strong>de</strong>Gauss).S’il existe différ<strong>en</strong>tes fréqu<strong>en</strong>ces d’excitation dansle circuit, on calcule le régime perman<strong>en</strong>tcorrespondant à chaque fréqu<strong>en</strong>ce <strong>en</strong> éteignant lessources <strong>de</strong> fréqu<strong>en</strong>ces différ<strong>en</strong>tes. Le principe <strong>de</strong>superposition est <strong>en</strong>suite utilisé pour ajouter lesrésultats obt<strong>en</strong>us. Dans le cas particulier d’uneexcitation continue, le principe reste le même, oncalcule le régime perman<strong>en</strong>t <strong>en</strong> considérant que lafréqu<strong>en</strong>ce d’excitation est nulle.4. PRINCIPE DE L’ANALYSE EVENEMENTIELLENotre préoccupation est d’offrir au concepteur <strong>de</strong>l’installation un mo<strong>de</strong> d’analyse piloté parévénem<strong>en</strong>ts qui permette <strong>de</strong> suivre l’évolutionnaturelle ou forcée du circuit traité. L’objectif <strong>de</strong>cette analyse est <strong>de</strong> reproduire le fonctionnem<strong>en</strong>t <strong>de</strong>l’installation avec, <strong>en</strong> outre, la possibilité <strong>de</strong>simuler <strong>de</strong>s interv<strong>en</strong>tions humaines, <strong>de</strong>s pannes ou<strong>de</strong>s courts-<strong>circuits</strong> dans l’installation.Ainsi, l’utilisateur peut planifier un certain nombre<strong>de</strong> modifications successives ou simultanées àappliquer sur le circuit sous forme d’événem<strong>en</strong>ts. Ilest donc possible <strong>de</strong> tester <strong>de</strong> multiplesconfigurations dans le but <strong>de</strong> vérifier lefonctionnem<strong>en</strong>t <strong>de</strong> l’installation ou <strong>de</strong>dim<strong>en</strong>sionner au mieux <strong>de</strong>s composants, parexemple. Il existe 2 types d’interv<strong>en</strong>tions : Changem<strong>en</strong>t <strong>de</strong> la va<strong>leur</strong> d’un paramètre d’uncomposant. Tous les paramètres d’un modèlesont modifiables. Ces changem<strong>en</strong>ts peuv<strong>en</strong>têtre effectués par l’utilisateur qui définitmanuellem<strong>en</strong>t les va<strong>leur</strong>s <strong>de</strong>s paramètres maiségalem<strong>en</strong>t <strong>de</strong> manière automatique <strong>en</strong>prévoyant <strong>de</strong>s gammes <strong>de</strong> va<strong>leur</strong>s selon <strong>de</strong>séchelles linéaires, logarithmiques ou <strong>en</strong>respectant <strong>de</strong>s séries normalisées (ex : E12,…).Forçage <strong>de</strong> l’état logique <strong>de</strong>s composants(fermeture ou ouverture d’un interrupteur,décl<strong>en</strong>chem<strong>en</strong>t d’un disjoncteur, etc.). Le termeforçage indique que l’état définit parl’utilisateur est prioritaire sur l’état naturel ducomposant.L’analyse événem<strong>en</strong>tielle repose surl’<strong>en</strong>chaînem<strong>en</strong>t <strong>de</strong> plusieurs analyses <strong>en</strong> régimeperman<strong>en</strong>t. Cet <strong>en</strong>chaînem<strong>en</strong>t est piloté par uncal<strong>en</strong>drier d’événem<strong>en</strong>ts. Un événem<strong>en</strong>t traduit unchangem<strong>en</strong>t d’état du système. A la fin <strong>de</strong> l’étu<strong>de</strong>,toutes les solutions successivem<strong>en</strong>t obt<strong>en</strong>uesdécriv<strong>en</strong>t tous les états stables pris par le circuit.L’algorithme traduisant l’analyse événem<strong>en</strong>tielles’appuie uniquem<strong>en</strong>t sur une résolution analogique.Il n’y a donc pas besoin <strong>de</strong> synchroniser <strong>de</strong>uxprocessus concurr<strong>en</strong>ts <strong>de</strong> <strong>simulation</strong> analogiques etnumériques comme cela existe dans <strong>de</strong>s simulateursmixtes [5], il s’agit simplem<strong>en</strong>t d’un ca<strong>de</strong>ncem<strong>en</strong>t.187 / 213


AnnexesDEBUTCréation du cal<strong>en</strong>drier d’événem<strong>en</strong>tsPlanification <strong>de</strong>s stimuli définis par l’utilisateurComposant courantDEBUT= 1 er <strong>de</strong> la liste <strong>de</strong>s élém<strong>en</strong>ts du circuitRéponse du composant à l’événem<strong>en</strong>tModification du modèle du circuit par l’événem<strong>en</strong>t courantRésolution du nouveau système d’équationsNONStockage <strong>de</strong>s résultatsRéaction du circuit à l’événem<strong>en</strong>t (détail <strong>en</strong> figure 2)Passage à l’événem<strong>en</strong>t suivantTous lesévénem<strong>en</strong>ts sonttraités ?OUIMise à jour <strong>de</strong>s signaux <strong>de</strong> sortie sélectionnésFINFig.1 : Algorithme <strong>de</strong> l’analyse événem<strong>en</strong>tielle.Ainsi, cet algorithme, représ<strong>en</strong>té figure 1, déterminel’instant où les paramètres du circuit serontmodifiés afin <strong>de</strong> représ<strong>en</strong>ter un changem<strong>en</strong>t d’état.Une nouvelle <strong>simulation</strong> est alors lancée. Cetteprocédure est répétée à chaque événem<strong>en</strong>t. Deuxtypes d’événem<strong>en</strong>ts peuv<strong>en</strong>t être distingués. Lesévénem<strong>en</strong>ts peuv<strong>en</strong>t être provoqués soit parl’utilisateur <strong>en</strong> définissant <strong>de</strong>s stimuli via l’interfacegraphique ou bi<strong>en</strong> par une réaction du système àl’état <strong>en</strong> cours (décl<strong>en</strong>chem<strong>en</strong>t d’un disjoncteur,activation d’un relais). De façon plus détaillée, lecal<strong>en</strong>drier d’événem<strong>en</strong>ts est initialisé à partir <strong>de</strong>sstimuli définis par l’utilisateur. Ensuite, pourchaque événem<strong>en</strong>t planifié, l’état du circuit évolueet une nouvelle résolution est décl<strong>en</strong>chée. Enfonction <strong>de</strong> ces résultats, le simulateur évalue lecomportem<strong>en</strong>t du circuit face à ce nouvel état (voirdétail fig. 2), qui se traduit par l’apparition <strong>de</strong>nouveaux événem<strong>en</strong>ts alors insérés dans lecal<strong>en</strong>drier. Lorsque l’analyse est terminée,l’affichage <strong>de</strong>s signaux est mis à jour.En fin <strong>de</strong> <strong>simulation</strong>, on peut exploiter lesdiffér<strong>en</strong>ts résultats <strong>de</strong> l’analyse soit : Les t<strong>en</strong>sions et courants mesurés (sous forme<strong>de</strong> courbes ou <strong>de</strong> va<strong>leur</strong>s efficaces). Les états logiques résultants. Ils peuv<strong>en</strong>t êtrediffér<strong>en</strong>ts <strong>de</strong>s états prédéfinis dans les zonessans forçage.Fig 2 : Algorithme <strong>de</strong> réaction du circuit àl’événem<strong>en</strong>t.5. MODELISATION DE COMPOSANT : CAS DUDISJONCTEURPour être exploitable dans le mo<strong>de</strong> d’analyseévénem<strong>en</strong>tiel, il faut définir le modèle d’uncomposant selon 2 aspects : L’aspect électrique définit la participation <strong>de</strong>chaque composant <strong>en</strong> terme d’impédance etd’excitation. Dans chaque mo<strong>de</strong> d’analyse, cetaspect est utilisé pour la construction <strong>de</strong>smatrices dont le détail est donnée dans la partie3.L’aspect événem<strong>en</strong>tiel décrit la réaction d’uncomposant suite à un événem<strong>en</strong>t (variation <strong>de</strong>t<strong>en</strong>sion, <strong>de</strong> courant, d’état logique…). Cetteréponse est généralem<strong>en</strong>t un changem<strong>en</strong>t d’étatlogique qui va provoquer une modification dumodèle électrique. Certains composants n’ontpas <strong>de</strong> modèle événem<strong>en</strong>tiel car <strong>leur</strong>comportem<strong>en</strong>t est i<strong>de</strong>ntique <strong>en</strong> toutescirconstances (ex : résistance, con<strong>de</strong>nsateur,self, source…) quand on considère qu’ilsfonctionn<strong>en</strong>t dans <strong>de</strong>s conditions nominales.L’analyse événem<strong>en</strong>tielle trouve toutparticulièrem<strong>en</strong>t son intérêt dans la <strong>simulation</strong> ducomportem<strong>en</strong>t d’un disjoncteur magnétothermique.Elle permet <strong>de</strong> contrôler :NONComposant suivantTous lescomposantssont traités ?OUIContrôle <strong>de</strong>s conditions <strong>de</strong> réalisation <strong>de</strong> l’événem<strong>en</strong>t futurOUIConditionsOK ?NONRetrait <strong>de</strong> l ’événem<strong>en</strong>t futur du cal<strong>en</strong>drierFINLe fonctionnem<strong>en</strong>t individuel <strong>de</strong> chaquedisjoncteur.Le bon dim<strong>en</strong>sionnem<strong>en</strong>t <strong>de</strong> ces équipem<strong>en</strong>ts(type, calibre) par rapport au circuit à protéger.Le respect <strong>de</strong>s règles <strong>de</strong> sélectivité <strong>en</strong>tre leséquipem<strong>en</strong>ts <strong>de</strong> protection amonts et avalsd’une installation.188 / 213


AnnexesDu point <strong>de</strong> <strong>vue</strong> <strong>de</strong> l’aspect électrique, ledisjoncteur est modélisé par une résistance. Elle aune va<strong>leur</strong> faible (quelques m) représ<strong>en</strong>tant larésistance <strong>de</strong> son contact lorsqu’il est fermé et uneva<strong>leur</strong> très élevée (<strong>de</strong> l’ordre du M) quand il estouvert qui pr<strong>en</strong>d <strong>en</strong> compte la résistance <strong>de</strong> l’air.L'objectif est, dans un premier temps, d'obt<strong>en</strong>ir unmodèle simple qui permette <strong>de</strong> simuler fidèlem<strong>en</strong>tle fonctionnem<strong>en</strong>t normal <strong>de</strong>s équipem<strong>en</strong>ts. Lessolutions ret<strong>en</strong>ues permett<strong>en</strong>t <strong>de</strong> caractériser cesmatériels <strong>en</strong> utilisant seulem<strong>en</strong>t <strong>de</strong>ux paramètres :la référ<strong>en</strong>ce constructeur du matériel et le calibre.La référ<strong>en</strong>ce du matériel permet d’associer unecourbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t normalisée T d = f(I/I n ) aucomposant à partir <strong>de</strong>s docum<strong>en</strong>tationsconstructeur, dont on trouve la représ<strong>en</strong>tation <strong>en</strong>figure 3. Le calibre I n permet <strong>de</strong> retrouver le temps<strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t T d du disjoncteur <strong>en</strong> fonction ducourant I qui le parcourt. Ainsi la mesure ducourant efficace permet <strong>de</strong> planifier un év<strong>en</strong>tueldécl<strong>en</strong>chem<strong>en</strong>t dans un temps déterminé à partir <strong>de</strong>ce courant.NONDEBUT (t = t 0 )Disjoncteurouvert ?NONMesure du Courant Efficace II > I nOUICalcul du temps décl<strong>en</strong>chem<strong>en</strong>t idéal issus <strong>de</strong> lacaractéristique du composant : courbe T d = f(I).Planification du décl<strong>en</strong>chem<strong>en</strong>t à t = t 0 + T dInsertion <strong>de</strong> l’événem<strong>en</strong>t dans le cal<strong>en</strong>drierFINOUIPondération du temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t selon lesétats passés du disjoncteur T d réel (échauffem<strong>en</strong>t)Fig 4 : Algorithme du comportem<strong>en</strong>t du disjoncteurPour un courant efficace constant, la courbecaractéristique <strong>de</strong> la figure 3 nous donne la va<strong>leur</strong>du temps qu’il faudra pour décl<strong>en</strong>cher ledisjoncteur. Cep<strong>en</strong>dant, si la va<strong>leur</strong> efficace ducourant évolue, tout <strong>en</strong> restant supérieure aucourant nominal, il est difficile <strong>de</strong> prévoir lecomportem<strong>en</strong>t du disjoncteur d’après la seulecourbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t. Pour cela, le modèle dudisjoncteur pondère la va<strong>leur</strong> <strong>de</strong> T d (idéal) donnéepar la courbe <strong>en</strong> t<strong>en</strong>ant compte du passé dudisjoncteur. Nous obt<strong>en</strong>ons alors une va<strong>leur</strong> <strong>de</strong> T d(réelle) inférieure à celle donnée par la courbe. Decette manière, on peut pr<strong>en</strong>dre <strong>en</strong> considération, parexemple l’échauffem<strong>en</strong>t subit par le disjoncteur lors<strong>de</strong> l’événem<strong>en</strong>t précé<strong>de</strong>nt et aboutir, comme onpeut l’observer sur la figure 5, à un décl<strong>en</strong>chem<strong>en</strong>tplus rapi<strong>de</strong>.Fig 3 : courbe <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t d’un disjoncteurmagnétothermique (Merlin-Gérin C60N courbe B).La figure 4 détaille l’algorithme du modèle dudisjoncteur pour planifier les décl<strong>en</strong>chem<strong>en</strong>ts lors<strong>de</strong> chaque événem<strong>en</strong>t. Avant tout, il est ess<strong>en</strong>tiel <strong>de</strong>distinguer la planification d’un événem<strong>en</strong>t quicorrespond à sa mise <strong>en</strong> file d’att<strong>en</strong>te, <strong>de</strong> saréalisation qui ne sera effective que si toutes lesconditions <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t sont maint<strong>en</strong>ues, dansce cas, la surint<strong>en</strong>sité. Il faut qu’<strong>en</strong>tre l’instant <strong>de</strong> laplanification et le mom<strong>en</strong>t <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t lecourant n’ai pas changé. Dans le cas contraire,l’événem<strong>en</strong>t prévu est annulé et est remplacé par unévénem<strong>en</strong>t correspondant à la nouvelle va<strong>leur</strong> <strong>de</strong>courant.Int<strong>en</strong>sitéI2I1In0Int<strong>en</strong>sitéI2I1In0Int<strong>en</strong>sitéI2I1In0t 0t 0t 0EfficaceEfficaceEfficaceT d2T d pondéréT d1Fig. 5 : décl<strong>en</strong>chem<strong>en</strong>t du disjoncteur pourdiffér<strong>en</strong>tes va<strong>leur</strong>s <strong>de</strong> courantTempsTempsTemps189 / 213


Annexes6. CONCLUSIONLe travail réalisé a non seulem<strong>en</strong>t <strong>en</strong>richi le logiciel<strong>de</strong> DAO-CAO Elec’view <strong>de</strong> la société Algo’TechInformatique mais propose une alternative auxlogiciels <strong>de</strong> type SPICE mal adaptés pour simuler lecomportem<strong>en</strong>t <strong>de</strong>s <strong>circuits</strong> suite à <strong>de</strong>s événem<strong>en</strong>tsrelativem<strong>en</strong>t longs. Notre simulateur permet donc la<strong>simulation</strong> <strong>de</strong> réseaux, intégrant la partie puissanceet la partie comman<strong>de</strong>, afin d’estimer lecomportem<strong>en</strong>t physique <strong>de</strong>s installations et ainsioptimiser <strong>leur</strong> coût <strong>de</strong> conception. Il s’appuie surune métho<strong>de</strong> d’analyse événem<strong>en</strong>tielle originalepermettant <strong>de</strong> simuler le comportem<strong>en</strong>t séqu<strong>en</strong>tiel<strong>de</strong>s équipem<strong>en</strong>ts ainsi que certains phénomènestransitoires, tout <strong>en</strong> conservant <strong>de</strong>s durées <strong>de</strong><strong>simulation</strong> acceptables.Sachant que les domaines <strong>de</strong> l’hydraulique et dupneumatique sont régis par les mêmes équationsfondam<strong>en</strong>tales, nous <strong>en</strong>visageons <strong>en</strong> guise <strong>de</strong>perspectives d’adapter les mêmes techniques <strong>de</strong><strong>simulation</strong> et <strong>de</strong> développem<strong>en</strong>t <strong>de</strong> modèles pourtraiter égalem<strong>en</strong>t <strong>de</strong>s <strong>circuits</strong> électriques,pneumatiques, hydrauliques ou mixtes. Un autrepoint d’investigation possible est d’offrir àl’utilisateur l’accès aux modèles et à <strong>leur</strong>développem<strong>en</strong>t. Dans ce but, <strong>de</strong>ux voies sembl<strong>en</strong>tprometteuses : l’utilisation du langage <strong>de</strong>modélisation comportem<strong>en</strong>tale IEE1076.1 VHDL-AMS [6] ou <strong>en</strong>core la création d’un langage XML[7] (IETF W3C Proposed Standard 2001) <strong>de</strong>représ<strong>en</strong>tation <strong>de</strong>s <strong>circuits</strong>. Certains travaux [8] ontconduit à exprimer les modèles <strong>de</strong>s composants <strong>en</strong>XML. Notre approche consisterait à intégrer cestravaux pour proposer un langage XML permettantà la fois <strong>de</strong> décrire la topologie du circuit et soncomportem<strong>en</strong>t.RÉFÉRENCES[1] L.W. Nagel « SPICE2 : A computer program tosimulate semiconductor <strong>circuits</strong>»,Memorandum n° UCB/ERL M520, ElectronicsResearch Laboratory, University of California,Berkeley, 1975.[2] Algo'Tech informatique SAhttp://www.algotech.fr/Le_simulateur_elecview.htm[3] V. Litovski, M. Zwolinski « VLSI Circuit<strong>simulation</strong> and optimization » Ed. Chapman &Hall 1997, ISBN 0-412-63860-6[4] J. Rappaz, M. Picasso « Introduction à l’analys<strong>en</strong>umérique » Ed. Presses polytechniques etuniversitaires roman<strong>de</strong>s 1998, ISBN 2-88074-363-X[5] P-J. Erard, P. Déguénon « Simulation parévénem<strong>en</strong>ts discrets ».Ed. Pressespolytechniques et universitaires roman<strong>de</strong>s1996, ISBN 2-88074-295-1[6] VHDL-AMS : « un outil pour la <strong>simulation</strong> <strong>de</strong>ssystèmes électroniques et multitechnologiques» N. Milet-Lewis, H. Lévi, T.Zimmer, et J-L. Battaglia CNFM2000,Sixièmes Journées Pédagogiques du CNFM,29-30 Nov - 1er Déc 2000, Saint-Malo, France[7] Ext<strong>en</strong>sible Markup Language (XML)http://www.w3.org/XML/[8] EdaXML : Electronic Design Automation andXMLhttp://www.e-tools.com/cont<strong>en</strong>t/xml_translators.html190 / 213


AnnexesAnnexe 1 :Macro Modèles simples.Transformateurs monophasés et triphasés191 / 213


AnnexesMoteurs et génératrices192 / 213


AnnexesAnnexe 2 :Courbe <strong>de</strong> fusion <strong>de</strong>s fusiblesSource LEGRAND catalogue 2000 Appareillage électrique d’installation.Fig. 1 Cartouche fusibles cylindriques type gGFig. 2 Cartouche fusibles cylindriques type aMFig. 3 Cartouche fusibles à couteaux type gGFig. 4 Cartouche fusibles à couteaux type aM193 / 213


AnnexesAnnexe 3 : Courbes <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t <strong>de</strong>s disjoncteursmagnétothermiques Merlin-Gérin C60.Fig. 5 Type BFig. 6 Type CFig. 7 Type DFig. 8 Type MA194 / 213


AnnexesAnnexe 4 : DTD « Schnei<strong>de</strong>rML »195 / 213


AnnexesAnnexe 5 :Liste <strong>de</strong>s fonctions non-supportées par hAMSterImplem<strong>en</strong>tation RestrictionsThe IEEE 1076.1 language, formally known as VHDL-AMS, is a superset of IEEE Std 1076-1993(VHDL) that provi<strong>de</strong>s capabilities for <strong>de</strong>scribing and simulating analog and mixed-signal systems.The docum<strong>en</strong>ts contains the restrictions of the language subset in comparison with the IEEE 1076.1standard.GroupLanguage structuresData typesStatem<strong>en</strong>tsPre<strong>de</strong>fined attributesDescriptionNo configuration / configuration statem<strong>en</strong>ts, the <strong>de</strong>fault settings are validNo behavior in <strong>en</strong>tity <strong>de</strong>scribable; with the exception of assertNo port map and g<strong>en</strong>eric map betwe<strong>en</strong> blocksNo file , record , pointersNo composite naturesRange constraintsNo g<strong>en</strong>erateNo aliasNo groupNo user<strong>de</strong>fined attributesNo resolution function, disconnections, postponed und guar<strong>de</strong>d expressionsNo limit and toleranceNo file I/O functionsNo attributes relating to type , nature , <strong>en</strong>tity and terminalNo Q'ToleranceNo Q'ZTF196 / 213


AnnexesAnnexe 6 :Démarche <strong>de</strong> publication <strong>de</strong>s modèles VHDL-AMS <strong>de</strong> l’association BEAMS.La bibliothèque conti<strong>en</strong>t <strong>de</strong>s modèles comportem<strong>en</strong>taux écrits <strong>en</strong> VHDL-AMS. Ladocum<strong>en</strong>tation du modèle est considérée comme étant <strong>de</strong> la première importance afin <strong>de</strong>r<strong>en</strong>dre le modèle vraim<strong>en</strong>t « ré-utilisable ». Ainsi, à chaque modèle développé pour cettelibrairie sont associés un répertoire « nom_du_modèle » et plusieurs sous répertoiresorganisés comme suit (voir figure x) : un sous répertoire (mo<strong>de</strong>l) dédié à la <strong>de</strong>scription du modèle (fichier mo<strong>de</strong>l_name.vhd). Ilconti<strong>en</strong>t aussi le fichier « data sheet » écrit selon le format habituel utilisé pour les « datasheets» <strong>de</strong>s <strong>circuits</strong> ou composants commerciaux. Les performances et limitations dumodèle y sont clairem<strong>en</strong>t exposées, ainsi que la compatibilité avec le simulateur, et, <strong>de</strong>façon plus générale, toutes les informations qui permett<strong>en</strong>t <strong>de</strong> r<strong>en</strong>dre le modèle facile àutiliser. plusieurs autres sous répertoires (test1, test2,… ,testn) cont<strong>en</strong>ant la <strong>de</strong>scription aussicomplète que possible <strong>de</strong>s différ<strong>en</strong>tes procédures <strong>de</strong> test (test-b<strong>en</strong>ch) qui permett<strong>en</strong>t <strong>de</strong>caractériser et vali<strong>de</strong>r le comportem<strong>en</strong>t du modèle. Le répertoire testn conti<strong>en</strong>t les fichierssuivants : testn.txt est un fichier texte qui décrit les procédures <strong>de</strong> test (quelles sont lessources, les charges, les stimuli et les types d’analyse) et <strong>leur</strong>s objectifs testn.vhd est le fichier source du modèle (ici <strong>en</strong> VHDL-AMS) testn.cmd est le fichier <strong>de</strong> comman<strong>de</strong> qui conti<strong>en</strong>t la définition <strong>de</strong> l’analyse (pourles simulateurs « Spice-like ») testn.ps est un fichier postcript qui montre les courbes résultats <strong>de</strong> <strong>simulation</strong> pourle « testb<strong>en</strong>ch » concerné.mo<strong>de</strong>l_name.vhddata-sheet.pdftest1.txttest1.vhdtest1.cmdtest1.pstest2.txttest2.vhdtest2.cmdtest2.psmo<strong>de</strong>ltest1test2mo<strong>de</strong>l_nametestn.txttestn.vhdtestn.cmdtestn.pstestnFig. 9 Figure x: organisation du répertoire « mo<strong>de</strong>l_name »197 / 213


AnnexesAnnexe 7 :Modèles VHDL-AMS-- Modèle VHDL-AMS d'un pot<strong>en</strong>tiomètre dont on connaît la résistance totale-- et la position du curseur-- Fabi<strong>en</strong> LEGRAND-- FL_POTENTIOMETRE_R1R2-- pot<strong>en</strong>tiomètre constitué <strong>de</strong> 2 résistances <strong>en</strong> série dont on donne les va<strong>leur</strong>s <strong>en</strong> paramètre-- Note les paramètres <strong>de</strong>s composants sont <strong>de</strong>s port (quantity) et pas <strong>de</strong>s g<strong>en</strong>eric pour pouvoir <strong>leur</strong>-- transmettre une quantity : exemple pot<strong>en</strong>tiomètre dont les résistances sont calculée à partir-- <strong>de</strong> la résistance totale et <strong>de</strong> la position du curseurLIBRARY DISCIPLINES;LIBRARY IEEE;LIBRARY WORK ;USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;USE IEEE.MATH_REAL.ALL;ENTITY fl_pot<strong>en</strong>tiometreR1R2 ISPORT ( Quantity R1 : real := 1.0 ; -- résistance avant le curseurQuantity R2 : real := 1.0 ; -- résistance après le curseurTERMINAL Borne1,Curseur, Borne2 : ELECTRICAL); -- les 3 bornes du potEND fl_pot<strong>en</strong>tiometreR1R2;ARCHITECTURE fl_Pot<strong>en</strong>tiometreR1R2_BODY OF fl_pot<strong>en</strong>tiometreR1R2 ISBEGINPot_R1 : ENTITY fl_resistance PORT MAP (R1, Borne1, Curseur) ;Pot_R2 : ENTITY fl_resistance PORT MAP (R2, Curseur, Borne2) ;END fl_Pot<strong>en</strong>tiometreR1R2_BODY;-- FL_POTENTIOMETRE_RtCur-- Pot<strong>en</strong>tiomètre dont on donne <strong>en</strong> paramètre la résistance totale et la position du curseur <strong>en</strong> %LIBRARY DISCIPLINES;LIBRARY IEEE;USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;USE IEEE.MATH_REAL.ALL;ENTITY FL_POTENTIOMETRE_RtCur ISPORT ( QUANTITY Rtotal : real := 1.0 ; -- résistance totaleQUANTITY PosCur : Real := 0.0 ; -- position du curseur <strong>en</strong> %TERMINAL Borne1, Curseur, Borne2 : ELECTRICAL); -- les 3 bornes du potEND FL_POTENTIOMETRE_RtCur;ARCHITECTURE Pot_BODY_RR OF FL_POTENTIOMETRE_RtCur IS-- pot<strong>en</strong>tiomètre constitué <strong>de</strong> <strong>de</strong>ux résistances <strong>en</strong> sériequantity ValR1 , ValR2 : real ;BEGINValR1 == Rtotal * PosCur / 100.0 ;ValR2 == Rtotal * (1.0 - (PosCur / 100.0)) ;Pot_R1 : ENTITY fl_resistance PORT MAP (ValR1, Borne1, Curseur) ;Pot_R2 : ENTITY fl_resistance PORT MAP (ValR2, Curseur, Borne2) ;END Pot_BODY_RR;ARCHITECTURE Sub_Pot_BODY OF FL_POTENTIOMETRE_RtCur IS--pot<strong>en</strong>tiomètre utilisant le pot<strong>en</strong>tiomètre défini plus hautquantity ValR1 , ValR2 : real ;BEGINValR1 == Rtotal * PosCur / 100.0 ;ValR2 == RTotal * (1.0 - PosCur / 100.0) ;PtitPot : ENTITY fl_pot<strong>en</strong>tiometreR1R2 PORT MAP (ValR1, ValR2, Borne1, Curseur, Borne2) ;END Sub_Pot_BODY ;Fig. 10 Modèle VHDL-AMS pot<strong>en</strong>tiomètre198 / 213


Annexes------------------------------------------------------------------ test b<strong>en</strong>ch pot<strong>en</strong>tiometre-- le pot<strong>en</strong>tiometre est alim<strong>en</strong>té par une source sinusoidaleLIBRARY DISCIPLINES;LIBRARY IEEE;USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;USE IEEE.MATH_REAL.ALL ;ENTITY Testb<strong>en</strong>chPot ISEND Testb<strong>en</strong>chPot;ARCHITECTURE Testb<strong>en</strong>chPot_Body OF Testb<strong>en</strong>chPot ISTERMINAL n1,n2 : ELECTRICAL;BEGINMon_Pot : ENTITY FL_POTENTIOMETRE_RtCur (Pot_BODY_RR)PORT MAP (1.0, 20.0, n1, n2, electrical_ground) ;Source : ENTITY fl_sineSource PORT MAP ( n1, electrical_ground) ;END Testb<strong>en</strong>chPot_Body;Fig. 11 Testb<strong>en</strong>ch du pot<strong>en</strong>tiomètre----------------------------------------------------------------- source <strong>de</strong> t<strong>en</strong>sion sinusoidale triphasée-- 3 sources <strong>de</strong> t<strong>en</strong>sion avec noeud commun et <strong>de</strong>phasée <strong>de</strong> 120°-----------------------------------------------------------------LIBRARY DISCIPLINES;LIBRARY IEEE;USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL ;USE IEEE.MATH_REAL.ALL;ENTITY fl_VoltTriphaseSource ISPORT( Quantity Veff, freq :real ; -- va<strong>leur</strong> efficace, frequ<strong>en</strong>ce, la phas est toujours nulle.TERMINAL L1,L2,L3,N : ELECTRICAL ); -- bornes du composant 3 phases et neutre.END;ARCHITECTURE fl_VoltTriphaseSource_BODY OF fl_VoltTriphaseSource ISBEGINPh1 : ENTITY fl_voltsinesource (Veff_Freq_Deg) PORT MAP ( Veff, Freq, 0.0, L1, N );Ph2 : ENTITY fl_voltsinesource (Veff_Freq_Deg) PORT MAP ( Veff, Freq, -120.0, L2, N );Ph3 : ENTITY fl_voltsinesource (Veff_Freq_Deg) PORT MAP ( Veff, Freq, 120.0, L3, N );END fl_VoltTriphaseSource_BODY;Fig. 12 Modèle VHDL-Ams d’une source sinusoïdale triphasée------------------------------------------------------------------ test b<strong>en</strong>ch source triphaseeLIBRARY DISCIPLINES;USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;ENTITY network ISEND;ARCHITECTURE behav OF network ISTERMINAL n1,n2,n3: ELECTRICAL;BEGINSource : ENTITY fl_VoltTriphaseSource PORT MAP ( 230.0, 50.0, n1,n2,n3, electrical_ground) ;R1: ENTITY FL_resistance PORT MAP ( 1000.0, n1,electrical_ground);R2: ENTITY FL_resistance PORT MAP ( 1000.0, n2,electrical_ground);R3: ENTITY FL_resistance PORT MAP ( 1000.0, n3,electrical_ground);END;Fig. 13 Testb<strong>en</strong>ch <strong>de</strong> la source triphasée199 / 213


Annexes-- Transformateur : modèle <strong>de</strong> Kapp-- l'impédance du tranfo est ram<strong>en</strong>ée au secondaire-- une résistance et une self <strong>en</strong> série avec l'<strong>en</strong>roulem<strong>en</strong>t du secondaire------------------------------------------------------------------------LIBRARY DISCIPLINES;LIBRARY IEEE;USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;USE IEEE.MATH_REAL.ALL;ENTITY fl_transfo_kapp ISPORT (Quantity m : real := 1.0 ;Quantity R : real := 1.0 ;Quantity L : real := 1.0 ;-- rapport <strong>de</strong> transformation = V1/V2-- résistance ram<strong>en</strong>ée au secondaire-- inductance ram<strong>en</strong>ée au secondaireEND fl_transfo_kapp;ARCHITECTURE fl_transfo_kapp_BODY OF fl_transfo_kapp ISTERMINAL n1,n2: ELECTRICAL;BEGINTr : ENTITY fl_transfo PORT MAP (m, p1, p2, N1, s2) ;Res : ENTITY fl_resistance PORT MAP (R,n1, n2) ;Ind : ENTITY fl_inductance PORT MAP (L, n2,S1) ;END fl_transfo_kapp_BODY;Fig. 14 Modèle VHDL-AMS d’un transformateur réel (modèle <strong>de</strong> Kapp)------------------------------------------------------------------testb<strong>en</strong>ch Transformateur et sources controleeLIBRARY DISCIPLINES;USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;ENTITY network ISEND;ARCHITECTURE behav OF network ISTERMINAL n1,n2,n3,N4: ELECTRICAL;BEGINValim: ENTITY fl_VoltSineSource (Veff_Freq_Deg) PORT MAP ( 10.0, 50.0, 0.0, n1, electrical_ground ) ;Re: ENTITY FL_resistance PORT MAP ( 1000.0, n1,n3);AMP : ENTITY fl_stcc PORT MAP ( 2.0 , n3, electrical_ground,n2,electrical_ground );RS: ENTITY FL_resistance PORT MAP ( 1000.0, n2,electrical_ground);-- la <strong>simulation</strong> provoque une erreur 70136 "singular system matrix" : il faut rajouter une impedance d'<strong>en</strong>tree-- ou <strong>en</strong>core relier l'<strong>en</strong>tree et la sortie <strong>de</strong>s quadripoles à la masseEND;Fig. 15 Testb<strong>en</strong>ch du transformateur réek200 / 213


AnnexesAnnexe 8 :Banc <strong>de</strong> sélectivité <strong>de</strong>s protections TBTArrêt d'urg<strong>en</strong>ce1 er niveauD1D1Z1Z12 ème niveauD2Z2D3Z3D3Z3D4D5D43 ème niveauZ4Z5Z4BPAmpoulesFig. 16 Banc <strong>de</strong> sélectivité Merlin-Gérin coté 1 Fig. 17 Banc <strong>de</strong> sélectivité Merlin-Gérin coté 2Annexe 9 :Impédances <strong>de</strong>s élém<strong>en</strong>ts <strong>de</strong> banc didactiqueZa 0,5300 Source au Z'a 0,0212Source () Ra 0,1000 secondaire R'a 0,0004Xa 0,5200 () X'a 0,0207Transformateur Zs 0,3600 a b cau secondaire Rs 0,0208 Impédance Z1 0,0810 0,1773 0,2707() Xs 0,0838 Z1 R1 0,0800 0,1700 0,2600() X1 0,0126 0,0502 0,0754Disjoncteurs(par pôles) () (inductif)D1 (20A) 0,0074 Impédances Z2 0,0509 0,1048 0,1847D2 (10A) 0,0196 Z2 & Z3 R2 0,0500 0,1000 0,1700D3 (10A) 0,0196 () X2 0,0094 0,0313 0,0721D4 (6A) 0,1000D5 (4A) 0,1500 Impédances Z4 0,4720Z4&Z5 R4 0,3780() X4 0,2826201 / 213


AnnexesAnnexe 10 : Courant <strong>de</strong> court-circuit et temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t théoriques pour le montage à un disjoncteurVa<strong>leur</strong>s totales <strong>de</strong>simpédancesutilisées (m)Impédancetotale <strong>de</strong>défaut(m)Courant<strong>de</strong>défaut(A)Temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t(ms)Charge Disj Courbe Réelle Imaginaire Zcc Icc min maxB 170 72,1 273 176 10 17D1 C 170 72,1 273 176 10 1800D 170 72,1 273 176 700 1800B 170 72,1 273 165 8 20Z2c D2 C 170 72,1 273 165 8 20D 170 72,1 273 165 8 20B 170 72,1 273 165 8 20D3 C 170 72,1 273 165 8 20D 170 72,1 273 165 8 20Z4D4B 378 282,6 569 67 9 19C 378 282,6 569 67 9 19D5B 378 282,6 569 60 8 20C 378 282,6 569 60 8 20202 / 213


AnnexesAnnexe 11 : Courant <strong>de</strong> court-circuit, temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t et sélectivité théoriques pour lemontage à <strong>de</strong>ux disjoncteursCourbeDisj D1BCDCourbeDisj D2BCDBDBCDImpédancesutiliséesVa<strong>leur</strong>s totales <strong>de</strong>simpédancesutilisées (m)Impédancetotale <strong>de</strong>défaut (m)Courant<strong>de</strong> défaut(A)SélectivitéTemps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t (ms)Réelle Imaginaire Zcc Iccmin D1 max D1 min D2 max D2Z1b, Z2c, Z4 718 404,9 932 51 Totale 8000 28000 10,5 29Z1c, Z2c 430 147,5 548 88 Partielle 13 6000 9 23Z1c, Z2a 310 84,8 414 116 Aucune 13 19 8,5 20,5Z1a, Z2b 180 43,9 288 167 Aucune 11 18 8 20Z1b, Z2c, Z4 718 404,9 932 51 Totale 8000 28000 3100 8000Z1c, Z2b 360 106,7 467 103 Aucune 12 20 9 21Z1a, Z2c 250 84,7 367 131 Aucune 11 19 8 20Z2a, Z3a 100 18,8 217 221 Aucune 9 14 7 18Z1c, Z2c 430 147,5 548 88 Aucune 13 6000 1400 2900Z1b, Z2b 270 81,5 380 126 Aucune 11 19 7,5 2000Z1a, Z2a 130 22 238 202 Aucune 10 15 6,5 19,5Z1c, Z2c, Z4 808 430,1 1020 47 Totale 9000 30000 10,5 1000Z1b, Z2c 340 122,3 461 104 Totale 2000 5000 8,9 21Z1a, Z2a 130 22 238 202 Aucune 10 18 7,5 19,5Z1a 80 12,6 201 239 Aucune 9 17 7 18,5Z1b, Z2a 220 59,6 328 146 Partielle 11 2200 7 20Z1a, Z2a 130 22 238 202 Aucune 10 18 6,5 19,5Z1a 80 12,6 201 239 Aucune 9 17 6 18Z1b, Z2a, Z4 598 342,2 799 60 Totale 5500 18000 10 19Z1a 80 12,6 201 239 Partielle 9 1100 7 18,5Z1c, Z2a 310 84,8 414 116 Totale 1800 3900 8,5 20,5Z1a 80 12,6 201 239 Partielle 9 1100 7 18Z1b, Z2c 340 122,3 461 104 Partielle 2000 5000 9 2300Z1a, Z2a 130 22 238 202 Partielle 10 1300 6,5 19,5203 / 213


AnnexesCourbeDisj D1D1BAnnexe 12 : Courant <strong>de</strong> court-circuit, temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t et sélectivité théoriques pour lemontage à trois disjoncteursCourbeDisj D3D3BD3CD3DCourbeDisj D4D4BD4CD4BD4CD4BD4CImpédancesutiliséesVa<strong>leur</strong>s totales <strong>de</strong>simpédancesutilisées (m)Impédancetotale <strong>de</strong>défaut (m)Courant<strong>de</strong> défaut(A)SélectivitéTemps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t (ms)Réelle Imaginaire Zcc Iccmin D1 max D1 min D3 max D3 min D4 max D4Z1c, Z4, Z5 1016 640,6 1443 33 Part. (3/4) 30000 130000 11 19000 12 22Z1c, Z2c, Z4 808 430,1 1147 42 Part. (3/4) 13000 50000 10,5 10000 11 21Z1c, Z2c 430 147,5 680 71 Part. (1/3/4) 13 9000 10 27 9 20Z2b, Z3b 200 62,6 477 101 NS 12 20 9 22 8 19Z1c, Z2c, Z4 808 430,1 1147 42 NS (3/4) 13000 50000 10,5 10000 11 6500Z2a, Z4 428 292 793 61 NS (3/4) 6300 12000 10 29 9,5 20Z1b, Z2a 220 59,6 485 99 NS (1/3/4) 12 20 9 22 7 17Z1a, Z2a 130 22 411 117 NS (1/3/4) 11 19 7 21 6 16Z1c, Z2a, Z4 688 367,4 1017 47 Totale 10000 30000 3100 8000 10,5 20,5Z2c, Z3c 340 144,2 621 77 Part. (1/3/4) 13 8000 9,5 3500 9 19,5Z1b, Z2a 220 59,6 485 99 NS (1/3/4) 12 20 9 29 8 19Z1a, Z2a 130 22 411 117 NS (1/3/4) 11 19 7,5 21 7 14Z1a, Z4 458 295,2 813 59 Part. (3/4) 6000 20000 2200 5500 10 2400Z1b, Z2b 270 81,5 530 91 Part. (1/3/4) 12 5000 9 2800 8 18Z2b, Z3b 200 62,6 477 101 NS (1/3/4) 12 20 9 29 7 17Z1a, Z2a 130 22 411 117 NS (1/3/4) 11 19 7,5 21 6 16Z2b, Z4 478 313,9 840 57 Totale 6000 20000 2700 5800 10 20Z1b, Z2a 220 59,6 485 99NS (1/3)Part. (1/4)12 20 29 2500 8 19Z1a, Z2a 130 22 411 117 NS (1/3/4) 11 19 8 2000 7 14Z2a, Z3a 100 18,8 397 121 NS (1/3/4) 11 19 8 2000 7 14Z2b, Z4 478 313,9 840 57 Part. (1/3) 6000 20000 2800 7000 10 2400Z1b, Z2a 220 59,6 485 99NS (1/3)Part. (1/4)12 20 29 2500 7 17Z1a 80 12,6 386 124 NS (1/3/4) 11 19 8 2000 6 16204 / 213


AnnexesAnnexe 13 : Mesure <strong>de</strong>s courant <strong>de</strong> défaut et temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t relevés sur un circuit à unseul disjoncteur.Charge Disj CourbeZ2cZ4D1D2D3D4D5TEST1 19/06/03 Matin 20° TEST2 19/06/03 Matin 22° TEST3 20/06/03 Matin 20° MOYENNEBanc côté 1 Banc côté 2 Banc côté 1 Banc côté 2 Banc côté 1 Banc côté 2 Banc côté 1 Banc côté 2Iccmax(A)Temps<strong>de</strong>coupure(ms)Iccmax(A)Temps<strong>de</strong>coupure(ms)Iccmax(A)Temps<strong>de</strong>coupure(ms)Iccmax(A)Temps<strong>de</strong>coupure(ms)Iccmax(A)Temps<strong>de</strong>coupure(ms)Iccmax(A)Temps<strong>de</strong>coupure(ms)Iccmax(A)Temps<strong>de</strong>coupure(ms)Iccmax(A)Temps<strong>de</strong>coupure(ms)B 192 6,22 196 6,20 184 5,92 160 7,11 188 5,89 192 10,70 188,0 6,0 182,7 8,0C 196 1480,00 200 25,00 188 1,52 196 26,78 196 1460,00 204 17,00 193,3 980,5 200,0 22,9D 192 1300,00 196 1220,00 188 1250,00 192 1140,00 188 1290,00 200 1240,00 189,3 1280,0 196,0 1200,0B 168 4,70 162 4,92 164 4,44 164,7 4,7C 160 4,86 160 8,92 170 10,86 163,3 8,2D 164 6,84 164 15,44 164 6,89 164,0 9,7B 156 4,80 172 4,57 148 4,44 144 9,00 160 4,78 172 4,42 154,7 4,7 162,7 6,0C 160 5,82 168 5,00 156 7,44 168 5,89 156 4,96 172 10,25 157,3 6,1 169,3 7,0D 160 668,00 172 13,10 158 693,80 172 16,10 158 664,00 168 11,22 158,7 675,3 170,7 13,5B 84 5,73 96 5,73 92 5,89 96 7,11 96 11,81 96 5,49 90,7 7,8 96,0 6,1C 94 6,62 94 6,62 94 13,01 92 16,33 74 13,53 94 6,24 87,3 11,1 93,3 9,7B 76 4,82 76 4,92 76 4,51 76,0 4,8C 72 4,91 76 5,11 72 4,95 73,3 5,0205 / 213


AnnexesCourbeDisj D1BCDCourbeDisj D2BCDBDBCDAnnexe 14 : Courant <strong>de</strong> défaut et temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t relevés sur un circuit à <strong>de</strong>uxdisjoncteursImpédancesutiliséesTEST1 19/06 Côté2 Aprem 23° TEST2 20/06 Côté2 Matin 21° TEST3 24/06 Côté2 Matin 21° MOYENNETempsTempsTempsIccIccIccIccDisjoncteur <strong>de</strong>Disjoncteur <strong>de</strong>Disjoncteur <strong>de</strong>Disjoncteurmesurémesurémesurémesuréqui coupe coupurequi coupe coupurequi coupe coupurequi coupe(A)(A)(A)(A)(ms)(ms)(ms)Temps<strong>de</strong>coupure(ms)Z1b, Z2c, Z4 68 2 24,9 68 2 16,4 64 2 16,3 66,67 2 19,22Z1c, Z2c 92 2 7,5 96 2 8,4 92 2 6,8 93,33 2 7,54Z1c, Z2a 112 2 5,7 112 2 11,3 96 2 5,4 106,67 2 7,47Z1a, Z2b 156 2 4,6 158 2 4,3 148 2 8,6 154,00 2 5,82Z1b, Z2c, Z4 64 2 5720,0 68 2 5750,0 68 2 5737,0 66,67 2 5735,67Z1c, Z2b 108 2 28,0 108 2 21,3 108 2 31,2 108,00 2 26,82Z1a, Z2c 132 2 6,2 130 2 5,8 124 2 10,4 128,67 2 7,47Z2a, Z3a 172 1&2 4,0 174 1&2 4,0 168 2 4,7 171,33 1&2/1&2/2 4,24Z1c, Z2c 96 2 1780,0 94 2 1770,0 96 2 1892,0 95,33 2 1814,00Z1b, Z2b 132 1 27,3 130 1 37,3 124 1 25,3 128,67 1 29,95Z1a, Z2a 176 1&2 12,5 174 1&2 5,4 170 1&2 13,0 173,33 1&2 10,30Z1c, Z2c, Z4 56 2 23,4 58 2 18,3 60 2 27,2 58,00 2 22,97Z1b, Z2c 108 2 7,3 112 2 5,8 112 2 10,9 110,67 2 8,00Z1a, Z2a 176 2 4,2 128 2 3,7 170 2 3,9 158,00 2 3,93Z1a 188 2 16,0 228 2 3,5 204 2 6,8 206,67 2 8,76Z1b, Z2a 136 2 811,0 138 2 814,0 138 2 847,0 137,33 2 824,00Z1a, Z2a 172 2 16,0 172 2 14,3 170 2 6,7 171,33 2 12,35Z1a 224 2 4,0 228 2 3,8 216 2 3,9 222,67 2 3,90Z1b, Z2a, Z4 72 2 14,2 72 2 7,6 74 2 10,2 72,67 2 10,65Z1a 152 2 3,3 216 2 4,3 224 2 3,8 197,33 2 3,78Z1c, Z2a 112 2 18,9 116 2 15,6 116 2 16,7 114,67 2 17,07Z1a 224 2 3,6 232 2 3,5 218 2 3,8 224,67 2 3,63Z1b, Z2c 108 2 1290,0 112 2 1300,0 108 2 1360,0 109,33 2 1316,67Z1a, Z2a 172 2 5,9 176 2 5,6 172 2 5,9 173,33 2 5,79206 / 213


AnnexesCourbeDisj D1D1BAnnexe 15 : Courant <strong>de</strong> défaut et temps <strong>de</strong> décl<strong>en</strong>chem<strong>en</strong>t relevés sur un circuit à trois disjoncteursCourbeDisj D3D3BD3CD3DCourbeDisj D4D4BD4CD4BD4CD4BD4CTEST1 20/06 Aprem 23° TEST2 23/06 Aprem 21° TEST3 24/06 Aprem 22° MOYENNETempsTempsTempsTempsIccIccIccIccImpédances Disjoncteur <strong>de</strong>Disjoncteur <strong>de</strong>Disjoncteur <strong>de</strong>Disjoncteur <strong>de</strong>mesurémesurémesurémesuréutiliséesqui coupe coupurequi coupe coupurequi coupe coupurequi coupe coupure(A)(A)(A)(A)(ms)(ms)(ms)(ms)Z1c, Z4, Z5 44 4 16,5 48 4 17,1 46 4 17,1 46,0 4 16,9Z1c, Z2c,Z454 4 12,2 54 4 11,4 54 4 8,7 54,0 4 10,8Z1c, Z2c 78 3 et 4 10,0 76 3&4 5,2 78 3&4 5,4 77,3 3&4 6,8Z2b, Z3b 104 3 et 4 4,1 92 3&4 4,2 104 3&4 5,0 100,0 3&4 4,4Z1c, Z2c,Z454 3 60,5 56 3 114,4 56 3 61,6 55,3 3 78,8Z2a, Z4 80 4 7,5 76 3&4 6,8 76 3&4 6,7 77,3 4/3&4/3&4 7,0Z1b, Z2a 102 3 et 4 10,3 104 3&4 4,4 104 3&4 9,1 103,3 3&4 7,9Z1a, Z2a 122 3 et 4 6,8 120 3&4 6,2 124 3&4 3,6 122,0 3&4 5,6Z1c, Z2a,Z458 4 6,8 56 4 6,9 56 4 6,7 56,7 4 6,8Z2c, Z3c 84 4 7,5 84 4 5,0 82 4 10,4 83,3 4 7,7Z1b, Z2a 104 4 3,4 104 4 7,3 106 4 4,0 104,7 4 4,9Z1a, Z2a 122 4 2,8 126 4 3,4 126 4 3,3 124,7 4 3,2Z1a, Z4 74 4 6,1 76 4 15,4 76 4 11,4 75,3 4 11,0Z1b, Z2b 98 4 5,0 78 4 7,1 96 4 4,4 90,7 4 5,5Z2b, Z3b 104 4 4,5 104 4 4,3 104 4 4,4 104,0 4 4,4Z1a, Z2a 122 4 5,5 124 4 3,8 118 4 8,5 121,3 4 5,9Z2b, Z4 74 4 6,1 58 4 5,4 74 4 6,2 68,7 4 5,9Z1b, Z2a 100 4 8,3 106 4 3,7 100 4 8,4 102,0 4 6,8Z1a, Z2a 116 4 6,4 104 4 2,9 124 4 3,5 114,7 4 4,3Z2a, Z3a 106 4 2,9 124 4 3,5 124 4 3,6 118,0 4 3,3Z2b, Z4 72 4 7,7 76 4 12,6 74 4 7,4 74,0 4 9,2Z1b, Z2a 104 4 4,0 106 4 5,4 104 4 4,6 104,7 4 4,7Z1a 142 4 3,3 142 4 3,1 142 4 3,2 142,0 4 3,2207 / 213


AnnexesAnnexe 16 : Banc <strong>de</strong> test évolutif, comparatif <strong>de</strong>s va<strong>leur</strong>s théoriques simulées et mesurées pour ledécl<strong>en</strong>chem<strong>en</strong>t sous 230VDisjoncteurNombred'ampouleCouranteff (A)Va<strong>leur</strong>s Théoriques Va<strong>leur</strong>s Relevées Va<strong>leur</strong>s SimuléesTemps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>tmin (s)Temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>tmax (s)Couranteff (A)Courantcrête (A)Temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t(s)Couranteff (A)Temps <strong>de</strong>décl<strong>en</strong>chem<strong>en</strong>t(s)C - 1A 1 0,43 infini infini 0,42 0,6 infini 0,45 infiniC - 0,5A 0,43 infini infini 0,42 0,6 infini 0,45 infiniD - 0,5A 0,43 infini infini 0,42 0,6 infini 0,45 infiniC - 1A 2 0,87 infini infini 0,92 1,3 infini 0,90 infiniC - 0,5A 0,87 20 400 0,87 1,23 60 0,90 21,3D - 0,5A 0,87 40 400 0,87 1,23 47 0,90 47,4C - 1A 3 1,30 40 1000 1,30 1,84 infini 1,35 102C - 0,5A 1,30 9 60 - - instantané 1,35 7,45D - 0,5A 1,30 9 50 1,24 1,75 14 1,35 8,65C - 1A 4 1,74 20 400 1,68 2,37 94 1,80 21,3C - 0,5A 1,74 4 25 - - instantané 1,80 4,26D - 0,5A 1,74 4 23 1,61 2,28 9,5 1,80 4,05C - 1A 5 2,17 10 90 2,33 3,3 37,5 2,25 10,9C - 0,5A 2,17 3 17 - - instantané 2,25 3,1D - 0,5A 2,17 2,5 13 2,33 3,3 6 2,25 2,62C - 1A 6 2,61 9 60 2,72 3,84 26 2,71 7,5C - 0,5A 2,61 0,01 9 - - instantané 2,71 0,051D - 0,5A 2,61 1,5 7 2,53 3,58 5 2,71 1,88Lég<strong>en</strong><strong>de</strong> : Correspondant à la théorie Proche <strong>de</strong> la théorie Eloigné <strong>de</strong> lathéorie208 / 213


AnnexesAnnexe 17 : Mo<strong>de</strong> <strong>de</strong> pose <strong>de</strong>s câbles pour la mesure <strong>de</strong> lachute <strong>de</strong> t<strong>en</strong>sionFig. 18 Déploiem<strong>en</strong>t câble <strong>en</strong> accordéonFig. 19 Déploiem<strong>en</strong>t câble <strong>en</strong> spiresAnnexe 18 : Captures d’écran <strong>de</strong> Simul’ElecFig. 20 Interface <strong>de</strong> Simul’Elec <strong>en</strong> régime perman<strong>en</strong>t209 / 213


Fig. 21 Affichage <strong>de</strong>s diagrammes <strong>de</strong> Bo<strong>de</strong> <strong>en</strong> analyse fréqu<strong>en</strong>tielle <strong>de</strong> Simul’ElecFig. 22 Analyse événem<strong>en</strong>tielle <strong>de</strong> Simul’Elec210 / 213


LexiqueLexiqueCircuit (ou macro-composant) : un assemblage <strong>de</strong> plusieurs composants élém<strong>en</strong>taires ou <strong>de</strong><strong>circuits</strong>. On peut décomposer un circuit <strong>en</strong> un <strong>en</strong>semble <strong>de</strong> composants élém<strong>en</strong>taires disposésur plusieurs niveaux.Composant (électrique) : d’un manière générale, désigne un élém<strong>en</strong>t d’un circuit électrique.Une notion plus précise appliquée à Simul’Elec désigne un composant basique qui n’est pasun assemblage <strong>de</strong> différ<strong>en</strong>ts élém<strong>en</strong>ts électriques.Electronique : La partie <strong>de</strong> la sci<strong>en</strong>ce et <strong>de</strong> la technique qui étudie les phénomènes <strong>de</strong>conduction dans le vi<strong>de</strong>, dans les gaz ou dans les semi conducteurs et qui utilise les dispositifsbasés sur ces phénomènes. Par ext<strong>en</strong>sion, l'électronique est l'<strong>en</strong>semble <strong>de</strong>s techniques quiutilis<strong>en</strong>t <strong>de</strong>s signaux électriques pour capter, transmettre et exploiter une information.Electronique <strong>de</strong> puissance : Application <strong>de</strong> l’électronique à la conversion électrique -électrique <strong>de</strong> l'énergie.Electrotechnique : Ensemble <strong>de</strong>s applications industrielles <strong>de</strong> l’électricité. Cela compr<strong>en</strong>d laproduction, le transport, la distribution et l'usage <strong>de</strong> l'énergie électrique.Modèle : Représ<strong>en</strong>tation, physique ou mathématique, <strong>de</strong>s relations qui exist<strong>en</strong>t réellem<strong>en</strong>t ouqui, par hypothèse, sembl<strong>en</strong>t exister <strong>en</strong>tre <strong>de</strong>s phénomènes ou <strong>en</strong>tre les différ<strong>en</strong>ts élém<strong>en</strong>tsd'un système, <strong>en</strong> <strong>vue</strong> d'étu<strong>de</strong>s analytiques ou expérim<strong>en</strong>tales (<strong>simulation</strong>s) propres à faciliterla compréh<strong>en</strong>sion <strong>de</strong> certains mécanismes, notamm<strong>en</strong>t par la validation d'hypothèses.Netlist : désigne dans le simulateur SPICE, une liste <strong>de</strong> composants et <strong>de</strong> <strong>leur</strong>interconnections permettant <strong>de</strong> décrire la structure d’un circuit électrique.Schématique : Représ<strong>en</strong>tation d’un système par un <strong>de</strong>ssin. La schématique électrique est unediscipline <strong>de</strong> l’électrotechnique.SED : Simulation par Evénem<strong>en</strong>ts discrets, technique <strong>de</strong> <strong>simulation</strong> pilotée par l’apparitiond’événem<strong>en</strong>ts.Simulateur : Logiciel permettant <strong>de</strong> reproduire fidèlem<strong>en</strong>t les conditions et les situationsréelles qui remplace tout ou partie d'un dispositif ou <strong>de</strong> son <strong>en</strong>vironnem<strong>en</strong>t à <strong>de</strong>s fins d'étu<strong>de</strong>ou d'essai.TestB<strong>en</strong>ch : Banc <strong>de</strong> test. En modélisation VHDL-AMS, c’est un circuit permettant <strong>de</strong>vali<strong>de</strong>r un modèle <strong>en</strong> le mettant211 / 213


Résumé - AbstractMODELISATION DE CIRCUITS ELECTROTECHNIQUES EN VUE DE LEURSIMULATION - REALISATION D’UN SIMULATEURRESUMEL’objectif <strong>de</strong> la thèse est la réalisation d'un simulateur <strong>de</strong> <strong>circuits</strong> électrotechniques et réseauxélectriques. Il permet d’estimer le comportem<strong>en</strong>t physique <strong>de</strong>s installations et ainsid’améliorer <strong>leur</strong> fiabilité tout <strong>en</strong> optimisant <strong>leur</strong> coût <strong>de</strong> conception. Privilégiant la simplicitéd’utilisation, ce simulateur est prés<strong>en</strong>té comme une alternative aux simulateurs classiques(comme SPICE) pour r<strong>en</strong>dre la <strong>simulation</strong> accessible à un public plus large. Après avoirprés<strong>en</strong>té l’état <strong>de</strong> l'art du domaine, une bibliothèque <strong>de</strong> modèles <strong>de</strong> composants et <strong>de</strong>s mo<strong>de</strong>sd’analyses innovants sont développés pour ce simulateur. La mise <strong>en</strong> place d’un banc d’essaiset les tests associés ont permis <strong>de</strong> confirmer la pertin<strong>en</strong>ce <strong>de</strong>s modèles et l’efficacité <strong>de</strong>smo<strong>de</strong>s d’analyse. Une ouverture vers le langage <strong>de</strong> modélisation VHDL-AMS est proposéeafin <strong>de</strong> permettre <strong>en</strong>suite au simulateur <strong>de</strong> disposer <strong>de</strong> modèles standards et d’utiliser <strong>de</strong>s<strong>de</strong>scriptions multi-niveaux, multi-technologique et multi-domaines.MOTS CLESSimulation, modélisation, électrotechnique, schématique électrique.ABSTRACTThe aim of this thesis is the implem<strong>en</strong>tation of a electrotechnical <strong>circuits</strong> or electricalnetworks simulator. It <strong>en</strong>ables to estimate physical behaviour of electrical installations andthus to improve its reliability, optimizing <strong>de</strong>sign costs. Prioritizing the use easiness, thissimulator is shown as an alternative to classical simulators (such as SPICE) to give access to<strong>simulation</strong> to a wi<strong>de</strong>r audi<strong>en</strong>ce. After a pres<strong>en</strong>tation of a state of the art of the discipline, acompon<strong>en</strong>t mo<strong>de</strong>ls library and innovative analysis mo<strong>de</strong>s are <strong>de</strong>veloped for this simulator.The setting up of a test b<strong>en</strong>ch and the associated tests allowed to confirm mo<strong>de</strong>ls pertin<strong>en</strong>ceand analysis mo<strong>de</strong>s effici<strong>en</strong>cy. An op<strong>en</strong>ing to VHDL-AMS mo<strong>de</strong>lling language is proposedso as to <strong>en</strong>able th<strong>en</strong> the simulator to dispose of standard mo<strong>de</strong>ls and to use multi levels, multitechnological or multi disciplines <strong>de</strong>scriptions.KEY WORDS.Simulation, mo<strong>de</strong>lling, electrical <strong>en</strong>gineering, electrical drawing.213 / 213

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!