12.07.2015 Views

Circuits et systemes de modelisation analogique de neurones ...

Circuits et systemes de modelisation analogique de neurones ...

Circuits et systemes de modelisation analogique de neurones ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

N° d’ordre : 2324THESEPRESENTEE AL’UNIVERSITE DE BORDEAUX 1ECOLE DOCTORALE DES SCIENCES PHYSIQUES ET DE L’INGENIEURPar Vincent DOUENCEPOUR OBTENIR LE GRADE DEDOCTEURSPECIALITE : Electronique----------------------CIRCUITS ET SYSTEMES DE MODELISATION ANALOGIQUE DENEURONES BIOLOGIQUES----------------------Soutenue le : 18 décembre 2000Après avis <strong>de</strong> :MM. A. DESTEXHE Directeur <strong>de</strong> Recherches CNRS UPR-2191 – Gif sur Yv<strong>et</strong>teM. ROBERT Professeur LIRMM - MontpellierDevant la commission d'examen formée <strong>de</strong> :MM. A. DESTEXHE Directeur <strong>de</strong> Recherches CNRS UPR-2191 – Gif sur Yv<strong>et</strong>teP. FOUILLAT Professeur ENSEIRB - Bor<strong>de</strong>auxG. LE MASSON Chargé <strong>de</strong> Recherche INSERM E.9914 - Bor<strong>de</strong>auxP. MARCHEGAY Professeur ENSEIRB - Bor<strong>de</strong>auxMe. S. RENAUD-LE MASSON Maître <strong>de</strong> Conférences ENSEIRB - Bor<strong>de</strong>auxMM. M. ROBERT Professeur LIRMM - MontpellierP. SIARRY Professeur Université Paris XIIA. TOUBOUL Professeur Université Bor<strong>de</strong>aux 1- 2000 -


Table <strong>de</strong>s matièresTABLE DES MATIERESINTRODUCTION GÉNÉRALE .............................................................................................7CHAPITRE I : ELEMENTS DE NEUROBIOLOGIE ET DE MODÉLISATION.........111. Introduction. ....................................................................................................................132. Anatomie du neurone. .....................................................................................................133. Principes établis <strong>de</strong> la conduction nerveuse. ...................................................................153.1. Le neurone, conducteur électrique. ...........................................................................153.2. Mécanisme membranaire actif : potentiel d'action. ..................................................183.3. Connexions <strong>et</strong> réseaux, fonctionnement <strong>de</strong>s synapses..............................................203.4. Propriétés émergentes <strong>de</strong>s réseaux............................................................................224. Modélisation <strong>et</strong> simulation <strong>de</strong>s mécanismes nerveux. ....................................................224.1. Propriétés statiques <strong>de</strong> l'arborescence <strong>de</strong>ndritique : équation <strong>de</strong> propagation. .........244.2. Modélisation <strong>de</strong>s mécanismes membranaires actifs. ................................................244.2.1. Formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley. ..................................................................254.2.2. Simplifications du formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley......................................284.2.3. Modèles stochastiques, chaînes <strong>de</strong> Markov. .....................................................294.3. A propos <strong>de</strong>s métho<strong>de</strong>s d'extraction <strong>de</strong>s paramètres <strong>de</strong> modèles..............................304.3.1. Voltage imposé ("voltage-clamp")....................................................................314.3.2. "Patch-clamp" <strong>et</strong> enregistrement d'un canal unique..........................................324.4. Simulation numérique...............................................................................................345. Conclusions. ....................................................................................................................35CHAPITRE II : CIRCUITS ANALOGIQUES ELEMENTAIRES. .................................371. Introduction. ....................................................................................................................392. Outils <strong>et</strong> technologie utilisés. ..........................................................................................412.1. Principes <strong>de</strong> la microélectronique.............................................................................412.2. Technologies disponibles..........................................................................................422.3. Outils logiciels <strong>et</strong> modèles <strong>de</strong> simulations................................................................461


Table <strong>de</strong>s matières2.3.1. Suite logiciel Ca<strong>de</strong>nce...................................................................................... 462.3.2. A propos du choix <strong>de</strong>s modèles, illustration du transistor MOS sous le seuil. 463. Opérateurs <strong>et</strong> fonctions <strong>de</strong> base. ..................................................................................... 493.1. Convertisseurs tension-courant <strong>et</strong> courant-tension................................................... 513.2. Additionneur............................................................................................................. 543.3. Multiplieurs. ............................................................................................................. 543.3.1. Multiplieur "b<strong>et</strong>a-immune". ............................................................................. 553.3.2. Multiplieur log-antilog. .................................................................................... 573.4. Fonction sigmoïdale. ................................................................................................ 583.5. Intégrateur.................................................................................................................613.6. Mémoire <strong>analogique</strong> intégrée................................................................................... 643.6.1. Métho<strong>de</strong> dynamique : échantillonneur-bloqueur.............................................. 653.6.2. Métho<strong>de</strong> non-volatile : mémoire à grille flottante............................................ 683.6.2.1. Mise en conduction <strong>de</strong> l'isolant par un faisceau UV.................................. 703.6.2.2. Injection <strong>de</strong> porteurs chauds. ..................................................................... 713.6.2.3. Eff<strong>et</strong> tunnel. ............................................................................................... 733.7. Réglage <strong>de</strong> la topologie. ........................................................................................... 764. Synthèse <strong>de</strong>s différentes conductances ioniques............................................................. 774.1. Structure générale..................................................................................................... 774.2. Détails <strong>de</strong>s différentes conductances ioniques implémentées.................................. 794.2.1. Sodium Na <strong>et</strong> potassium K............................................................................... 794.2.2. Courants <strong>de</strong> fuite, stimulation <strong>et</strong> compensation. .............................................. 794.2.3. Calcium Ca <strong>et</strong> potassium calcium dépendant K(Ca)........................................ 804.2.4. Synapse............................................................................................................. 814.3. Relations d'étalonnage pour les différents paramètres. ............................................ 815. Avantages comparés <strong>de</strong> la résolution <strong>analogique</strong>. .......................................................... 84CHAPITRE III : CIRCUITS INTÉGRÉS RÉALISÉS...................................................... 891. Introduction..................................................................................................................... 912. <strong>Circuits</strong> "susie" <strong>et</strong> "calvin" : valorisation <strong>de</strong>s travaux <strong>de</strong> l'équipe neurone. ................... 922.1. Circuit "susie"........................................................................................................... 922.2. Circuit "calvin"......................................................................................................... 932


Table <strong>de</strong>s matières2.3. Principe <strong>de</strong> fonctionnement <strong>et</strong> relations d'étalonnage...............................................942.3.1. Synthèse <strong>de</strong> la sigmoï<strong>de</strong>....................................................................................952.3.2. Résolution <strong>de</strong> l'équation différentielle - intégrateur..........................................962.3.3. Puissances. ........................................................................................................972.3.4. Multiplieurs <strong>de</strong> sorties. .....................................................................................972.3.5. Amplificateur <strong>de</strong> sortie <strong>et</strong> conductance <strong>de</strong> fuite................................................982.3.6. Courant <strong>de</strong> stimulation <strong>et</strong> <strong>de</strong> compensation......................................................992.3.7. Calcium-dépendance.........................................................................................992.4. Métho<strong>de</strong> <strong>de</strong> test <strong>de</strong>s circuits......................................................................................993. Circuit "babar" : mémoires à grille flottante..................................................................1003.1. Bibliographie <strong>et</strong> choix technologiques....................................................................1003.1.1. Mécanisme d'injection. ...................................................................................1003.1.2. Dispositif tunnel..............................................................................................1013.1.3. Métho<strong>de</strong> <strong>de</strong> programmation............................................................................1013.1.4. Dispositif <strong>de</strong> lecture <strong>et</strong> organisation <strong>de</strong>s cellules............................................1043.2. Description <strong>et</strong> fonctionnement du circuit................................................................1053.2.1. Organisation du circuit....................................................................................1053.2.2. Organisation <strong>de</strong> la matrice <strong>de</strong> mémoires.........................................................1063.2.3. Etages haute tension........................................................................................1083.2.4. Dessins <strong>de</strong>s différents injecteurs tunnel..........................................................1103.3. Résultats <strong>et</strong> perspectives. ........................................................................................1103.3.1. Cycles <strong>de</strong> programmation. ..............................................................................1103.3.2. Comparaison détaillée <strong>de</strong> l'efficacité d'injection.............................................1133.3.3. Conclusions.....................................................................................................1144. Circuit "annie" : mémorisation par échantillonneur-bloqueur.......................................1154.1. Cahier <strong>de</strong>s charges. .................................................................................................1154.2. Description du circuit..............................................................................................1164.2.1. Cellule mémoire..............................................................................................1164.2.2. Partie logique. .................................................................................................1174.3. Mesures...................................................................................................................1195. Circuit "fpca-r" : Field Programmable Conductance Array. .........................................1235.1. Cahier <strong>de</strong>s charges. .................................................................................................1233


Table <strong>de</strong>s matières5.2. Conception <strong>de</strong>s échantillonneurs-bloqueurs <strong>et</strong> <strong>de</strong>s multiplexeurs. ........................ 1275.2.1. Echantillonneurs-bloqueurs............................................................................ 1275.2.2. Multiplexeurs <strong>analogique</strong>s. ............................................................................ 1295.3. Description <strong>de</strong> la logique........................................................................................ 1315.3.1. Schémas d'application. ................................................................................... 1335.3.2. Gestion du compteur externe.......................................................................... 1355.3.3. Gestion <strong>de</strong> la SRAM. ..................................................................................... 1355.4. Remarques sur la réalisation pratique. ................................................................... 136CHAPITRE IV : MISE EN ŒUVRE ET APPLICATIONS ........................................... 1391. Introduction................................................................................................................... 1412. "Vortex" : banc <strong>de</strong> simulation <strong>analogique</strong>. ................................................................... 1412.1. Principe................................................................................................................... 1422.1.1. La carte mère. ................................................................................................. 1422.1.2. Carte fille "ernest". ......................................................................................... 1442.1.3. Logiciel........................................................................................................... 1452.2. Premier exemple : modélisation <strong>de</strong> la boucle thalamique TC-nRt......................... 1462.2.1. Fonction <strong>de</strong> la boucle thalamique................................................................... 1472.2.2. Modélisation <strong>et</strong> simulation <strong>de</strong> la boucle......................................................... 1482.3. Deuxième exemple : augmentation <strong>de</strong> la vitesse <strong>de</strong> calcul. ................................... 1523. Métho<strong>de</strong> <strong>de</strong>s réseaux hybri<strong>de</strong>s. ..................................................................................... 1563.1. Principe <strong>et</strong> historique.............................................................................................. 1563.2. Interface artificiel-biologique................................................................................. 1583.2.1. Métho<strong>de</strong>s classiques : microélectro<strong>de</strong>s intra <strong>et</strong> extracellulaire simples. ........ 1593.2.2. Matrice <strong>de</strong> microélectro<strong>de</strong>s. ........................................................................... 1613.2.3. Microélectro<strong>de</strong> active implantable. ................................................................ 1623.2.4. Lien bidirectionnel au niveau du silicium. ..................................................... 1633.3. Premier exemple : étu<strong>de</strong> in vitro <strong>de</strong> la boucle thalamique. .................................... 1643.4. 2 nd exemple : étu<strong>de</strong> <strong>de</strong>s capacités <strong>de</strong> réorganisation du réseau cortical in vivo. .... 1684. "Microneurone", outil didactique.................................................................................. 1704.1. Choix <strong>et</strong> principe <strong>de</strong> fonctionnement. .................................................................... 1714.1.1. Mise en route.................................................................................................. 1734


Table <strong>de</strong>s matières4.1.2. Mo<strong>de</strong> <strong>de</strong> fonctionnement. ...............................................................................1734.1.2.1. Mo<strong>de</strong> normal. ...........................................................................................1734.1.2.2. Mo<strong>de</strong> esclave............................................................................................1744.1.2.3. Logiciel.....................................................................................................1754.2. Utilisation <strong>de</strong> "microneurone" pour le test <strong>de</strong> l'ASIC "susie".................................1764.3. Exemples <strong>de</strong> modèles..............................................................................................1794.4. "Microneurone" version 2.0....................................................................................1805. Futur calculateur <strong>analogique</strong> programmable. ................................................................1815.1. Conception du futur banc <strong>de</strong> simulation <strong>analogique</strong>...............................................1815.1.1. Description du simulateur...............................................................................1825.1.2. Organisation du bus <strong>analogique</strong>......................................................................1845.2. Prototype utilisant <strong>de</strong>ux circuits "fpca-r"................................................................1865.3. Mesures électriques.................................................................................................1875.3.1. Sigmoï<strong>de</strong>. ........................................................................................................1875.3.2. Paire entrecroisée <strong>et</strong> multiplieur "b<strong>et</strong>a-immune". ...........................................1885.3.3. Constante <strong>de</strong> temps <strong>de</strong>s intégrateurs. ..............................................................1895.3.4. Temps <strong>de</strong> maintien <strong>de</strong>s mémoires <strong>analogique</strong>s...............................................1905.4. Exemples <strong>de</strong> modèles..............................................................................................1915.4.1. Un neurone à <strong>de</strong>ux conductances....................................................................1915.4.2. Un neurone à trois conductances. ...................................................................1925.4.3. Réalisation <strong>de</strong> synapses avec les <strong>de</strong>ux circuits. ..............................................192CONCLUSIONS ET PERSPECTIVES..............................................................................195REFERENCES BIBLIOGRAPHIQUES ...........................................................................197ANNEXES .............................................................................................................................209Annexe A : procédé <strong>de</strong> fabrication <strong>de</strong> la technologie AMS BiCMOS 0,8 µm...................211Annexe B : organisation <strong>de</strong> la RAM <strong>de</strong> données pour le circuit fpca-r. .............................213Annexe C : brochages <strong>de</strong>s différents ASICS réalisés. ........................................................215Annexe D : brochages <strong>de</strong>s connecteurs du banc <strong>de</strong> simulation <strong>analogique</strong>........................217Annexe E : carte ERNEST..................................................................................................2195


6Table <strong>de</strong>s matières


Introduction généraleINTRODUCTION GENERALE.Modélisation <strong>et</strong> simulation sont <strong>de</strong>venues <strong>de</strong>s outils classiques <strong>et</strong> indispensables <strong>de</strong> lamicroélectronique. Son essor, que nous pouvons constater tous les jours, n'a en eff<strong>et</strong> étépossible que grâce à ces techniques qui servent quotidiennement pour la conception <strong>de</strong>s"puces" électroniques. Il nous faut cependant gar<strong>de</strong>r à l'esprit que c'est là le résultat d'unelongue évolution <strong>et</strong> que la modélisation <strong>de</strong>s composants microélectroniques reste un outilvivant <strong>et</strong> en perpétuelle amélioration.En revanche, dans le domaine <strong>de</strong> la neurophysiologie, c'est encore l'approche expérimentalequi prédomine. La modélisation <strong>de</strong> l'activité neuronale <strong>et</strong> la mise en œuvre <strong>de</strong>s modèles qui ensont issus, c'est-à-dire la simulation, y sont <strong>de</strong>s instruments <strong>de</strong> recherche <strong>de</strong>stinés à ai<strong>de</strong>r à lacompréhension <strong>de</strong>s phénomènes observés. Une technique expérimentale originale utilisant cesoutils est intitulée "technique <strong>de</strong>s réseaux hybri<strong>de</strong>s". Elle consiste à faire interagir <strong>de</strong>s<strong>neurones</strong> artificiels, calculés à partir <strong>de</strong> modèles mathématiques, avec <strong>de</strong>s <strong>neurones</strong> vivants.La partie artificielle est contrôlée par l'expérimentateur qui dispose ainsi d’une puissantemétho<strong>de</strong> d’étu<strong>de</strong>. Une <strong>de</strong>s difficultés majeure <strong>de</strong> mise en œuvre tient dans la nécessité <strong>de</strong>résoudre en temps réel les équations constituant la partie artificielle. La voie que nous avonschoisie pour la réalisation <strong>de</strong> c<strong>et</strong>te tâche est <strong>de</strong> concevoir <strong>et</strong> d'utiliser <strong>de</strong>s calculateurs<strong>analogique</strong>s. C'est l'obj<strong>et</strong> du travail présenté dans ce manuscrit.C<strong>et</strong>te démarche constitue l'axe <strong>de</strong> recherche "Neurones Artificiels sur Silicium" développé aulaboratoire <strong>de</strong> microélectronique IXL. Actuellement, <strong>de</strong>ux laboratoires <strong>de</strong> neurobiologieparticipent également à ce proj<strong>et</strong>, l'unité INSERM E.9914 "Physiopathologie <strong>de</strong>s RéseauxNeuronaux Médullaires" <strong>de</strong> l'institut <strong>de</strong> neurosciences François MAGENDIE à Bor<strong>de</strong>aux <strong>et</strong>l'unité UPR CNRS 2191 "neurosciences intégratives <strong>et</strong> computationnelles" <strong>de</strong> l'institut AlfredFESSARD à Gif sur Yv<strong>et</strong>te.Nous sommes dans la continuité d'un thème <strong>de</strong> recherche <strong>et</strong> <strong>de</strong>ux thèses ont déjà étéprésentées sur ce suj<strong>et</strong> [DUPEYRON 98], [LAFLAQUIERE 98]. Denis Dupeyron a démontréla faisabilité du proj<strong>et</strong> <strong>et</strong> réalisé un premier démonstrateur opérationnel. Arnaud Laflaquière amis au point <strong>et</strong> construit un système compl<strong>et</strong>, ouvrant les portes aux premières expériences7


Introduction généralehybri<strong>de</strong>s. Notre contribution est double, elle porte, d'une part, sur l'exploitation <strong>de</strong>s résultatsantérieurs du groupe <strong>et</strong>, d'autre part, sur la conception <strong>de</strong> nouveaux circuits visant à encoreaméliorer les performances <strong>et</strong> la flexibilité <strong>de</strong> nos <strong>neurones</strong> artificiels. Conséquence <strong>de</strong> c<strong>et</strong>tedouble orientation, l'articulation logique que nous avons choisie pour présenter nos travaux, <strong>et</strong>que nous allons maintenant détailler, ne correspond pas tout à fait à la chronologie <strong>de</strong>réalisation.Le premier chapitre traite <strong>de</strong>s notions <strong>de</strong> neurobiologie indispensables à la compréhension <strong>de</strong>ce manuscrit. C'est logiquement notre point <strong>de</strong> départ puisque nous y abordons différentsmodèles mathématiques <strong>de</strong> l'activité neuronale parmi lesquels nous choisissons celui que nousallons utiliser, le formalisme <strong>de</strong> Hodgkin Huxley. Nous citons aussi dans ce chapitre quelqueslogiciels <strong>de</strong> simulation numérique qui servent à la résolution <strong>de</strong> ces formalismes.Malgré l'existence <strong>de</strong> ces logiciels spécifiques, nous avons opté pour une approche différente,le calcul <strong>analogique</strong>. Nos simulateurs sont donc <strong>de</strong>s circuits intégrés <strong>analogique</strong>s <strong>et</strong> sontréalisés par implémentation directe <strong>de</strong>s équations qui constituent le formalisme choisi. Nousavons opté pour une approche modulaire, chaque opérateur nécessaire à la résolution estconçu comme un élément d'une bibliothèque <strong>de</strong> calcul <strong>analogique</strong> dans laquelle nouspuiserons pour assembler le circuit compl<strong>et</strong>. La conception <strong>de</strong> ces opérateurs fait l'obj<strong>et</strong> duchapitre II <strong>et</strong> nous perm<strong>et</strong> <strong>de</strong> faire apparaître clairement les avantages <strong>de</strong> la résolution<strong>analogique</strong> que nous comparons à <strong>de</strong>s métho<strong>de</strong>s numériques, mais aussi <strong>de</strong> justifier notreapproche.Le chapitre III a pour but <strong>de</strong> présenter les circuits intégrés réalisés. Une première catégorie estconçue à partir <strong>de</strong> la bibliothèque <strong>analogique</strong> conçue par A. Laflaquière <strong>et</strong> à ce titre constitueune exploitation <strong>de</strong>s travaux du groupe. Une <strong>de</strong>uxième catégorie représente <strong>de</strong>s circuits d<strong>et</strong>ests <strong>de</strong>stinés à la validation <strong>de</strong> "mémoires <strong>analogique</strong>s", éléments <strong>de</strong> la nouvelle bibliothèqueprésentée au chapitre II. Elle est pleinement exploitée par le <strong>de</strong>rnier circuit présenté quiconstitue un calculateur <strong>analogique</strong> programmable <strong>et</strong> reconfigurable <strong>de</strong>stiné à apporter uneamélioration <strong>de</strong> la souplesse d'utilisation par rapport aux versions précé<strong>de</strong>ntes.8


Introduction généraleFinalement nous terminons ce document au chapitre IV par la <strong>de</strong>scription <strong>de</strong>s systèmes <strong>de</strong>simulation conçus autour <strong>de</strong> ces circuits ainsi que <strong>de</strong>s exemples <strong>de</strong> mise en œuvre. Deuxsimulateurs utilisent les circuits <strong>de</strong> l'ancienne bibliothèque, le premier étant particulièrement<strong>de</strong>stiné à la réalisation <strong>de</strong>s réseaux hybri<strong>de</strong>s <strong>et</strong> le second, plus simple, à <strong>de</strong>s applicationspédagogiques. Des protocoles <strong>de</strong> manipulations <strong>et</strong> <strong>de</strong>s résultats expérimentaux obtenus dansles laboratoires <strong>de</strong> neurobiologie associés illustrent ce chapitre. Enfin, un troisième systèmeest conçu pour évaluer les nouveaux circuits <strong>et</strong> constitue les prémisses d'un futur banc <strong>de</strong>simulation <strong>analogique</strong> dont nous proposons une <strong>de</strong>scription.9


10Introduction générale


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueCHAPITRE IELEMENTS DE NEUROBIOLOGIE ET DE MODELISATIONNEUROPHYSIOLOGIQUE.1. Introduction.......................................................................................................................132. Anatomie du neurone........................................................................................................133. Principes établis <strong>de</strong> la conduction nerveuse......................................................................153.1. Le neurone, conducteur électrique................................................................................153.2. Mécanisme membranaire actif : potentiel d'action. ......................................................183.3. Connexions <strong>et</strong> réseaux, fonctionnement <strong>de</strong>s synapses..................................................203.4. Propriétés émergentes <strong>de</strong>s réseaux. ..............................................................................224. Modélisation <strong>et</strong> simulation <strong>de</strong>s mécanismes nerveux.......................................................224.1. Propriétés statiques <strong>de</strong> l'arborescence <strong>de</strong>ndritique : équation <strong>de</strong> propagation..............244.2. Modélisation <strong>de</strong>s mécanismes membranaires actifs. ....................................................244.2.1. Formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley.......................................................................254.2.2. Simplifications du formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley. ........................................284.2.3. Modèles stochastiques, chaînes <strong>de</strong> Markov..........................................................294.3. A propos <strong>de</strong>s métho<strong>de</strong>s d'extraction <strong>de</strong>s paramètres <strong>de</strong> modèles. ................................304.3.1. Voltage imposé ("voltage-clamp"). ......................................................................314.3.2. "Patch-clamp" <strong>et</strong> enregistrement d'un canal unique..............................................324.4. Simulation numérique...................................................................................................345. Conclusions.......................................................................................................................3511


12Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique1. INTRODUCTION.Les <strong>neurones</strong> sont directement à l'origine du traitement <strong>et</strong> du transport <strong>de</strong> l'informationnerveuse. Ils intègrent les informations qu'ils reçoivent <strong>et</strong> les transm<strong>et</strong>tent sous forme <strong>de</strong>signaux électriques. C<strong>et</strong>te activité électrophysiologique est due à <strong>de</strong>s protéines laissant passersélectivement certains ions au travers <strong>de</strong> la membrane plasmique <strong>de</strong> la cellule.Les <strong>neurones</strong> sont donc <strong>de</strong>s cellules spécialisées que l'on r<strong>et</strong>rouve dans tous les organismesanimaux évolués. Ainsi notre cerveau en comporte plus <strong>de</strong> 100 milliards, <strong>et</strong>, par exemple, lesystème nerveux du ver Caenorhabditis Elegans seulement 300. Avec si peu <strong>de</strong> <strong>neurones</strong>,C. Elegans reste néanmoins une espèce animale au comportement fort complexe [EDGLEY].Malgré la gran<strong>de</strong> disparité <strong>de</strong> l'organisation nerveuse <strong>de</strong>s différentes espèces animales, les<strong>neurones</strong> qui la constituent présentent <strong>de</strong> gran<strong>de</strong>s similitu<strong>de</strong>s dans leurs structures <strong>et</strong> leursfonctionnements individuels. Véritables briques élémentaires <strong>de</strong> la vie évoluée, leur étu<strong>de</strong> estindispensable à la compréhension <strong>de</strong>s mécanismes du cerveau humain.Nous allons présenter ici quelques éléments <strong>de</strong> base <strong>de</strong>s propriétés <strong>de</strong>s <strong>neurones</strong> ainsi que lesprincipaux modèles <strong>de</strong> leur activité électrophysiologique. Ces informations seront nécessairesà la compréhension du travail présenté dans le reste du manuscrit <strong>et</strong> préciseront laterminologie que nous employons.2. ANATOMIE DU NEURONE.Le neurone est une cellule spécialisée qui comprend toutes les caractéristiques d'une celluleclassique. Son corps cellulaire, ou soma, comporte les mêmes organites <strong>et</strong> élémentscytoplasmiques que les autres cellules <strong>de</strong> l'organisme. Ils sont indispensables à "l'usine"cellulaire : par exemple le noyau contenant l'ADN, <strong>de</strong>s mitochondries sources d'énergie, <strong>et</strong>c...Ces capacités <strong>de</strong> transport <strong>et</strong> <strong>de</strong> traitement <strong>de</strong> l'information proviennent <strong>de</strong> sa structure <strong>et</strong> <strong>de</strong>ses interconnexions, <strong>de</strong> ses propriétés électriques <strong>et</strong> sécrétrices. Ce sont ces caractéristiquesspécifiques qui nous intéressent plus particulièrement.La figure 1-1 illustre la gran<strong>de</strong> diversité <strong>de</strong> l'anatomie <strong>de</strong>s <strong>neurones</strong> entre différentes espècesou au sein d'un individu pour une espèce donnée. Bien que la morphologie <strong>de</strong>s <strong>neurones</strong> soitvariable, <strong>de</strong>s caractéristiques communes se dégagent : du corps cellulaire partent <strong>de</strong>ux types<strong>de</strong> prolongements, les <strong>de</strong>ndrites <strong>et</strong> l'axone.13


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueFigure 1-1 : illustration <strong>de</strong> la diversité <strong>de</strong>s cellules nerveuses, échelles variées [KOCH 99].Figure 1-2 : vue schématique d'un neurone.14


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueLes <strong>de</strong>ndrites forment une structure arborescente <strong>de</strong>nse qui prend naissance sur le soma. Al'opposé l'axone se proj<strong>et</strong>te en un cylindre régulier <strong>de</strong> longueur variable, se divisant le plussouvent à son extrémité terminale (figure 1-2).Classiquement, il est considéré que l'arbre <strong>de</strong>ndritique collecte les informations provenant <strong>de</strong>s<strong>neurones</strong> afférents, les points <strong>de</strong> contact étant appelés synapses, <strong>et</strong> qu'elles sont traitées auniveau du corps cellulaire, plus particulièrement dans le segment initial, puis transmises parl'axone aux <strong>neurones</strong> suivants. Les terminaisons synaptiques <strong>de</strong> l'axone sont ainsi en contactavec les arbres <strong>de</strong>ndritiques, voir même le soma, <strong>de</strong> nombreuses cellules cibles[HAMMOND 90].C'est c<strong>et</strong>te anatomie arborescente du neurone qui autorise l'organisation en réseaux <strong>de</strong>nses <strong>et</strong>complexes où se propage l'information. Voyons maintenant la nature <strong>et</strong> l'origine <strong>de</strong>s signauxnerveux.3. PRINCIPES ETABLIS DE LA CONDUCTION NERVEUSE.3.1.Le neurone, conducteur électrique.La membrane plasmique qui délimite le neurone est composée d'une bicouche lipidique. Elleassure la rigidité cellulaire, mais forme aussi un isolant électrique qui sépare le milieuintracellulaire du reste <strong>de</strong> l'organisme. En raison <strong>de</strong> sa composition en particules chargées,principalement les ions sodium Na + <strong>et</strong> potassium K + mais aussi calcium Ca 2+ <strong>et</strong> chlorure Cl - ,le milieu intracellulaire est conducteur. Les fibres nerveuses forment donc <strong>de</strong>s fils électriquesau sens où on l'entend habituellement, un conducteur entouré d'un isolant.Le milieu externe est lui aussi conducteur <strong>et</strong> <strong>de</strong> ce fait la membrane forme le diélectrique d'uncon<strong>de</strong>nsateur dont les <strong>de</strong>ux armatures sont les milieux intra <strong>et</strong> extracellulaire.La membrane ne constitue cependant pas un isolant parfait <strong>et</strong> il existe un courant <strong>de</strong> fuite quila traverse. Il est dû à la présence <strong>de</strong> protéines spécifiques incrustées au travers <strong>de</strong> lamembrane, elles forment <strong>de</strong>s canaux qui perm<strong>et</strong>tent la diffusion <strong>de</strong>s différentes espècesioniques.Une secon<strong>de</strong> catégorie <strong>de</strong> protéines maintient une différence <strong>de</strong> concentrations ioniques entrele milieu intra <strong>et</strong> extracellulaire. Ce transport actif est un véritable pompage qui se fait au prix15


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqued'une dépense énergétique, mais le gradient ionique qui en résulte perm<strong>et</strong> la diffusion passiveau travers <strong>de</strong>s protéines canal (voir figure 1-3) [HAMMOND 90].pompage actifcanaux ioniquesExcès d'ion Na +Na + K +Excès d'ion K +Na + K +ExtérieurIntérieurmembrane :bicouche lipidiqueFigure 1-3 : vue schématique <strong>de</strong> la membrane plasmique neuronale. Le pompage actifmaintient une différence <strong>de</strong> concentration <strong>de</strong>s espèces ioniques <strong>de</strong> part <strong>et</strong> d'autre <strong>de</strong> lamembrane perm<strong>et</strong>tant un transport passif par diffusion au travers <strong>de</strong>s canaux ioniques.A l’équilibre du système <strong>et</strong> dans l'hypothèse d'une imperméabilité totale <strong>de</strong> la membrane auxdifférentes espèces ioniques, leurs concentrations <strong>de</strong> part <strong>et</strong> d’autre <strong>de</strong> c<strong>et</strong>te barrière sontsoumises à <strong>de</strong>ux contraintes : Electroneutralité, dans les <strong>de</strong>ux milieux les charges positives <strong>et</strong> négatives sont égales. Equilibre osmotique, les concentrations totales <strong>de</strong> particules intra <strong>et</strong> extracellulaire sonti<strong>de</strong>ntiques.Dans ces conditions <strong>et</strong> malgré la différence <strong>de</strong> concentrations ioniques maintenue constantepar le transport actif, la tension transmembranaire (différence entre les potentiels intra <strong>et</strong>extracellulaire) est nulle.Supposons maintenant que la membrane soit perméable à un seul type d’ion. C<strong>et</strong>te fois,l'équilibre entre la diffusion <strong>et</strong> le transport électrostatique se traduit par un potentieltransmembranaire non nul dont la valeur est donnée par la loi <strong>de</strong> Nernst-Planck :avec16R T ion e Eion ln(1.1)Z F ion i - R constante <strong>de</strong>s gaz parfait,- T température absolue,


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique- Z valence <strong>de</strong> l'ion,- F constante <strong>de</strong> Faraday,- e<strong>et</strong> ion iion concentrations interne <strong>et</strong> externe <strong>de</strong> l'ion.Pour la membrane réelle, en raison <strong>de</strong> la présence <strong>de</strong> différentes espèces ioniques <strong>et</strong> <strong>de</strong> canauxioniques plus ou moins spécifiques à ces ions, la tension transmembranaire s'établit au repos àune valeur qui est la moyenne <strong>de</strong>s potentiels d'équilibre <strong>de</strong> chaque ion, pondérée par larésistivité qui leur est associée.Les milieux intra <strong>et</strong> extracellulaire restent globalement neutres en volume, le potentield'équilibre non-nul se traduisant par une accumulation <strong>de</strong> charges surfaciques sur les <strong>de</strong>uxarmatures du "con<strong>de</strong>nsateur biologique" que forme la membrane.Finalement un segment <strong>de</strong> fibre nerveuse apparaît comme un réseau RC distribué (Figure 1-4)capable <strong>de</strong> transm<strong>et</strong>tre un signal électrique [KOCH 99].R aC mR mE équiFigure 1-4 : équivalent électrique distribué d'une fibre nerveuse passive. Le milieuintracellulaire est modélisé par une résistance R a , la membrane par un con<strong>de</strong>nsateurimparfait constitué d'une capacité C m en parallèle avec une résistance <strong>de</strong> fuite R m <strong>et</strong> unesource <strong>de</strong> tension E équi .La forte atténuation <strong>de</strong> signal qu'engendre un tel système est cependant incompatible avec lesmesures faites ailleurs que dans l'arborisation <strong>de</strong>ndritique. De fait, il existe un autremécanisme membranaire, que l'on r<strong>et</strong>rouve principalement au niveau du soma <strong>et</strong> <strong>de</strong> l'axone.17


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique3.2.Mécanisme membranaire actif : potentiel d'action.Ce mécanisme perm<strong>et</strong> l'amplification <strong>et</strong> la régénération <strong>de</strong>s signaux électriques véhiculés parle neurone, il provient <strong>de</strong>s propriétés <strong>de</strong> canaux ioniques spécifiques <strong>et</strong> sélectifsprincipalement présents dans le segment initial <strong>et</strong> l'axone. Ces canaux sont perméables à uneseule catégorie d'ion <strong>et</strong> c<strong>et</strong>te perméabilité dépend <strong>de</strong> la tension transmembranaire au niveau <strong>de</strong>la protéine. Ces canaux sont dits voltage dépendants. Notons que la présence <strong>de</strong> ce type <strong>de</strong>canaux a aussi été mise en évi<strong>de</strong>nce sur <strong>de</strong>s <strong>de</strong>ndrites [MAGEE 98].Il convient donc, pour ces parties du <strong>neurones</strong>, <strong>de</strong> compléter notre représentation électriquelocale <strong>de</strong> la membrane active (figure 1-5). Pour chaque famille ionique il nous faut ajouterune résistance non linéaire modélisant leur diffusion au travers <strong>de</strong> leurs canaux spécifiques ensérie avec un générateur <strong>de</strong> tension dû à leur potentiel d'équilibre (équation 1.1).milieu intracellulaireCanal <strong>de</strong> fuiteCanaux ioniquesV memC memg fuiteg ionE équiE ionmilieu extracellulaireFigure 1-5 : équivalent électrique <strong>de</strong> la membrane plasmique active.Ces nouvelles protéines <strong>et</strong> leurs propriétés donnent naissance à un phénomène électrique sepropageant sans atténuation le long <strong>de</strong> l'axone : le potentiel d'action. Son mécanisme estillustré par la figure 1-6 <strong>et</strong> correspond aux phases suivantes : Phase ascendante, dépolarisation :la membrane est dans son état <strong>de</strong> repos à un potentiel négatif <strong>de</strong> l'ordre <strong>de</strong> -65 mV dû auxdifférences <strong>de</strong> concentrations ioniques <strong>de</strong> part <strong>et</strong> d'autre <strong>de</strong> la membrane. Si unestimulation induit la dépolarisation <strong>de</strong> la membrane (A) elle déclenche l'ouverture rapi<strong>de</strong><strong>de</strong>s canaux Na + . L'entrée d'ions Na + continue <strong>de</strong> dépolariser la membrane même aprèsl'arrêt <strong>de</strong> la stimulation qui n'a servi qu'à franchir le seuil <strong>de</strong> déclenchement, forçant la18


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiquemembrane hors <strong>de</strong> son état d'équilibre (B). Le potentiel ne croît cependant pas jusqu'aupotentiel d'équilibre du sodium, car très vite les processus mis en jeu lors <strong>de</strong> la re<strong>de</strong>scentedu potentiel d'action entrent en action. Phase <strong>de</strong>scendante, repolarisation :<strong>de</strong>ux facteurs jouent dans la repolarisation <strong>de</strong> la membrane, l'inactivation <strong>de</strong>s canaux Na +<strong>et</strong> l'ouverture r<strong>et</strong>ardée <strong>de</strong>s canaux K + .La dépendance au potentiel membranaire induit pour la valeur atteinte le blocage <strong>de</strong>scanaux sodiques. Le courant <strong>de</strong> fuite commence alors à repolariser la membrane (C).D'autre part, toujours grâce au potentiel atteint, les canaux potassiques s'ouvrent avec uncertain délai <strong>et</strong> induisent un courant K + sortant accélérant le phénomène (C'). Hyperpolarisation transitoire :le délai <strong>de</strong> changement d'état <strong>de</strong>s canaux potassique se r<strong>et</strong>rouve à leur ferm<strong>et</strong>ure <strong>et</strong>provoque une hyperpolarisation <strong>de</strong> la membrane, jusqu'à ce que la ferm<strong>et</strong>ure complète <strong>de</strong>ces canaux se traduise par un r<strong>et</strong>our à la valeur initiale (D).A)extérieurintérieurB)Potentiel d'actionNa + K +C)C')potentiel <strong>de</strong> repos ~ -65 mV20 mV1 msD)Figure 1-6 : mécanismes à l'origine du potentiel d'action.19


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueSi les courants provenant <strong>de</strong> l'arbre <strong>de</strong>ndritique dépolarisent la membrane au niveau dusegment initial jusqu'à franchir le seuil <strong>de</strong> déclenchement, <strong>de</strong>s potentiels d'action y prennentnaissance <strong>et</strong> se propagent le long <strong>de</strong> l'axone sans atténuation.Deux espèces ioniques, Na + <strong>et</strong> K + , sont suffisantes pour expliquer la génération <strong>et</strong> lapropagation <strong>de</strong>s potentiels d'action tel que nous les avons décrits. Cependant, suivant lescellules, d'autres espèces associées à leurs canaux spécifiques viennent moduler c<strong>et</strong>te activité<strong>et</strong> il est possible d'observer <strong>de</strong>s variations <strong>de</strong> tension membranaire <strong>de</strong> formes bien pluscomplexes que la répétition périodique <strong>de</strong> potentiels d'action [LLINAS 88]. Nous en verrons<strong>de</strong>s exemples au chapitre IV.3.3.Connexions <strong>et</strong> réseaux, fonctionnement <strong>de</strong>s synapses.Les <strong>neurones</strong> "calculent" : par leurs morphologies <strong>et</strong> leurs propriétés membranaires, ilstraitent <strong>et</strong> transfèrent les informations provenant <strong>de</strong> cellules sensitives <strong>et</strong> en r<strong>et</strong>our contrôlent,par exemple, une activité musculaire. Dans notre <strong>de</strong>scription nous avons, jusqu'à présent, toutjuste mentionné l'élément primordial à la constitution <strong>de</strong>s réseaux ainsi constitués : lessynapses.Points <strong>de</strong> liaison entre les terminaisons axonales du neurone afférent <strong>et</strong> les cellules cibles auniveau <strong>de</strong> leur arbre <strong>de</strong>ndritique ou <strong>de</strong> leur soma (voir figure 1-2), ce sont elles qui assurent l<strong>et</strong>ransfert <strong>de</strong> l'information entre <strong>neurones</strong>.Dans certaines conditions <strong>de</strong> proximité, l'échange peut se faire par le biais <strong>de</strong> canaux situés envis-à-vis dans chaque membrane pré <strong>et</strong> postsynaptique. La différence <strong>de</strong> potentiel entre lesmilieux intracellulaires <strong>de</strong>s <strong>de</strong>ux cellules se traduit par un courant ionique proportionnel quipasse par ces canaux. La synapse apparaît comme une simple conductance constante entre les<strong>de</strong>ux <strong>neurones</strong> <strong>et</strong> elle est alors qualifiée d'électrique.La communication entre <strong>neurones</strong> est cependant le plus souvent due à un phénomèneélectrochimique complexe qu'illustre la figure 1-7.20


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueA) B) C)bouton synaptiquecellule postsynaptiqueFigure 1-7 : synapse électrochimique. A) un potentiel d'action arrive à un bouton terminal <strong>de</strong>l'axone <strong>de</strong> la cellule présynaptique. B) il provoque la libération d'un neuromédiateur dans lafente synaptique par exocytose <strong>de</strong>s vésicules contenues dans le bouton. C) Le neuromédiateurprovoque, par exemple, l'ouverture <strong>de</strong> canaux sodiques <strong>et</strong> donc une dépolarisation <strong>de</strong> lacellule postsynaptique.Na +L'activité électrique dans la cellule présynaptique agit sur <strong>de</strong>s vésicules situées dans laterminaison <strong>et</strong> provoque la libération dans la fente synaptique <strong>de</strong>s molécules qu'ellescontiennent. C<strong>et</strong>te substance, dite neurotransm<strong>et</strong>teur, va agir, directement ou indirectement,sur les canaux ioniques <strong>de</strong> la cellule postsynaptique <strong>et</strong> y provoquer une injection <strong>de</strong> courant.L'action est directe quand le canal est sensible au neurotransm<strong>et</strong>teur, indirecte quand il estsensible à une secon<strong>de</strong> substance libérée dans la cellule postsynaptique par l'action duneurotransm<strong>et</strong>teur.Des mécanismes biochimiques complexes sont impliqués dans ce phénomène <strong>et</strong> un grandnombre <strong>de</strong> neurotransm<strong>et</strong>teurs ont été i<strong>de</strong>ntifiés. Suivant la nature <strong>de</strong>s canaux influencés, lecourant induit peut être entrant ou sortant <strong>et</strong> la membrane postsynaptique dépolarisée ou, aucontraire, hyperpolarisée. En fonction <strong>de</strong> son neurotransm<strong>et</strong>teur <strong>et</strong> <strong>de</strong>s récepteurs-canauxassociés, une synapse chimique peut donc être excitatrice ou inhibitrice. Citons quelquesexemples <strong>de</strong> neurotransm<strong>et</strong>teurs : Excitateurs (entraînant une dépolarisation) :acétylcholine ACh, les aci<strong>de</strong>s aminés Glutamate <strong>et</strong> aspartate. Inhibiteurs (entraînant une hyperpolarisation) :aci<strong>de</strong>s aminés GABA <strong>et</strong> glycine.Enfin les monoamines adrénaline, noradrénaline, dopamine, sérotonine <strong>et</strong> histamine ainsiqu'une longue liste <strong>de</strong> pepti<strong>de</strong>s agissent en modulant la conductance <strong>de</strong>s récepteurs-canaux <strong>et</strong>leur présence est souvent associée à celle <strong>de</strong>s neurotransm<strong>et</strong>teurs excitateurs ou inhibiteurs.21


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueL'efficacité d'une synapse est fortement impliquée dans la façon dont le réseau traitel'information. Sa capacité à changer c<strong>et</strong>te efficacité au cours du temps, souvent qualifiée <strong>de</strong>plasticité synaptique, est sans doute à l'origine <strong>de</strong> nombre <strong>de</strong>s propriétés du réseau dans sonensemble [ITO 94].3.4.Propriétés émergentes <strong>de</strong>s réseaux.Les mécanismes que nous venons d'effleurer ne sont que les supports physiques <strong>de</strong>l'information qui circule dans un réseau <strong>de</strong> neurone, <strong>et</strong> leurs liens avec les propriétés du réseaune sont pas toujours évi<strong>de</strong>nts. Mais quelles sont ces propriétés ?Le cerveau humain calcule, il résout en permanence, consciemment ou non, les problèmes quepose l'afflux d'informations provenant <strong>de</strong> nos innombrables "capteurs" pour fournir uneréponse appropriée à la situation.La première question est, quel est le co<strong>de</strong> <strong>de</strong> c<strong>et</strong>te information ? Si un tel co<strong>de</strong> existe, il<strong>de</strong>vrait nous perm<strong>et</strong>tre, par exemple, à la lecture <strong>de</strong> l'activité cellulaire d'une cellule sensible<strong>de</strong> reconstruire son excitation [RIEKE 96], [MAAS 99].Le calcul implique souvent la comparaison avec <strong>de</strong>s informations <strong>de</strong> référence, <strong>et</strong> donc lamémorisation, comment se fait-elle <strong>et</strong> à quel niveau du réseau ?Les mécanismes <strong>de</strong> mémorisation sont intimement liés à l'apprentissage, à notre capacité ànous adapter à notre environnement.Codage, mémorisation, apprentissage, voilà quelques-unes <strong>de</strong>s questions auxquelles tente <strong>de</strong>répondre la recherche en neurosciences. Mais il en est bien d'autres comme, par exemple,comment se forme un tel réseau à partir <strong>de</strong> cellules embryonnaires indifférenciées ? Le "plan<strong>de</strong> câblage" est-il inscrit dans nos gènes ? Le cerveau humain peut-il s'étudier lui-même ?En espérant une réponse positive à c<strong>et</strong>te <strong>de</strong>rnière question métaphysique, plusieurs approchessont imaginables pour abor<strong>de</strong>r c<strong>et</strong>te tâche immense. L'idée que <strong>de</strong> l'étu<strong>de</strong> du comportementindividuel d'un neurone <strong>et</strong> <strong>de</strong> ses connexions jaillira la lumière sur le fonctionnement <strong>de</strong>l'ensemble d'un réseau est dite stratégie ascendante. Elle implique la modélisation <strong>et</strong> souventla simulation <strong>de</strong>s propriétés électrochimiques du neurone.4. MODELISATION ET SIMULATION DES MECANISMES NERVEUX.Modélisation <strong>et</strong> simulation sont étroitement liées à l'histoire <strong>de</strong>s sciences. L'astronomie, sansdoute la première activité scientifique <strong>de</strong> l'Homme, en est le parfait exemple. Depuis que22


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiquel'homme observe les astres, il cherche à quantifier leurs trajectoires <strong>et</strong> se sert <strong>de</strong> ces résultatspour faire toutes sorte <strong>de</strong> découvertes <strong>et</strong> <strong>de</strong> prédictions [KOESTLER 59].Les sciences <strong>et</strong> techniques mo<strong>de</strong>rnes en font un usage permanent, par exemple, l'essor <strong>de</strong> lamicroélectronique est indissociable <strong>de</strong> la simulation informatique.Dans ces cas, la simulation est <strong>de</strong>venue un outil <strong>de</strong> conception, mais qu'en est-il enneurobiologie où il n'est pas (encore) question <strong>de</strong> concevoir un cerveau artificiel mais toutjuste <strong>de</strong> comprendre son fonctionnement. A la question <strong>de</strong> l'intérêt <strong>de</strong> la modélisation pour lesneurosciences, G. Le Masson [LE MASSON 98a] répond en présentant trois gran<strong>de</strong>s classes(non exhaustives) <strong>de</strong> problèmes qu'elle est susceptible d’abor<strong>de</strong>r : La validation <strong>de</strong> la cohérence logique d'une hypothèse :"Dans ce type <strong>de</strong> problème, on cherche à vérifier le plus systématiquement possible, lacohérence logique d'une hypothèse complexe. Le plus souvent, un ensemble <strong>de</strong> résultatsexpérimentaux suggère à l'expérimentateur un modèle "mental" perm<strong>et</strong>tant d'expliquer lesrésultats. La formalisation mathématique <strong>de</strong> ce modèle <strong>et</strong> son application informatique vontperm<strong>et</strong>tre une "reconstruction" du système étudié <strong>et</strong> une reproduction <strong>de</strong>s résultats selon uneprocédure formelle <strong>et</strong> systématique qui vali<strong>de</strong> la "logique interne" du modèle développé. (...)Un second aspect, qui sans être un danger est toutefois une faiblesse, est qu'il s'agit souventd'une modélisation peu imaginative car, par construction, enfermée dans les hypothèsesinitiales. Il est rare qu'un modèle théorique démasque une incohérence qu’une réflexionprofon<strong>de</strong> à la lumière <strong>de</strong> données expérimentales consistantes n’ait trouvée. Cependant, plusles systèmes étudiés auront une dynamique complexe, plus les conséquences <strong>de</strong>s différenteshypothèses seront difficiles à voir par la seule réflexion, <strong>et</strong> plus la modélisation pourra <strong>et</strong><strong>de</strong>vra jouer ce rôle <strong>de</strong> validation logique." Réalisation <strong>de</strong> prédictions expérimentales :"C<strong>et</strong> objectif <strong>de</strong> prédiction est la suite logique <strong>de</strong> la validation. En eff<strong>et</strong>, après avoir reproduitun résultat déjà obtenu par l'expérience, on cherche à étudier les conséquences non connues<strong>de</strong> nos hypothèses. La capacité <strong>de</strong> prédiction est un objectif fondamental <strong>de</strong> toute théorie <strong>de</strong>sNeurosciences. Le seul impératif <strong>de</strong> ces prédictions est l'absolue nécessité <strong>de</strong> la validationexpérimentale <strong>de</strong> ces prédictions. Le corollaire <strong>de</strong> c<strong>et</strong>te nécessité est que la prédiction doit23


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueêtre dans sa formulation <strong>de</strong> même nature, c'est-à-dire intéressant les mêmes paramètres queles données expérimentales. Plus simplement, une prédiction doit être testable parl'expérience." La modélisation comme générateur d'hypothèses :"Il est possible <strong>de</strong> réaliser par <strong>de</strong>s métho<strong>de</strong>s théoriques un cheminement logique inverse enpartant d'un résultat expérimental donné pour générer en r<strong>et</strong>our <strong>de</strong>s hypothèses compatiblesavec ce résultat."4.1.Propriétés statiques <strong>de</strong> l'arborescence <strong>de</strong>ndritique : équation <strong>de</strong>propagation.La modélisation <strong>de</strong>s propriétés passives <strong>de</strong> l'arborescence <strong>de</strong>ndritique est présentée à la figure1-4.C<strong>et</strong>te structure est i<strong>de</strong>ntique à celle d'un câble électrique <strong>et</strong> l'évolution <strong>de</strong> V mem en fonction dutemps t <strong>et</strong> <strong>de</strong> la position x le long <strong>de</strong> la fibre <strong>de</strong>ndritique est décrite par les mêmes équationsque celles utilisées pour les câbles télégraphiques :22 Vmem(x, t) 2xmVmem(x, t)tV(x, t) E R I (x, t)meméquiminj(1.2)avec :Rm ,Ra R C .mmmI inj (x,t) représente l'expression générale d'un courant injecté dans la fibre, il peut provenird'une autre branche <strong>de</strong> l'arbre <strong>de</strong>ndritique ou encore d'une jonction synaptique [KOCH 99].4.2.Modélisation <strong>de</strong>s mécanismes membranaires actifs.Nous avons montré comment la présence <strong>de</strong> canaux ioniques à l'activité voltage-dépendantedonne <strong>de</strong> nouvelles propriétés à la membrane. Nous allons décrire mathématiquement lespropriétés <strong>de</strong> ces canaux.24


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique4.2.1. Formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley.La première <strong>de</strong>scription est celle proposée par Hodgkin <strong>et</strong> Huxley [HODGKIN 52a]. Cesauteurs ont proposé un modèle expérimental pour décrire le comportement <strong>de</strong>s canauxioniques sodique <strong>et</strong> potassique dans le cas d'axones géants <strong>de</strong> calmar.Le modèle électrique est celui présenté à la figure 1-5 avec trois courants membranaires, laconductance <strong>de</strong> fuite est constante <strong>et</strong> les conductances sodique <strong>et</strong> potassique sont nonlinéaires.La variation <strong>de</strong> la tension membranaire est alors obtenue par l'intégration <strong>de</strong> cescourants par la capacité membranaire :CmemdVdtmem I I g (V E ) 0(1.3)NaKfuitememfuiteLes courants ioniques étant modélisés <strong>de</strong> la façon suivante :INa3 g .m .h.(V E )(1.4)NamemNaIK4 g .n .(V E )(1.5)KAvec m, n fonctions d'activation <strong>et</strong> h fonction d'inactivation qui modélisent la dépendance à latension membranaire V mem <strong>de</strong> ces <strong>de</strong>ux courants. Ces fonctions ten<strong>de</strong>nt vers une valeurasymptotique en suivant une équation différentielle du premier ordre du type :df(t)Vmem f (Vmem) f(t)(1.6)dtLes fonctions asymptotiques f suivent une expression dite sigmoïdale :1f(Vmem ) (1.7) Vmem Vseuil1exp Pente Le signe <strong>de</strong> l'argument dans l'exponentielle est positif pour les activations m <strong>et</strong> n, négatif pourl'inactivation h. V seuil <strong>et</strong> Pente sont <strong>de</strong>s paramètres spécifiques à chaque fonction.Les constantes <strong>de</strong> temps sont aussi dépendantes <strong>de</strong> la tension membranaire selon <strong>de</strong>séquations plus complexes.A partir <strong>de</strong>s données originales <strong>de</strong> Hodgkin <strong>et</strong> Huxley nous avons r<strong>et</strong>racé ces fonctions eninversant la polarité <strong>de</strong> V mem <strong>et</strong> en décalant les potentiels pour aligner le potentiel <strong>de</strong> repos à-65 mV pour se conformer aux conventions actuelles (figure 1-8).memK25


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueA)10,9m 0,8n 0,70,60,50,40,30,20,1V mem (mV)0-100 -80 -60 -40 -20 0 20 40 60 80 100h B)9 (ms) h87654 n321 mV mem (mV)0-100 -80 -60 -40 -20 0 20 40 60 80 100Figure 1-8 : A) dépendance à la tension membranaire <strong>de</strong>s formes asymptotiques <strong>de</strong>s fonctionsd'activations <strong>et</strong> B) <strong>de</strong>s constantes <strong>de</strong> temps. D'après [HODGKIN 52a].Les autres paramètres (normalisés par rapport à la surface <strong>de</strong> l'axone) du modèle sont : Membrane : Canal sodium :C 1F/cmmem2gNa2 120 mS/cm , ENa 50 mVm1 V1exp9,5mem, 30 m max= 0,5 ms,h1 V1expmem, 57 7 h max= 8,5 ms Canal potassium :gK2 36 mS/cm , EK -77 mVn1 V1exp, 68 17 memn max Canal <strong>de</strong> fuite (principalement dû aux ions chlorure) := 5,8 msgfuite2 0.3 mS/cm , Efuite 54,3 mVPour le modèle original <strong>de</strong> Hodgkin Huxley nous avons tracé l'évolution calculée <strong>de</strong> V mem àpartir <strong>de</strong> l'équation 1.3 ainsi que <strong>de</strong>s courants sodique <strong>et</strong> potassique lors <strong>de</strong> l'injection d'uncourant dépolarisant constant <strong>de</strong> 0,01 nA/cm 2 (figure 1-9).26


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique45 V mem (mV)255t (ms)0 10 20 30 40 50 60 70-1500 10 20 30 40 50 60t (ms)70-0,1-0,2-0,3-0,410,90,80,70,60,5I K (nA/cm 2 )-35-0,5-0,60,40,3-55-75-0,7-0,8I Na (nA/cm 2 )0,20,10t (ms)0 10 20 30 40 50 60 70Figure 1-9 : activité tonique du modèle original <strong>de</strong> Hodgkin Huxley pour un courantdépolarisant constant <strong>de</strong> 0,01 nA/cm 2 . Donnée obtenue avec le simulateur "neuron".A l'origine, ce modèle empirique décrit donc l'activité d'axone géant <strong>de</strong> calmar, cependantd'autres espèces ioniques peuvent être décrites avec <strong>de</strong>s équations <strong>de</strong> même type, <strong>et</strong> l'on parlealors du formalisme <strong>de</strong> Hodgkin Huxley. Il a été utilisé avec succès pour décrire un grandnombre <strong>de</strong> cellules différentes.Ces équations peuvent être introduites dans une structure distribuée du type <strong>de</strong> la figure 1-4,mais les équations complètes <strong>de</strong>viennent alors très complexes.Une approche plus simple pour utiliser ce formalisme consiste à considérer l'ensemble <strong>de</strong> lastructure du neurone comme un compartiment isopotentiel. Le neurone a alors unereprésentation ponctuelle constitué d'une unique capacité membranaire en parallèle avecautant <strong>de</strong> canaux ioniques que nécessaire. C<strong>et</strong>te modélisation est dite mono-compartimentale.Si le neurone comporte <strong>de</strong>s zones où les propriétés membranaires sont trop différenciées, il estaussi possible <strong>de</strong> modéliser chacune d'entre elles avec ses paramètres propres puis <strong>de</strong> les relierpar <strong>de</strong>s résistances <strong>de</strong> valeurs constantes modélisant les conductances axiales <strong>de</strong>s différentesparties : c<strong>et</strong>te approche est qualifiée <strong>de</strong> multi-compartimentale.Pour finir, notons que les synapses chimiques peuvent aussi être modélisées par ceformalisme. Dans ce cas le courant postsynaptique s'écrit :Isynsyn .V E g .m V(1.8)memprémempostsynLa variable d'activation m étant i<strong>de</strong>ntique à celle décrite par (1.6) <strong>et</strong> (1.7), à la seule différenceque sa valeur asymptotique m est une fonction du potentiel membranaire <strong>de</strong> la celluleprésynaptique, V mempré , modélisant ainsi la libération voltage-dépendante <strong>de</strong>sneurotransm<strong>et</strong>teurs. Le courant est ensuite calculé comme pour les autres conductances par leproduit <strong>de</strong> la conductance maximale modulée par n <strong>et</strong> <strong>de</strong> la différence (V mempost - E syn ), oùV mempost représente le potentiel transmembranaire <strong>de</strong> la cellule postsynaptique[DESTEXHE 94].27


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique4.2.2. Simplifications du formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley.Le modèle original <strong>de</strong> Hodgkin Huxley est constitué d'un système couplé d'équationsdifférentielles non linéaires comportant quatre variables : V mem (t), m(t), h(t), <strong>et</strong> n(t).L'ensemble forme donc un obj<strong>et</strong> mathématique très complexe qui est quasiment inexploitableen <strong>de</strong>hors d'une résolution numérique. Jusqu'à présent, aucune étu<strong>de</strong> mathématiquesystématique n'a été réalisée [KOCH 99], [CRONIN 87].Afin d'ouvrir les portes à ce type d'analyse, <strong>et</strong> donc à une approche qualitative, plusieursauteurs ont proposé <strong>de</strong>s réductions du formalisme <strong>de</strong> Hodgkin Huxley. Le principe général <strong>de</strong>ces modélisations est basé sur l'observation <strong>de</strong>s variables définies par Hodgkin <strong>et</strong> Huxley :l'activation m(t) <strong>et</strong> le potentiel V mem (t) évoluent <strong>de</strong> façon similaire, <strong>de</strong> même que n(t) <strong>et</strong> h(t)mais à une échelle plus lente. Il est donc possible <strong>de</strong> réduire à <strong>de</strong>ux le nombre <strong>de</strong> variables.Grâce à ces <strong>de</strong>ux variables dynamiques, ces modèles se prêtent bien à l’analyse en espace <strong>de</strong>phases, où l'on peut représenter leur évolution dans un plan <strong>et</strong> ainsi obtenir <strong>de</strong>s informationsqualitatives générales sur le comportement du modèle [CRONIN 87].Parmi ces modèles à <strong>de</strong>ux dimensions nous en présentons <strong>de</strong>ux exemples, mais il en existed'autres, voir par exemple [MEUNIER 92] ou [HINDMARSH 82]. Modèle <strong>de</strong> FitzHugh <strong>et</strong> Naguno [CRONIN 87].avec3dV V V dt 3dWdt- a, b <strong>et</strong> constantes positives,- I courant <strong>de</strong> stimulation quelconque,- V potentiel <strong>de</strong> membrane,- W variable <strong>de</strong> repolarisation. W I V a bW(1.9) Modèle <strong>de</strong> Morris <strong>et</strong> Lecar [LE MASSON 98a].Tout comme le modèle <strong>de</strong> Hodgkin <strong>et</strong> Huxley, il possè<strong>de</strong> trois canaux : un courant entrantcalcique I Ca , un courant sortant potassique I K <strong>et</strong> un courant <strong>de</strong> fuite I l :ICa gI lCa 0,5. V 50 V 1. . V E 7,5 Ca28


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueavec :IKKK g .n. V E(1.10)3 V 10 cos 29 dndt V 10 n 7,25 1<strong>et</strong> x (1.11)1 exp-xIl comporte donc aussi <strong>de</strong>ux variables, V(t) <strong>et</strong> n(t).4.2.3. Modèles stochastiques, chaînes <strong>de</strong> Markov.Les équations que nous avons présentées jusqu'à présent supposent une activité déterministedu comportement macroscopique <strong>de</strong> la membrane. Ils modélisent les flux ioniques au travers<strong>de</strong> populations <strong>de</strong> canaux qui sont <strong>de</strong>s protéines microscopiques. Or il est démontré quecomme beaucoup <strong>de</strong> phénomènes naturels, <strong>et</strong> n'en déplaise à Albert Einstein, les changements<strong>de</strong> conformation qui provoquent l'ouverture <strong>de</strong> ces protéines suivent un processus aléatoire.Ce comportement individuel du canal peut être modélisé en utilisant la théorie classique <strong>de</strong>smodèles cinétiques [KOCH 88], [DESTEXHE 94], [QUB]. La protéine possè<strong>de</strong> plusieursconformations notées S i , l'une d'entre elles correspondant à l'état ouvert qui autorise ladiffusion ionique. Le passage <strong>de</strong>s états S i à S j est réversible <strong>et</strong> se fait avec <strong>de</strong>s taux r ij <strong>et</strong> r ji :SirijrjiSj(1.12)La proportion <strong>de</strong> canaux dans l'état S i , notée s i , vérifie la relation suivante :dsidt sjrji jjs riij(1.13)Le passage d'un état à l'autre r ji suit une statistique <strong>de</strong> Boltzmann <strong>et</strong> s'écrit : UijVmemrij Vmemexp(1.14) RT avec : - R constante <strong>de</strong>s gaz parfaits,- T température absolue,- U ij (V mem ) barrière d'énergie entre l'état S i <strong>et</strong> l'état S j . Une expression linéaire <strong>de</strong> V memest le plus souvent utilisée.29


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueDans ces conditions les vecteurs <strong>de</strong>s taux r ij (t) forment <strong>de</strong>s obj<strong>et</strong>s mathématiques appeléschaînes <strong>de</strong> Markov <strong>et</strong> <strong>de</strong> ce fait ce type <strong>de</strong> modèle est souvent qualifié <strong>de</strong> markovien.Nous pouvons revenir un instant sur le formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley tel que nous l'avonsprésenté au paragraphe 4.2.1. En eff<strong>et</strong> ces auteurs avaient utilisé un modèle qui apparaîtcomme une sous-classe <strong>de</strong>s chaînes <strong>de</strong> Markov pour donner une justification physique à leurmodèle. Ils n'avaient cependant à l'époque aucune preuve <strong>de</strong> l'existence <strong>de</strong>s canaux ioniques<strong>et</strong> raisonnaient en terme <strong>de</strong> variation <strong>de</strong> conductivité <strong>de</strong> la membrane. La <strong>de</strong>scription qui suitest donc fondamentalement différente du mécanisme stochastique que nous venons <strong>de</strong>présenter en ce qu'elle s'applique au courant macroscopique <strong>et</strong> a été utilisée comme unehypothèse <strong>de</strong>stinée à justifier <strong>de</strong>s résultats expérimentaux.Les fonctions m, h <strong>et</strong> n peuvent être interprétées comme <strong>de</strong>s probabilités d'ouverture <strong>de</strong>sfamilles <strong>de</strong> canaux. Pour une <strong>de</strong> ces variables, n par exemple, la transition d'un état passant àun état bloqué se fait avec les probabilitésn <strong>et</strong> :nn (1.15)n 1-n nL'évolution <strong>de</strong> n correspond à une équation du premier ordre :30dndtV1 n Vn (1.16)nmemEn réorganisant les termes <strong>de</strong> la façon suivante :Et en donnant ànnnnn1 nnmemn(1.17)n n <strong>et</strong> <strong>de</strong>s expressions exponentielles du type <strong>de</strong> (1.14) nous r<strong>et</strong>rouvons leséquations du formalisme <strong>de</strong> Hodgkin Huxley dans la forme que nous avons présentée auparagraphe 4.2.1.4.3.A propos <strong>de</strong>s métho<strong>de</strong>s d'extraction <strong>de</strong>s paramètres <strong>de</strong> modèles.Nous décrivons maintenant les métho<strong>de</strong>s expérimentales qui ont permis le développement <strong>de</strong>smodèles que nous avons décrits <strong>et</strong> servent maintenant à l'extraction <strong>de</strong> paramètres pour cesmodèles appliqués à <strong>de</strong> nouvelles cellules.


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique4.3.1. Voltage imposé ("voltage-clamp").C'est la mise au point <strong>de</strong> c<strong>et</strong>te technique par l'Américain K. C. Cole qui est à l'origine <strong>de</strong>stravaux <strong>de</strong> Hodgkin <strong>et</strong> Huxley. Son principe est illustré par la figure 1-10.Une première microélectro<strong>de</strong> intracellulaire mesure le potentiel <strong>de</strong> membrane V mem . Unamplificateur injecte, par le biais d'une secon<strong>de</strong> microélectro<strong>de</strong>, un courant proportionnel à ladifférence entre la tension mesurée V mem <strong>et</strong> la tension <strong>de</strong> référence choisie V ref . Ce courant estégal au courant total qui traverse la membrane, c'est-à-dire à la somme <strong>de</strong>s courants <strong>de</strong> chaquepopulation <strong>de</strong> canaux ioniques.Pour plus <strong>de</strong> détails sur le principe <strong>de</strong> fonctionnement <strong>de</strong>s microélectro<strong>de</strong>s, on pourra sereporter au paragraphe 3.2 du chapitre IV.V refI injcellule étudiéeV memI injFigure 1-10 : principe <strong>de</strong> mesure en voltage imposé ("voltage-clamp").Si l'expérimentateur applique un saut <strong>de</strong> potentiel à V ref , passant du potentiel <strong>de</strong> repos E ion àune valeur plus élevée, il observe la réponse <strong>de</strong>s canaux ioniques au travers du couranttransitoire mesuré.L'utilisation <strong>de</strong> drogues bloquant spécifiquement certains canaux ou la suppression d'espècesioniques du milieu extracellulaire perm<strong>et</strong>tent <strong>de</strong> discriminer les différentes composantes <strong>de</strong> cecourant global <strong>et</strong> il est ainsi possible d'extraire les paramètres du formalisme <strong>de</strong> Hodgkin <strong>et</strong>Huxley pour une cellule donnée (voir [HAMMOND 90]).Exemple <strong>de</strong> protocoles couramment utilisés : Courants sodiques : la t<strong>et</strong>rodotoxine (TTX) isolée du poisson tétrodon, bloque la plupartles types <strong>de</strong> courants sodiques.31


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueOn peut également remplacer les ions sodium par la choline qui est une moléculeimperméante.Courants potassiques : avec une moins bonne efficacité, certaines substances sontspécifiques <strong>de</strong>s courants potassiques, par exemple le t<strong>et</strong>raéthylammonium (TEA) ou la4-aminopyridine (4 AP).Dans le milieu extracellulaire, le sodium peut remplacer le potassium faiblementconcentré, le césium est couramment utilisé dans le milieu intracellulaire.Courants chlores : le plus simple est <strong>de</strong> les remplacer par <strong>de</strong>s ions imperméants comme lessulfates, les méthylsulfonates ou les isothionates. En eff<strong>et</strong>, les inhibiteurs connus ne sontpas très spécifiques.La technique s'applique aussi à la mesure du courant postsynaptique <strong>et</strong> donc à lacaractérisation <strong>de</strong> synapses.Beaucoup <strong>de</strong> cellules n'ont pas une taille suffisante pour que <strong>de</strong>ux électro<strong>de</strong>s soientintroduites à l'intérieur du corps cellulaire. Dans ce cas, il est possible <strong>de</strong> réaliser <strong>de</strong>sexpériences <strong>de</strong> voltage imposé avec une seule microélectro<strong>de</strong>. L'amplificateur <strong>de</strong> mesureutilisent la même électro<strong>de</strong> pour mesurer V mem <strong>et</strong> injecter du courant en alternant ces <strong>de</strong>uxmo<strong>de</strong>s à une fréquence relativement élevée (3 à 20 kHz). C<strong>et</strong>te métho<strong>de</strong> reste moins préciseque la technique à <strong>de</strong>ux électro<strong>de</strong>s.4.3.2. "Patch-clamp" <strong>et</strong> enregistrement d'un canal unique.C<strong>et</strong>te technique a été mise au point par <strong>de</strong>ux neurobiologistes allemand B. Sakmann <strong>et</strong>E. Neher <strong>et</strong> leur valut d'obtenir le prix Nobel <strong>de</strong> mé<strong>de</strong>cine en 1991.Dérivée <strong>de</strong> la technique <strong>de</strong> voltage imposé, son principe est le suivant : la pointe d'unemicropip<strong>et</strong>te polie par la chaleur <strong>et</strong> d'un diamètre d'environ 1 m est approchée au contact <strong>de</strong>la membrane d'un neurone. Une légère succion exercée à l'intérieur <strong>de</strong> la pip<strong>et</strong>te entraîne laformation d'un scellement hermétique entre la membrane <strong>et</strong> la pointe <strong>de</strong> la pip<strong>et</strong>te. A partir <strong>de</strong>ce moment quatre configurations sont possibles (voir figure 1-11).32


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologiqueLa pip<strong>et</strong>te <strong>de</strong> patch contientla solution extracellulairela solution intracellulairepatch"cell attached"on éloignela pip<strong>et</strong>teon exerceune succionpatch"insi<strong>de</strong> out"patch excisépatch"whole cell"onéloignepatch "outsi<strong>de</strong> out"patch exciséFigure 1-11 : différentes configurations <strong>de</strong> "patch-clamp" d'après [HAMMOND 90].Parmi les avantages <strong>de</strong> c<strong>et</strong>te technique, notons qu'elle autorise : la mesure <strong>de</strong> cellules <strong>de</strong> p<strong>et</strong>ite taille ne pouvant pas être empalées par une électro<strong>de</strong> <strong>de</strong>voltage imposé, le contrôle <strong>de</strong> la composition du milieu intracellulaire dans la plupart <strong>de</strong>s configurations, la mesure <strong>de</strong> courants très faibles grâce à l'excellente isolation entre les milieux intérieur<strong>et</strong> extérieur <strong>de</strong> la membrane, la mesure du courant au travers d'un canal unique.Ce <strong>de</strong>rnier point est particulièrement intéressant, si une seule protéine canal se trouve surl'échantillon prélevé, il est possible d'en mesurer le courant. C'est ce type <strong>de</strong> mesures qui apermis <strong>de</strong> prouver la nature statistique <strong>de</strong> l'ouverture <strong>et</strong> la ferm<strong>et</strong>ure <strong>de</strong>s canaux. La répétition<strong>de</strong> c<strong>et</strong>te expérience <strong>et</strong> l'intégration <strong>de</strong>s courants unitaires mesurés perm<strong>et</strong> d'évaluer le courantmacroscopique d'une population <strong>de</strong> canaux.33


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique4.4.Simulation numérique.Tous ces modèles <strong>et</strong> leurs paramètres ouvrent la porte à la simulation qui n'est rien d'autre quela résolution <strong>de</strong>s équations qui les composent. L'approche la plus simple est la résolutionnumérique à l'ai<strong>de</strong> d'outils informatiques.Il est sans doute impossible <strong>de</strong> dénombrer tous les logiciels conçus pour c<strong>et</strong>te tâche, car il enexiste probablement autant que <strong>de</strong> groupes <strong>de</strong> recherche travaillant sur le suj<strong>et</strong>. En eff<strong>et</strong>,souvent confronté à <strong>de</strong>s problèmes spécifiques, le chercheur est amené à m<strong>et</strong>tre au point sapropre application. Ainsi les solutions proposées sont très variées ne serait-ce que par le type<strong>de</strong> machine <strong>et</strong> d'outils <strong>de</strong> développement utilisés. C<strong>et</strong>te gran<strong>de</strong> diversité forme un obstacle à ladiffusion <strong>et</strong> l'échange <strong>de</strong> résultats scientifiques. Quelques groupes universitaires ont doncdéveloppé <strong>de</strong>s logiciels distribués gratuitement dont une <strong>de</strong>s particularités est qu'ils sonttotalement ouverts afin <strong>de</strong> pouvoir répondre aux impératifs <strong>de</strong> chaque équipe mais avec <strong>de</strong>soutils communs.Citons trois <strong>de</strong> ces logiciels, parmi les plus populaires : Genesis.Mis au point au California Institute of Technology (Caltech), il fournit un cadre généralpour la simulation <strong>de</strong> <strong>neurones</strong>, sans avoir à réécrire tous les algorithmes nécessaires à larésolution <strong>de</strong>s différentes équations, à l'affichage graphique <strong>de</strong>s résultats, <strong>et</strong>c.. Il possè<strong>de</strong>aussi une version multiprocesseur perm<strong>et</strong>tant d'augmenter la puissance <strong>de</strong> calcul lors <strong>de</strong>l'étu<strong>de</strong> <strong>de</strong> réseaux importants[GENESIS]. Neuron.Il est développé <strong>et</strong> distribué <strong>de</strong>puis plusieurs années conjointement par le centre médical<strong>de</strong> l'université <strong>de</strong> Duke <strong>et</strong> l'université <strong>de</strong> Yale. Il est conçu pour résoudre les équations <strong>de</strong>propagation <strong>et</strong> les mécanismes membranaires non-linéaires, mais son architecture utiliseun langage "scripté" perm<strong>et</strong> d'adjoindre aisément <strong>de</strong> nouveaux formalismes. Le co<strong>de</strong>source est disponible <strong>et</strong> il a été compilé pour différents types <strong>de</strong> plateforme informatique :windows, MacOS, unix [NEURON]. QuB.Ce logiciel est lui aussi d'origine universitaire (Université <strong>de</strong> Buffalo, New York). Il estspécifiquement <strong>de</strong>stiné à l'étu<strong>de</strong> <strong>de</strong>s modèles <strong>de</strong> markov <strong>et</strong> propose un ensemble d'outilsinformatiques perm<strong>et</strong>tant le traitement <strong>de</strong> données expérimentales en vue <strong>de</strong> l'extraction<strong>de</strong>s paramètres <strong>de</strong> modèle [QUB].34


Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique5. CONCLUSIONS.Comme nous l'avons précisé en introduction, nous avons besoin <strong>de</strong> réaliser une simulation entemps réel pour reconstruire <strong>de</strong>s réseaux <strong>de</strong> <strong>neurones</strong> hybri<strong>de</strong>s biologique/artificiel. C<strong>et</strong>t<strong>et</strong>echnique sera présentée plus en détail au chapitre IV.Le modèle utilisé doit présenter une activité aussi réaliste <strong>et</strong> précise que possible <strong>de</strong>smécanismes biochimiques que nous avons survolés dans ce chapitre. Pour ces applications,c'est la modélisation <strong>de</strong> Hodgkin Huxley qui a été r<strong>et</strong>enue. Ses réductions sont <strong>de</strong>s outilsintéressants pour mener une étu<strong>de</strong> mathématique, mais sacrifient souvent à la précision <strong>de</strong>l'activité membranaire modélisée <strong>et</strong> ne nous conviennent donc pas.Le niveau <strong>de</strong> <strong>de</strong>scription <strong>de</strong>s courants membranaires macroscopique semble être un justemilieu entre la complexité <strong>de</strong>s modèles stochastiques <strong>et</strong> le <strong>de</strong>gré d'abstraction supérieur, nonabordé dans ce manuscrit, qui ne considère plus les mécanismes membranaire mais l'activitéglobale du neurone, générateur <strong>de</strong> potentiel d'action. Le premier s'applique à l'étu<strong>de</strong> dufonctionnement <strong>de</strong> la membrane d'un seul neurone, le second à <strong>de</strong>s eff<strong>et</strong>s qui apparaissentdans <strong>de</strong>s populations très importantes <strong>de</strong> <strong>neurones</strong>.Maintenant que le niveau <strong>de</strong> modèle est i<strong>de</strong>ntifié, il nous faut concevoir un simulateurrépondant à notre exigence <strong>de</strong> temps réel. Nous présentons la solution que nous avons r<strong>et</strong>enueau chapitre suivant.35


36Chapitre I : éléments <strong>de</strong> neurobiologie <strong>et</strong> <strong>de</strong> modélisation neurophysiologique


Chapitre II : circuits <strong>analogique</strong>s élémentairesCHAPITRE IICIRCUITS ANALOGIQUES ELEMENTAIRES.1. Introduction.......................................................................................................................392. Outils <strong>et</strong> technologie utilisés.............................................................................................412.1. Principes <strong>de</strong> la microélectronique.................................................................................412.2. Technologies disponibles..............................................................................................422.3. Outils logiciels <strong>et</strong> modèles <strong>de</strong> simulations....................................................................462.3.1. Suite logiciel Ca<strong>de</strong>nce...........................................................................................462.3.2. A propos du choix <strong>de</strong>s modèles, illustration du transistor MOS sous le seuil......463. Opérateurs <strong>et</strong> fonctions <strong>de</strong> base. .......................................................................................493.1. Convertisseurs tension-courant <strong>et</strong> courant-tension. ......................................................513.2. Additionneur. ................................................................................................................543.3. Multiplieurs...................................................................................................................543.3.1. Multiplieur "b<strong>et</strong>a-immune"...................................................................................553.3.2. Multiplieur log-antilog..........................................................................................573.4. Fonction sigmoïdale......................................................................................................583.5. Intégrateur. ....................................................................................................................613.6. Mémoire <strong>analogique</strong> intégrée........................................................................................643.6.1. Métho<strong>de</strong> dynamique : échantillonneur-bloqueur. .................................................653.6.2. Métho<strong>de</strong> non-volatile : mémoire à grille flottante. ...............................................683.6.2.1. Mise en conduction <strong>de</strong> l'isolant par un faisceau UV.......................................703.6.2.2. Injection <strong>de</strong> porteurs chauds. ..........................................................................713.6.2.3. Eff<strong>et</strong> tunnel......................................................................................................733.7. Réglage <strong>de</strong> la topologie.................................................................................................764. Synthèse <strong>de</strong>s différentes conductances ioniques...............................................................774.1. Structure générale. ........................................................................................................774.2. Détails <strong>de</strong>s différentes conductances ioniques implémentées.......................................794.2.1. Sodium Na <strong>et</strong> potassium K. ..................................................................................794.2.2. Courants <strong>de</strong> fuite, stimulation <strong>et</strong> compensation....................................................794.2.3. Calcium Ca <strong>et</strong> potassium calcium dépendant K(Ca). ...........................................8037


Chapitre II : circuits <strong>analogique</strong>s élémentaires4.2.4. Synapse................................................................................................................. 814.3. Relations d'étalonnage pour les différents paramètres. ................................................ 815. Avantages comparés <strong>de</strong> la résolution <strong>analogique</strong>............................................................. 8438


Chapitre II : circuits <strong>analogique</strong>s élémentaires1. INTRODUCTION.Au chapitre précé<strong>de</strong>nt, nous avons présenté l'anatomie du neurone <strong>et</strong> étudié les principauxformalismes mathématiques qui tentent d'en modéliser l’activité à un niveau membranaire.Pour nos travaux, nous utilisons le formalisme décrit par Hodgkin <strong>et</strong> Huxley <strong>et</strong> notre objectifest maintenant <strong>de</strong> résoudre les équations qui le composent afin <strong>de</strong> simuler en temps réel lefonctionnement <strong>de</strong> cellules nerveuses <strong>et</strong> <strong>de</strong> réseaux <strong>de</strong> <strong>neurones</strong>. Pour ce faire, nous avonschoisi <strong>de</strong> concevoir un calculateur <strong>analogique</strong> à base <strong>de</strong> circuits intégrés (ASIC : ApplicationSpecific Integrated Circuit). Les variables y sont représentées par <strong>de</strong>s gran<strong>de</strong>urs électriques,charge, courant ou tension, que <strong>de</strong>s circuits électroniques "manipulent" <strong>de</strong> façon continueconformément à notre formalisme.L'idée <strong>de</strong> réaliser un simulateur <strong>analogique</strong> intégré pour modéliser l'activité <strong>de</strong> <strong>neurones</strong>biologiques <strong>de</strong> façon réaliste peut être attribuée aux équipes <strong>de</strong> l'université Caltech (Californiainstitute of technology) [MAHER 89], [MAHOWALD 91], [DOUGLAS 95]. Ces auteursprésentent "<strong>de</strong>s circuits intégrés <strong>analogique</strong>s qui ont <strong>de</strong>s caractéristiques fonctionnelles <strong>de</strong><strong>neurones</strong> réels", la <strong>de</strong>scription étant faite au niveau du courant ionique en suivant uneapproche inspirée du formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley. Ils ont été les premiers à utiliser pources circuits le terme "silicon neuron" <strong>et</strong> c'est <strong>de</strong> leurs travaux que notre groupe s'estinitialement inspiré.Nous pouvons aussi citer quelques autres cas d'intégration <strong>de</strong> modèles simplifiés <strong>de</strong> typeFitzHugh-Nagumo [LINARES-BARRANCO 91] ou Morris-Lecar [PATEL 97], mais ilsemble que ces travaux soient ponctuels <strong>et</strong> ne s'inscrivent pas dans un axe <strong>de</strong> recherchespécifique.Il existe encore un grand nombre <strong>de</strong> travaux associés aux mots clefs "réseau <strong>de</strong> neurone", maisdans ce cas les <strong>neurones</strong> utilisés ne sont que <strong>de</strong>s cousins très éloignés <strong>de</strong> ceux qui nousintéressent. Souvent qualifiés <strong>de</strong> <strong>neurones</strong> formels, ils forment en fait <strong>de</strong>s éléments nonlinéairesconnectés en réseaux qui perm<strong>et</strong>tent <strong>de</strong> résoudre <strong>de</strong>s problèmes <strong>de</strong> traitement <strong>de</strong>données. Leur fonctionnement n'a finalement que peu <strong>de</strong> ressemblance avec la physiologie <strong>de</strong><strong>neurones</strong> biologiques.A mi-chemin entre ces <strong>de</strong>ux approches nous trouvons les systèmes dits bio-inpirés. Il s'agitc<strong>et</strong>te fois <strong>de</strong> reproduire <strong>de</strong> façon artificielle <strong>de</strong>s fonctions évoluées comme la vision,39


Chapitre II : circuits <strong>analogique</strong>s élémentairesl'audition, la marche, <strong>et</strong>c... Ces systèmes sont librement inspirés <strong>de</strong>s structures biologiques(voir par exemple [MEAD 89]). Mais, là encore, même si l'approche prend la forme d'unréseau <strong>de</strong> <strong>neurones</strong>, ceux-ci sont souvent excessivement simplifiés <strong>et</strong> ne peuvent plus êtreconsidérés comme <strong>de</strong>s simulations <strong>de</strong> <strong>neurones</strong> biologiques, en tout cas pas au niveaud'abstraction que nous avons choisi d'utiliser, c'est-à-dire au niveau macroscopique <strong>de</strong>scourants ioniques.Ces <strong>de</strong>ux <strong>de</strong>rnières catégories <strong>de</strong> réseaux <strong>de</strong> <strong>neurones</strong> représentent néanmoins un intérêt pournous. En eff<strong>et</strong>, même si les buts recherchés <strong>et</strong> les équations à résoudre sont assez différents<strong>de</strong>s nôtres, les circuits <strong>analogique</strong>s élémentaires utilisés se recoupent <strong>et</strong> la littérature qui s'yrapporte représente une source <strong>de</strong> documentation potentielle.Quant au laboratoire IXL, il s'y conçoit <strong>de</strong>s simulateurs <strong>analogique</strong>s <strong>de</strong> <strong>neurones</strong> biologiques<strong>de</strong>puis plusieurs années, <strong>de</strong>ux thèses ont déjà été présentées sur ce suj<strong>et</strong> [DUPEYRON 98],[LAFLAQUIERE 98]. Denis Dupeyron a démontré la faisabilité du proj<strong>et</strong> <strong>et</strong> réalisé unpremier démonstrateur opérationnel. Arnaud Laflaquière a mis au point <strong>et</strong> construit unsystème compl<strong>et</strong>, ouvrant les portes aux premières expériences hybri<strong>de</strong>s qui interconnectent<strong>neurones</strong> biologiques <strong>et</strong> <strong>neurones</strong> électroniques. Notre contribution est double, elle porte,d'une part, sur l'exploitation <strong>de</strong>s travaux d'Arnaud Laflaquière <strong>et</strong>, d'autre part, sur laconception <strong>de</strong> nouveaux ASICs visant à encore améliorer les performances <strong>et</strong> la flexibilité <strong>de</strong>nos <strong>neurones</strong> artificiels.Malgré les évolutions, le principe général <strong>de</strong> résolution <strong>de</strong> nos circuits a peu changé : lecalculateur est constitué <strong>de</strong> différents opérateurs élémentaires <strong>analogique</strong>s assemblés pourrésoudre les équations du formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley.Après la présentation <strong>de</strong>s technologies <strong>et</strong> outils qui sont à notre disposition au laboratoire IXL,nous détaillons les implémentations électroniques <strong>de</strong>s différents opérateurs que nous avonsutilisés. Nous justifions dans ce chapitre les apports <strong>et</strong> évolutions par rapport aux travauxprécé<strong>de</strong>nts du groupe, mais ils apparaîtront encore plus clairement au chapitre III lors <strong>de</strong> laprésentation <strong>de</strong>s différents circuits réalisés.C<strong>et</strong>te présentation nous conduit à conclure ce chapitre par une discussion sur les avantages <strong>et</strong>inconvénients du calcul <strong>analogique</strong> par comparaison à une solution numérique.40


Chapitre II : circuits <strong>analogique</strong>s élémentaires2. OUTILS ET TECHNOLOGIE UTILISES.Nous avons choisi <strong>de</strong> traiter dès ce paragraphe <strong>de</strong>s outils <strong>et</strong> technologies <strong>de</strong> lamicroélectronique alors que nous n’en sommes qu’à l'étape <strong>de</strong> la <strong>de</strong>scription théorique <strong>de</strong>scircuits. C<strong>et</strong> ordre est cependant justifié par le fait que nos choix <strong>et</strong> décisions en matière <strong>de</strong>conception électronique sont forcément guidés par les moyens dont nous disposons.D'autre part ce paragraphe sera l'occasion <strong>de</strong> présenter quelques points relatifs à la conceptionen microélectronique à <strong>de</strong>stination <strong>de</strong>s lecteurs qui ne sont pas forcément familiers avec cedomaine.2.1.Principes <strong>de</strong> la microélectronique.La fabrication d'un circuit intégré consiste à "graver" les composants électroniques(transistors, résistances, con<strong>de</strong>nsateurs...) <strong>et</strong> les interconnexions qui le constituent sur <strong>de</strong>stranches généralement en silicium (il existe maintenant d'autres substrats). Ces opérations sontréalisées par <strong>de</strong>s procédés photolithographiques. La fabrication se fait dans <strong>de</strong>s salles dites"blanches" à atmosphère contrôlée pour éliminer tout risque <strong>de</strong> contamination par <strong>de</strong>spoussières. En eff<strong>et</strong>, pour les technologies récentes, la taille minimale <strong>de</strong>s motifs gravés étantinférieure au micromètre, la moindre particule pourrait provoquer un défaut entraînant ladéfaillance du circuit. Les photos ci-<strong>de</strong>ssous illustrent ces dimensions.Figure 2-1 : le circuit intégré posé sur la pièce <strong>de</strong> 5 centimes comporte 10000 transistors.Une vue rapprochée montre un cheveu posé sur c<strong>et</strong>te "puce", son diamètre est d'environ 60m, soit 75 fois plus grand qu'un transistor <strong>de</strong> taille minimale 0,8 m.41


Chapitre II : circuits <strong>analogique</strong>s élémentairesLe concepteur <strong>de</strong> circuit intégré doit livrer au fabricant (le fon<strong>de</strong>ur) un "plan" pour lesdifférentes niveaux à graver. Il servira à la fabrication <strong>de</strong>s masques <strong>de</strong> photogravure. Un<strong>et</strong>echnologie mo<strong>de</strong>rne comporte un grand nombre <strong>de</strong> ces masques, par exemple le procédéBiCMOS 0,8 µm <strong>de</strong> la société Austria Mikro Systeme [AMS] en utilise au minimum 16 (voirannexe A).Le fon<strong>de</strong>ur doit fournir les paramètres technologiques <strong>de</strong> son procédé <strong>de</strong> fabrication : lesmodèles électriques <strong>de</strong>s composants qu'il sait fabriquer <strong>et</strong> les limites <strong>de</strong> résolution <strong>de</strong> sonprocédé <strong>de</strong> fabrication dues aux contraintes technologiques. Ces <strong>de</strong>rnières informationsprennent la forme <strong>de</strong> règles <strong>de</strong> <strong>de</strong>ssin que le concepteur doit respecter pour les différentescouches.La quantité d'informations à traiter implique l'utilisation <strong>de</strong> moyens logiciels lourds. Nousavons illustré (figure 2-2) les principales étapes du travail <strong>de</strong> conception en présentant <strong>de</strong>ssaisies d'écran <strong>de</strong> différents modules logiciels <strong>de</strong> l'ensemble <strong>de</strong> développement <strong>de</strong> la sociétéCa<strong>de</strong>nce [CADENCE].2.2.Technologies disponibles.La lour<strong>de</strong>ur du procédé <strong>de</strong> fabrication d'un circuit intégré laisse entrevoir les investissementsindustriels qu'il représente. Perm<strong>et</strong>tre l'accès à ces ressources pour un organisme <strong>de</strong> rechercheuniversitaire n'est possible que grâce au partage <strong>de</strong>s coûts fixes. C'est un <strong>de</strong>s buts développés<strong>de</strong>puis prés <strong>de</strong> 20 ans par le CNFM (Comité National <strong>de</strong> Formation en Microélectronique[CNFM]) dont le laboratoire IXL fait partie. C<strong>et</strong>te institution assure la coordination <strong>de</strong>l'enseignement <strong>de</strong> la microélectronique en France <strong>et</strong> une <strong>de</strong> ses missions est d'organiser, parl'intermédiaire <strong>de</strong> ces différents pôles, le choix, l'achat <strong>et</strong> la maintenance <strong>de</strong>s outilsindispensables à la microélectronique : stations <strong>de</strong> travail, logiciels <strong>de</strong> CAO, testeurs... Ilassure aussi l'accès à la fabrication <strong>de</strong> p<strong>et</strong>ites séries par le biais du CMP (Circuit Multi Proj<strong>et</strong>[CMP]). Les circuits <strong>de</strong> plusieurs utilisateurs sont regroupés <strong>et</strong> ainsi un jeu <strong>de</strong> masquecommun est fabriqué. Le CMP se charge <strong>de</strong> rassembler les proj<strong>et</strong>s <strong>et</strong> reste l'uniqueinterlocuteur du fon<strong>de</strong>ur. La tarification se fait en fonction <strong>de</strong> la surface utilisée. C<strong>et</strong>teapproche ouvre les portes au prototypage universitaire, mais les technologies accessibles, bienque représentatives <strong>et</strong> mo<strong>de</strong>rnes, restent en nombre limité. Le tableau 2-1 énumère lestechnologies disponibles au 1 janvier 2000 par l'intermédiaire du CMP.42


Chapitre II : circuits <strong>analogique</strong>s élémentaires Saisie <strong>de</strong> schéma : le concepteur doit définirle schéma électrique pour le circuit à concevoir.Simulation : le simulateur peut-être numérique,<strong>analogique</strong> ou mixte, les paramètres <strong>de</strong>s modèles<strong>de</strong> simulation sont fournis par le fon<strong>de</strong>ur. En fonction <strong>de</strong>s résultats <strong>de</strong> simulation, leschéma <strong>et</strong> les paramètres <strong>de</strong> ses composants sontmodifiés. Ce processus itératif est renouveléjusqu'à obtention <strong>de</strong>s performances souhaitées.Layout <strong>et</strong> DRC : c'est la <strong>de</strong>scription physique <strong>de</strong>scomposants <strong>et</strong> interconnexions. Les masques <strong>de</strong>fabrication seront générés à partir <strong>de</strong> ces fichiers.Le logiciel perm<strong>et</strong> <strong>de</strong> vérifier (DRC : Design RuleChecker) le respect <strong>de</strong>s règles <strong>de</strong> <strong>de</strong>ssin fourniespar le fon<strong>de</strong>ur. Extraction <strong>et</strong> LVS : le logiciel extrait unschéma électrique du <strong>de</strong>ssin qui est comparé auschéma initial (LVS : Layout Versus Schematic)pour vérification.Il est aussi possible d'extraire <strong>de</strong>s composantsparasites <strong>et</strong> <strong>de</strong> re-simuler le schéma ainsicomplété afin <strong>de</strong> s'assurer qu'il reste conforme auxspécifications initiales.Figure 2-2 : saisies d'écran <strong>de</strong> différents modules logiciels <strong>de</strong> la suite Ca<strong>de</strong>nce.43


Chapitre II : circuits <strong>analogique</strong>s élémentairesLe choix d'une technologie la plus standard possible sera le gage d'un faible coût <strong>et</strong> <strong>de</strong> lareproductibilité <strong>de</strong> nos travaux. Cependant, l'usage <strong>de</strong> transistors bipolaires simplifiantgran<strong>de</strong>ment notre conception, nous n'avons pas r<strong>et</strong>enu un procédé CMOS <strong>et</strong> c'est finalement leprocédé AMS BiCMOS 0,8 µm que nous avons sélectionné pour tous les circuits présentésdans ce manuscrit. Les technologies SiGe <strong>et</strong> GaAs, quant à elles, sont plutôt <strong>de</strong>stinées à <strong>de</strong>sapplications hautes fréquences <strong>et</strong> ne répon<strong>de</strong>nt pas du tout à nos critères <strong>de</strong> standardisation <strong>et</strong><strong>de</strong> coût.type <strong>de</strong> technologieCMOS 0,8 m 2P/2MBiCMOS 0,8 m 2P/2MSiGe 0,8 mCMOS 0,6 m 2P/3MCMOS 0,35 m 2P/3M(4M)CMOS 0,25 m 6MCMOS 0,18 m 6MGaAs HEMT 0,2 mnom <strong>et</strong> sociétéCYE société AMSBYQ société AMSBYR société AMSCUP société AMSCSI société AMSHCMOS7 société ST microelectronicsHCMOS8 société ST microelectronicsED02AH société PhilipsTableau 2-1 : technologies disponibles par l'intermédiaire du CMP au 1 janvier 2000. (XP <strong>et</strong>XM, nombre <strong>de</strong> niveaux <strong>de</strong> polysilicium <strong>et</strong> <strong>de</strong> métal).La technologie BiCMOS est dérivée du procédé CMOS 0,8 m numérique <strong>de</strong> la société AMS.La modification a consisté à ajouter un certain nombre d'étapes technologiques perm<strong>et</strong>tant laréalisation d'éléments nécessaires à certains circuits <strong>de</strong> l'électronique <strong>analogique</strong> : transistorsbipolaires <strong>et</strong> con<strong>de</strong>nsateurs linéaires à armatures en polysilicium. Les transistors MOS restenti<strong>de</strong>ntiques à ceux développés pour la technologie CMOS, <strong>et</strong> les bibliothèques numériquesCMOS sont directement réutilisables. La technologie comporte <strong>de</strong>ux niveaux <strong>de</strong> métal <strong>et</strong> <strong>de</strong>uxniveaux <strong>de</strong> polysilicium. Les différents composants disponibles <strong>et</strong> leurs caractéristiques sontles suivants :Con<strong>de</strong>nsateur : la faible épaisseur d'oxy<strong>de</strong> entre les <strong>de</strong>ux couches <strong>de</strong> polysilicium perm<strong>et</strong>d'obtenir <strong>de</strong>s con<strong>de</strong>nsateurs <strong>de</strong> valeur intéressante. A l'inverse <strong>de</strong>s capacités <strong>de</strong> jonctionsou <strong>de</strong>s capacités MOS, celles <strong>de</strong>s con<strong>de</strong>nsateurs poly1/poly2 sont indépendantes <strong>de</strong>stensions appliquées au composant.Capacité par unité <strong>de</strong> surface : 1,77 fF / µm 2Capacité par unité <strong>de</strong> longueur : 0,20 fF / µm44


Chapitre II : circuits <strong>analogique</strong>s élémentairesRésistance : trois types <strong>de</strong> résistances sont disponibles.Type <strong>de</strong> résistance largeur min (µm) valeur (/ ) Coefficient <strong>de</strong> température(10 -3 /K)Polysilicium 1 0,8 21 1,0Polysilicium 2 1,6 67 -0,3Rnwell 5,0 3600 6,6Tableau 2-2 : paramètres typiques <strong>de</strong>s résistances du procédé AMS BiCMOS 0,8 µm.Transistors MOS : NMOS <strong>et</strong> PMOS à grille en polysilicium, longueur <strong>de</strong> grille minimale<strong>de</strong> 0,8 µm.Paramètres NMOS PMOS Unitéépaisseur d'oxy<strong>de</strong> T ox 16 16 nmtension <strong>de</strong> seuil V TH 0,72 -0,77 Vfacteur <strong>de</strong> transconductance K P 100 36 µA / V 2mobilité effective µ 463 162 cm 2 / V stension <strong>de</strong> claquage 0,8 µm BV DS0 13 -12 Vlongueur <strong>de</strong> canal effective 0,8 µm 0,66 0,75 µmdopage effectif du substrat N sub 7,6 10 16 2,8 10 16 cm -3courant <strong>de</strong> saturation 0,8 µm I DS0 400 -200 µA / µmcourant du substrat 0,8 µm I sub 0,8 - µA / µmTableau 2-3 : paramètres typiques <strong>de</strong>s transistors MOS <strong>de</strong> 20 µm X 0,8 µm du procédé AMSBiCMOS 0,8 µm.Transistors bipolaires : npn vertical <strong>et</strong> pnp latéral.Paramètres npn pnp latéral unitécourant <strong>de</strong> saturation 1,2 10 -18 0,39 10 -18 A / mgain en courant 100 200tension d'Early 32 10 Vtension <strong>de</strong> claquage BV EB0 5 - Vtension <strong>de</strong> claquage BV CB0 16 - Vtension <strong>de</strong> claquage BV CE0 7 - Vfréquence <strong>de</strong> transition f T 12 0,06 GHzSurface d'ém<strong>et</strong>teur SE 3 x 0,8 3,6 x 3,6 m 2surface totale S BJT 11,8 x 9 - m 2Tableau 2-4 : paramètres typiques <strong>de</strong>s transistors bipolaires NPN <strong>et</strong> PNP latéral45


Chapitre II : circuits <strong>analogique</strong>s élémentaires2.3.Outils logiciels <strong>et</strong> modèles <strong>de</strong> simulations.2.3.1. Suite logiciel Ca<strong>de</strong>nce.Nous l'avons dit, en microélectronique un environnement logiciel puissant est indispensable.Le laboratoire IXL est équipé <strong>de</strong> la suite fabriquée par la société californienne Ca<strong>de</strong>nce[CADENCE].Pour information nous citons les modules suivants : Simulateurs <strong>analogique</strong>s : spectreS, simulateur standard <strong>de</strong> Ca<strong>de</strong>nce, compatible spice.Nous disposons aussi <strong>de</strong>s simulateurs hspice <strong>et</strong> eldo. AMS fournit les paramètres <strong>de</strong>modèles pour chacun <strong>de</strong> ces simulateurs. Simulateur numérique : verilog, AMS fournit <strong>de</strong>s bibliothèques numériques CMOS <strong>et</strong>BiCMOS avec <strong>de</strong>s paramètres verilog pour <strong>de</strong>s alimentations 5V ou 3,3V.L'environnement Ca<strong>de</strong>nce perm<strong>et</strong> aussi <strong>de</strong> partitionner un schéma <strong>et</strong> <strong>de</strong> faire <strong>de</strong>ssimulations mixtes spectreS-verilog.D'autres outils ont été utilisés ponctuellement, par exemple pour la synthèse numérique <strong>et</strong> leroutage automatique <strong>de</strong>s séquenceurs <strong>et</strong> autres circuits numériques inclus dans certains <strong>de</strong> nosproj<strong>et</strong>s.2.3.2. A propos du choix <strong>de</strong>s modèles, illustration du transistor MOS sous le seuil.La simulation <strong>de</strong> circuits intégrés <strong>analogique</strong>s implique l'utilisation <strong>de</strong> modèles adaptés.Comme la technologie que nous utilisons est spécifiquement <strong>de</strong>stinée à la conception<strong>analogique</strong>, AMS fournit les paramètres pour ce type <strong>de</strong> modèles. La modélisation enmicroélectronique est cependant un travail toujours en cours <strong>et</strong> la compréhension <strong>de</strong>s modèles<strong>et</strong> <strong>de</strong> leurs paramètres reste <strong>de</strong> première importance.Les transistors bipolaires sont peu concernés par ces changements, ils sont modélisés par leurséquations théoriques classiques <strong>de</strong> Gummel-Poon <strong>et</strong> les nouveaux modèles (comme parexemple le VBIC) sont plutôt <strong>de</strong>stinés à améliorer les performances pour les applicationshaute fréquence. Comme nous travaillons à <strong>de</strong>s fréquences inférieures à 100 kHz, ces apportsne nous concernent pas.En comparaison, les modèles <strong>de</strong> transistors MOS ont bénéficiés <strong>de</strong> changements beaucoupplus importants. La tendance à la diminution <strong>de</strong>s dimensions <strong>de</strong>s MOS <strong>et</strong> la baisse <strong>de</strong>s46


Chapitre II : circuits <strong>analogique</strong>s élémentairestensions d'alimentations ont fait apparaître <strong>de</strong>s eff<strong>et</strong>s physiques au niveau du composant quiétaient négligeables jusqu'alors. La prise en compte <strong>de</strong> ces nouveaux phénomènes estessentielle pour les concepteurs qui utilisent <strong>de</strong> plus en plus <strong>de</strong> transistors MOS dans leurscircuits <strong>analogique</strong>s [VITTOZ 85], [TSIVIDIS 94].Daniel FOTY [FOTY 96] classe les différents modèles spice en trois générations successives : Level1, level2 <strong>et</strong> level3 forment la première génération. Ces modèles utilisent <strong>de</strong>séquations physiques relativement simples pour décrire le transistor. La <strong>de</strong>uxième génération, composée <strong>de</strong>s modèles BSIM1, HSPICE level28 <strong>et</strong> BSIM2,introduit un grand nombre <strong>de</strong> paramètres empiriques <strong>et</strong> une utilisation extensive <strong>de</strong>fonctions mathématiques <strong>de</strong>stinées à améliorer le comportement du simulateur. Enfin, la troisième génération, BSIM3, MOS Mo<strong>de</strong>l 9 <strong>de</strong> Philips <strong>et</strong> EKV répond à <strong>de</strong>ux butprincipaux : la modélisation à <strong>de</strong>stination <strong>de</strong> la conception <strong>analogique</strong> <strong>et</strong> le r<strong>et</strong>our à <strong>de</strong>sparamètres ayant un sens plus physique.Nous disposons <strong>de</strong> <strong>de</strong>ux types <strong>de</strong> modèles MOS53 (BSIM3V3) <strong>et</strong> MOS15 (level2 modifié parAMS pour rendre continue l'expression du courant <strong>et</strong> <strong>de</strong> sa dérivée lors <strong>de</strong>s changements <strong>de</strong>mo<strong>de</strong> <strong>de</strong> fonctionnement). Le modèle BSIM3V3 est le plus adapté à nos travaux, c'est celuique nous utilisons.Un régime <strong>de</strong> fonctionnement du transistor MOS, la polarisation sous le seuil, présente unintérêt particulier pour notre groupe. Il nous perm<strong>et</strong>trait en eff<strong>et</strong> d'utiliser une technologieCMOS <strong>et</strong> d'éviter ainsi l'utilisation <strong>de</strong> la technologie BiCMOS un peu plus complexe <strong>et</strong> plusonéreuse (voir paragraphe 2.2). La prise en compte <strong>de</strong> ce mo<strong>de</strong> <strong>de</strong> fonctionnement estcependant problématique <strong>et</strong> représente un exemple typique <strong>de</strong>s limites <strong>de</strong> la modélisation dutransistor MOS.Pour une tension grille-source V GS inférieure au seuil V th , le courant <strong>de</strong> canal <strong>de</strong>vient trèsfaible mais non-nul. Il ne suit néanmoins plus l'expression quadratique classique, mais dépen<strong>de</strong>xponentiellement <strong>de</strong> la tension <strong>de</strong> comman<strong>de</strong> V GS :W V GS V DSID kx. .exp 1 - exp(2.1)L nUT UT avec : - k X (V BS ) paramètre dépendant <strong>de</strong> la technologie <strong>et</strong> <strong>de</strong> V BS ,47


Chapitre II : circuits <strong>analogique</strong>s élémentaires- n(V BS ) paramètre <strong>de</strong> pente, dépendant <strong>de</strong> la technologie <strong>et</strong> <strong>de</strong> V BS ,kT- U T ,q- W, L dimensions du transistor.C<strong>et</strong>te expression [TSIVIDIS 99] est, à V BS donné, similaire à la caractéristique <strong>de</strong> transfertexponentielle d'un transistor bipolaire. La figure 2-3 illustre les <strong>de</strong>ux domaines <strong>de</strong>fonctionnement du transistor MOS, l'expression du courant <strong>de</strong> drain est quadratique au <strong>de</strong>ssusdu seuil, exponentielle en <strong>de</strong>ssous. Elle ouvre donc les portes à l'implémentation entechnologie CMOS <strong>de</strong> topologies <strong>de</strong> circuits qui jusqu'alors impliquaient l'utilisation <strong>de</strong>stransistors bipolaires [VITTOZ 77]. De plus les faibles courants <strong>et</strong> tensions mis en jeu sontparticulièrement adaptés à la conception faible consommation.Figure 2-3 : caractéristique I D (V GS ) d'un transistor MOS 20 m X 20 m à V DS =5V. A) tracé<strong>de</strong> faisant apparaître l'expression quadratique du courant. B) tracé avec une échelleIDlogarithmique faisant apparaître la caractéristique exponentielle sous le seuil V T . (courbesprovenant <strong>de</strong> [GRAY 95]).Ce mo<strong>de</strong> <strong>de</strong> fonctionnement semble être une alternative intéressante à l'utilisation d'un<strong>et</strong>echnologie BiCMOS, cependant pour pouvoir l'utiliser il est nécessaire que les modèles <strong>de</strong>MOS le prenne en compte correctement.La première génération <strong>de</strong> modèles ne modélisait pas, ou très mal, le régime sous le seuil. La<strong>de</strong>uxième a inclus <strong>de</strong>s équations plus précises, mais la transition du régime <strong>de</strong> faible inversionau régime <strong>de</strong> forte inversion était incorrecte. Il a donc fallu attendre la génération actuelle <strong>de</strong>modèles pour bénéficier d'outils <strong>de</strong> modélisation corrects.48


Chapitre II : circuits <strong>analogique</strong>s élémentairesReste encore un problème, la disponibilité du modèle étant nécessaire mais pas suffisante. Ilest en eff<strong>et</strong> tout aussi indispensable que le fon<strong>de</strong>ur ait réalisé les mesures servant à l'extraction<strong>de</strong>s paramètres <strong>de</strong> la conduction sous le seuil <strong>et</strong> qu'il les ait fournis pour que le modèle soitutilisable !Notons enfin la très forte dispersion dont souffrent les paramètres spécifiques <strong>de</strong> la conductionWsous le seuil, c'est-à-dire, dans l'expression (2.1), l'équivalent du courant <strong>de</strong> saturation k x.L<strong>et</strong> le paramètre <strong>de</strong> pente n [FORTI 94], [PAVASOVIC 94], [CHEN 96]. L'origine <strong>de</strong> cesdispersions est encore mal comprise <strong>et</strong> elles ne sont donc pas contrôlées par les fon<strong>de</strong>urs. Acela rajoutons la modulation du courant par le potentiel <strong>de</strong> substrat qu'il faudraimpérativement prendre en compte, par exemple en utilisant <strong>de</strong>s transistors dans <strong>de</strong>s puitsisolés.C<strong>et</strong> état <strong>de</strong> fait implique <strong>de</strong>s mesures très conservatrices lors <strong>de</strong> la conception <strong>de</strong> circuits,notamment par l'emploi <strong>de</strong> MOS <strong>de</strong> gran<strong>de</strong> dimension ou l'usage systématique <strong>de</strong> circuitsutilisant <strong>de</strong>s rapports <strong>de</strong> courant [VITTOZ 77].En conclusion la substitution <strong>de</strong> transistors MOS polarisés sous le seuil aux transistorsbipolaires perm<strong>et</strong> l'utilisation d'une technologie CMOS standard <strong>et</strong> autorise une conceptiontrès faible consommation. Il faudra cependant bien évaluer les modèles <strong>et</strong> paramètresdisponibles, éventuellement en faisant <strong>de</strong>s mesures comparatives avec <strong>de</strong>s structures <strong>de</strong> tests,<strong>et</strong> ne pas oublier que l'emploi indispensable <strong>de</strong> transistors <strong>de</strong> taille non-minimale se traduit parune augmentation pénalisante <strong>de</strong> la surface <strong>de</strong> silicium.Nous n'avons pas r<strong>et</strong>enu ce principe pour les travaux que nous présentons dans ce manuscrit,mais notre groupe l'a néanmoins évalué. L'étu<strong>de</strong> a été réalisée par Ludovic Alvado dans lecadre <strong>de</strong> son stage <strong>de</strong> DEA [ALVADO 99] <strong>et</strong> ses résultats sont en cours d'exploitation c<strong>et</strong>tefois dans le cadre <strong>de</strong> ses travaux <strong>de</strong> thèse <strong>de</strong> doctorat.3. OPERATEURS ET FONCTIONS DE BASE.Le formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley tel que nous l'avons décrit au chapitre précé<strong>de</strong>nt(équations 1.3 à 1.7) comporte un certain nombre d'opérations élémentaires communes àchaque conductance ionique. Nous pouvons détailler :Addition <strong>et</strong> multiplication : elles apparaissent à plusieurs reprises. Les termes <strong>de</strong> type"puissance" sont aussi <strong>de</strong>s multiplications.49


Chapitre II : circuits <strong>analogique</strong>s élémentairesSigmoï<strong>de</strong> : les formes asymptotiques <strong>de</strong>s variables d'états, activation <strong>et</strong> inactivation, ontune dépendance sigmoïdale à la tension membranaire qu'il nous faudra synthétiser.Intégrateur : les équations différentielles du premier ordre sont résolues en utilisant unbloc intégrateur.Les différentes implémentations <strong>de</strong>s opérateurs ayant <strong>de</strong>s entrées/sorties en courant ou entension, il nous faudra aussi concevoir <strong>de</strong>s étages d'interface, c'est-à-dire <strong>de</strong>s convertisseurstension/courant <strong>et</strong> courant/tension.D'autre part, nous souhaitons obtenir <strong>de</strong>s circuits reconfigurables, où l'utilisateur pourraprogrammer les paramètres <strong>de</strong>s modèles <strong>de</strong> <strong>neurones</strong> <strong>et</strong> la topologie du réseau qu'ilsconstituent. Pour ce faire il nous faut choisir un dispositif <strong>de</strong> mémorisation <strong>analogique</strong> <strong>et</strong>concevoir un système <strong>de</strong> multiplexage programmable assurant les connexions entreconductances ioniques.Pour chaque opérateur il existe une gran<strong>de</strong> variété <strong>de</strong> schémas plus ou moins classiques,chaque concepteur revendiquant à chaque fois l'amélioration <strong>de</strong> telle ou telle caractéristique.Pour nos travaux, la simplicité, au sens du nombre <strong>de</strong> transistors, sera le maître mot. Il est eneff<strong>et</strong> nécessaire d'assurer une surface minimale au calculateur pour perm<strong>et</strong>tre l'intégration d'ungrand nombre <strong>de</strong> <strong>neurones</strong>.Les premiers circuits réalisés par notre groupe utilisaient une technologie qui autorisait unealimentation sous 10V. C<strong>et</strong>te tension étant supérieure à la limite maximale <strong>de</strong> 7 V <strong>de</strong> latechnologie BiCMOS 0,8 m, nous utilisons maintenant une tension <strong>de</strong> 5V.Enfin, biologiquement, les tensions <strong>de</strong> membrane évoluent entre environ -70 mV <strong>et</strong> +100 mV,cependant afin d'optimiser le rapport signal sur bruit nous utilisons un rapport 10 sur cestensions pour nos circuits électroniques. L'excursion <strong>de</strong> 1 Vqui en résulte est assezimportante par rapport à la tension d'alimentation <strong>de</strong> 5 V, il faut tenir compte <strong>de</strong> c<strong>et</strong>tecontrainte lors <strong>de</strong> la conception <strong>de</strong> nos opérateurs.Nous choisissons une approche modulaire, chaque opérateur est conçu <strong>de</strong> façon indépendanteen respectant les critères précé<strong>de</strong>nts <strong>et</strong> ils constituent ainsi une bibliothèque réutilisable. Lesconductances ioniques sont ensuite composées d'un assemblage <strong>de</strong> ces briques élémentairesque nous allons maintenant détailler.50


Chapitre II : circuits <strong>analogique</strong>s élémentaires3.1.Convertisseurs tension-courant <strong>et</strong> courant-tension.Dans le sens tension-courant, nous avons besoin d'un convertisseur à entrée différentielle <strong>et</strong>doté d'une large plage d'entrée. Il existe un très grand nombre <strong>de</strong> circuits réalisant c<strong>et</strong>tefonction, mais la technique la plus simple est d'utiliser une paire différentielle CMOS.L'analyse en grands signaux montre cependant un plage linéaire assez étroite, <strong>et</strong> pour l'élargirsans trop augmenter la complexité nous avons choisi d'utiliser une paire entrecroisée[DUPUIE 90].biasI SS1I SS2V d M1 M3M4 M2Figure 2-4 : linéarisation <strong>de</strong> la fonction <strong>de</strong> transfert d'une paire différentielle MOS. M 1 , M 2<strong>de</strong> dimensions (W/L) 1 <strong>et</strong> M 3 , M 4 dimensions (W/L) 2 .Le courant différentiel d'une paire CMOS simple s'exprime classiquement par :K 2 ISS 2ISSK.V d.1Vd, Vd2ISSKI0 ID1 ID2 (2.2)ISSISS.sgnVd,VdKAvec V d entrée différentielle, I SS courant <strong>de</strong> polarisation <strong>de</strong> la paire différentielle <strong>et</strong>1 WK Cox.2 LSi nous effectuons un développement en série <strong>de</strong> l'expression du courant différentiel dans lazone non saturée :321 K 3I0 2ISSKVd 0 - Vd 0 -....(2.3)2 2 ISS51


Chapitre II : circuits <strong>analogique</strong>s élémentairesnous remarquons que le premier terme non linéaire est d'ordre trois <strong>et</strong> qu'il peut être annulé ensoustrayant les courants <strong>de</strong> <strong>de</strong>ux paires différentielles convenablement dimensionnées. Lesparamètres sont les courants <strong>de</strong> polarisation I SS <strong>de</strong>s paires <strong>et</strong> les dimensionsK 1C2<strong>de</strong>s transistors, pour le schéma <strong>de</strong> la figure 2-4 la condition d'annulation <strong>de</strong> ce terme s'écrit :oxWL W/L W/L<strong>et</strong> la transconductance <strong>de</strong> l'ensemble vaut :1232 I ISS1SS212(2.4)gméquivalent 2I K 2I K(2.5)SS11SS22En choisissant I SS1 = 2I SS2 pour gar<strong>de</strong>r un courant <strong>de</strong> sortie suffisant, l'annulation du terme3non-linéaire du troisième ordre est obtenue pour 1 2W/L 2W/L . C'est l'approche quenous avons choisie.Ci-<strong>de</strong>ssous nous traçons les erreurs <strong>de</strong> non-linéarité relative comparées d'une paire simple <strong>et</strong><strong>de</strong> la paire entrecroisée <strong>de</strong> la figure 2-4 obtenues par simulation.119% d'erreur relative <strong>de</strong> non-linéarité sur I diff7paire simple5paire entrecroisée31V diff normalisé0,0 0,1 0,2 0,3 0,4 0,5 0,6 0,7 0,8 0,9 1,0-1Figure 2-5 : simulation <strong>de</strong> l'erreur <strong>de</strong> non-linéarité relative d'une paire simple <strong>et</strong> <strong>de</strong> la paireentrecroisée <strong>de</strong> la figure 2-4. La paire simple est dimensionnée pour avoir la mêm<strong>et</strong>ransconductance <strong>et</strong> le même courant <strong>de</strong> saturation que la paire entrecroisée.Pour la paire simple :L'abscisse est normalisée en divisant par la valeur <strong>de</strong> saturation,Vdiffx .ISSK52


Chapitre II : circuits <strong>analogique</strong>s élémentaires L'erreur est donnée par rapport à la valeur maximale théorique :Pour la paire double :Imax gm.ISSK2ISSK.ISSK2. IL'abscisse est normalisée en divisant par la valeur <strong>de</strong> saturation,SSdiffx .IVSS2K2 L'erreur est donnée par rapport à la valeur maximale théorique :Imax gm.ISS2K24ISS2K12ISS2K2.ISS2K2Ce montage nous perm<strong>et</strong> donc d'améliorer la plage <strong>de</strong> linéarité <strong>de</strong> façon significative sansperte excessive <strong>de</strong> surface. Pour une erreur <strong>de</strong> linéarité inférieure à 1%, nous avons élargi laplage d'entrée <strong>de</strong> 40% à 80% <strong>de</strong> la plage maximale.Les conversions inverses courant-tension sont réalisées simplement en utilisant <strong>de</strong>srésistances. L'inconvénient <strong>de</strong> c<strong>et</strong>te technique provient <strong>de</strong> la mauvaise précision <strong>de</strong>srésistances intégrées. L'appariement <strong>de</strong>s résistances étant bien meilleur, une amélioration peutêtre obtenue si l'on introduit un rapport <strong>de</strong> résistance dans l'expression totale <strong>de</strong> la fonction d<strong>et</strong>ransfert. Pour obtenir ce résultat, nous avons utilisé un autre type <strong>de</strong> convertisseur tensioncourantdépendant d'une résistance. Le montage est conçu autour d'un convoyeur <strong>de</strong> courantimplémenté en CMOS comme proposé par ses inventeurs, A<strong>de</strong>l SEDRA <strong>et</strong> K C SMITH[SEDRA 90].Le convoyeur <strong>de</strong> courant <strong>de</strong> secon<strong>de</strong> génération (CCII) est un bloc <strong>analogique</strong> à troisterminaux (voir figure 2-6) dont les propriétés idéales sont les suivantes :VX VIY 0IZ IXUn grand nombre d'applications <strong>analogique</strong>s peuvent être réalisées avec ce montage. Pournotre part nous obtenons une conversion tension-courant linéaire en introduisant unerésistance R sur le terminal X. La tension d'entrée est appliquée sur Y <strong>et</strong> donc recopiée sur XY(2.6)53


Chapitre II : circuits <strong>analogique</strong>s élémentaires<strong>et</strong> la résistance, le courantIXVXVY se r<strong>et</strong>rouve en sortie Z puisque IZ IX. NousR Ravons bien réalisé une conversion tension-courant <strong>de</strong> gain constant R1 .A) B)Yconvoyeur<strong>de</strong> courantXZI Z =I XYX+-ZI XR 1V X =V YFigure 2-6 : A) principe <strong>de</strong> la conversion tension-courant à base <strong>de</strong> convoyeur <strong>de</strong> courant. B)réalisation d'un convoyeur <strong>de</strong> courant avec un amplificateur opérationnel.Une réalisation pratique du CCII est illustrée par la figure 2-6-B, les entrées hautesimpédances d'un amplificateur opérationnel CMOS servent à la réalisation <strong>de</strong>s terminaux X <strong>et</strong>Y <strong>et</strong> perm<strong>et</strong>tent d'obtenir les <strong>de</strong>ux premières conditions <strong>de</strong>s équations (2.6). Le double miroir<strong>de</strong> l'étage <strong>de</strong> sortie assure la réalisation <strong>de</strong> la <strong>de</strong>rnière condition en recopiant le courant entrantI X sur la sortie Z.3.2.Additionneur.La réalisation <strong>de</strong> c<strong>et</strong>te opération est très simple en mo<strong>de</strong> courant puisqu'il suffit <strong>de</strong> connecterentre elles les sorties <strong>de</strong>s générateurs <strong>de</strong> courant pour les additionner.La seule contrainte pour la réalisation pratique est <strong>de</strong> concevoir <strong>de</strong>s étages <strong>de</strong> sorties qui secomportent vraiment comme <strong>de</strong>s sources <strong>de</strong> courants, leur impédance <strong>de</strong> sortie étant aussigran<strong>de</strong> que possible, en tout cas par rapport à l'impédance d'entrée <strong>de</strong> l'étage suivant.C<strong>et</strong>te simplicité est un avantage majeur par rapport à la sommation <strong>de</strong> tensions qui réclameraitune circuiterie plus complexe, par exemple à base d'amplificateur opérationnels.3.3.Multiplieurs.C'est le premier élément pour lequel nous utilisons <strong>de</strong>s transistors bipolaires. Il existe en eff<strong>et</strong>une littérature importante consacrée à la réalisation <strong>de</strong> multiplieur en technologie MOS, maisles circuits proposés restent toujours <strong>de</strong> surface importante. Un bon point <strong>de</strong> départ pour54


Chapitre II : circuits <strong>analogique</strong>s élémentairesl'étu<strong>de</strong> <strong>de</strong>s différentes possibilités est, par exemple, l'article <strong>de</strong> synthèse <strong>de</strong> G. HAN [HAN 98]qui les classifie en huit types distincts <strong>et</strong> énumère un grand nombre <strong>de</strong> références. Un <strong>de</strong>sproblèmes majeurs <strong>de</strong> ces implémentations reste la déviation <strong>de</strong> la relation quadratique idéaleI D =f(V GS ) du transistor MOS <strong>de</strong> faible taille en comparaison à l'extrême précision <strong>de</strong> larelation exponentielle I c =f(V be ) du transistor bipolaire.A l'emploi <strong>de</strong> transistor MOS, nous avons donc préféré l'utilisation <strong>de</strong>s boucles translinéairesbipolaires plus précises [GILBERT 90]. Nous utilisons <strong>de</strong>ux types <strong>de</strong> multiplieurs décrits parc<strong>et</strong> auteur.3.3.1. Multiplieur "b<strong>et</strong>a-immune".I 1 I 3 I 4 I 2Q 1 Q 3 Q 4 Q 2I AFigure 2-7 : multiplieur <strong>de</strong> type "b<strong>et</strong>a-immune" [TOUMAZOU 90].Les transistors Q 1 à Q 4 forment une boucle translinéaire, la somme <strong>de</strong> leurs tensions V BE estnulle :VBE1 V V V 0(2.7)BE3La caractéristique d'un transistor bipolaire npn exprimant le courant <strong>de</strong> collecteur Ic enfonction <strong>de</strong> la tension <strong>de</strong> comman<strong>de</strong> V BE est :BE4BE2I IC Isat Vexp UBET I VBE UTln(2.8) Isat 55


Chapitre II : circuits <strong>analogique</strong>s élémentairesd'après (2.7) <strong>et</strong> (2.8) <strong>et</strong> en supposant que les quatre transistors soient i<strong>de</strong>ntiques (même I sat ) :UT Iln I1sat UT Iln I4sat UT Iln I1I4 I3I23sat UT Iln I I(2.9)2satLa paire différentielle Q 1 Q 2 polarisée par le courant I A implique que les courants I 1 <strong>et</strong> I 2 soientdifférentiels équilibrés, ils peuvent s'écrire sous la forme :II12IA (1 Y)2(2.10)IA (1 Y)2Si nous appliquons sur Q 3 , Q 4 un signal différentiel équilibré (provenant par exemple duconvertisseur entrecroisé du paragraphe 3.1), il s'écrit aussi sous la forme :L'équation (2.9) implique alors :(1 Y)IA X YII34Ix (1 X)2(2.11)Ix (1 X)2Ix(1 X) (1 Y)I2AIx(1 X)2Les courants dans les <strong>de</strong>ux paires différentielles sont i<strong>de</strong>ntiques, à un facteur d'échelle prés :IA1I2 Y.IA . I3 I4IX(2.12)I (2.13)Nous avons donc réalisé un multiplieur <strong>de</strong>ux quadrants, une entrée étant unipolaire I A <strong>et</strong>l'autre bipolaire I 3 -I 4 .56


Chapitre II : circuits <strong>analogique</strong>s élémentaires3.3.2. Multiplieur log-antilog.I AI CQ AQ BQ 0Q CI BI 0Figure 2-8 : multiplieur log-antilog [TOUMAZOU 90].Les transistors Q A , Q B , Q C <strong>et</strong> Q 0 forment <strong>de</strong> nouveau une boucle translinéaire qui perm<strong>et</strong>d'obtenir la relation :I I I I(2.14)ABSi nous considérons I C comme étant la sortie, nous obtenons c<strong>et</strong>te fois un multiplieur simplequadrant dont les entrées sont I A <strong>et</strong> I B unipolaires, I 0 servant <strong>de</strong> facteur <strong>de</strong> normalisation.C0bias1I AQ AQ A2Q 02Q 03Q CI B I 0bias1Figure 2-9 : utilisation du principe du multiplieur log-antilog pour effectuer une élévation àpuissance.Nous avons besoin d'un opérateur effectuant une élévation à la puissance trois d'un <strong>de</strong>s termes.Nous reprenons le même principe mais en introduisant <strong>de</strong>s transistors supplémentaires dans la57


Chapitre II : circuits <strong>analogique</strong>s élémentairesboucle. Pour la figure 2-9 le principe translinéaire s'écrit :I3AI IB Ipuissance3CI0 ICI3B.IA3I0(2.15)Le schéma montre aussi un miroir <strong>de</strong> courant en entrée servant à l'adaptation <strong>de</strong> mo<strong>de</strong>commun avec l'étage précé<strong>de</strong>nt.3.4.Fonction sigmoïdale.Pour les variables d'activation <strong>et</strong> d'inactivation, nous avons besoin <strong>de</strong> synthétiser une fonctionsigmoïdale dont nous rappelons l'expression :1f(Vmem ) (2.16) Vmem Vseuil1 exp Pente La relation exponentielle <strong>de</strong> la caractéristique du transistor bipolaire (2.8) se montre là encoreindispensable. Comme l'illustre la figure 2-10, la synthèse proprement dite est relativementsimple puisque le courant dans une <strong>de</strong>s branches d'une paire différentielle bipolaire présente lacaractéristique souhaitée :I0IC2 f(V2) (2.17) V21 exp UT I sigV memV seuilgainV V 1 A(Vpente ) 2 =AV 1V penteQ 1 Q 2bias1I 0Figure 2-10 : schéma <strong>de</strong> principe <strong>de</strong> la synthèse d'une fonction sigmoïdale à l'ai<strong>de</strong> d'unepaire différentielle bipolaire.58


Chapitre II : circuits <strong>analogique</strong>s élémentairesIl suffit <strong>de</strong> rajouter un étage <strong>de</strong> gain en tension commandé pour introduire la possibilitéd'ajustement du paramètre Pente :I0Isig (2.18) Vmem- Vseuil1exp UT/AL'implémentation complète est présentée par le schéma <strong>de</strong> la figure 2-11.bias2V seuilbias2V memV penteQ 1 Q 3Q 4 Q 2R 1R RI sigbias1II 0AFigure 2-11 : schéma compl<strong>et</strong> du circuit réalisant la synthèse d'une fonction sigmoïdale.L'étage <strong>de</strong> gain est réalisé autour du multiplieur b<strong>et</strong>a-immune constitué par Q 1 , Q 2 , Q 3 <strong>et</strong> Q 4 .Ses entrées sont les suivantes : l'entrée différentielle provient <strong>de</strong> la conversion tension-courant à paire entrecroisée(paragraphe 3.1) du signal V mem -V seuil :I I g (V V )(2.19)3 4 méquivalent mem seuill'entrée unipolaire est fourni par un second convertisseur réalisé c<strong>et</strong>te fois par unconvoyeur <strong>de</strong> courant <strong>et</strong> la résistance R 1 . Le convoyeur est conforme au principe présentéfigure 2-6, mais, comme nous n'avons besoin que d'un courant unipolaire, seule unebranche du miroir <strong>de</strong> courant est implantée :IAVpente ,Vpente 0(2.20)R1La sortie du multiplieur est ensuite convertie en tension par une paire <strong>de</strong> résistances R, pourêtre appliquée à l'entrée <strong>de</strong> la paire différentielle bipolaire qui fournit un courant sigmoïdal. Le59


Chapitre II : circuits <strong>analogique</strong>s élémentairesgain global <strong>de</strong> c<strong>et</strong> étage s'exprime par :V<strong>et</strong>, en substituant (2.19) <strong>et</strong> (2.20) :V2V A RRI (2.21)AI- I R I 2R2 13I4IXV AV1 RRpente1gpente1gméquivalentméquivalentVmem Vseuil(2.22)en choisissant R=R 1 <strong>et</strong> en combinant (2.16) <strong>et</strong> (2.22) nous obtenons :I0f(Vmem) Vmem V1exp PenteUTavec Pente g Vméquivalentpenteseuil(2.23)2,5I sig (A)2,01,51,00,5V mem (V)0,01,0 1,5 2,0 2,5 3,0 3,5 4,0Figure 2-12 : simulation du circuit <strong>de</strong> synthèse <strong>de</strong> sigmoï<strong>de</strong> pour différentes valeurs <strong>de</strong>sparamètres V pente <strong>et</strong> V seuil .La fonction sigmoïdale obtenue comporte <strong>de</strong>ux paramètres ajustables, la pente à la <strong>de</strong>miactivation<strong>et</strong> le seuil qui correspond au point <strong>de</strong> <strong>de</strong>mi-activation. Le choix du type <strong>de</strong> variable,activation ou inactivation, se fait en inversant les <strong>de</strong>ux entrées V mem <strong>et</strong> V seuil .Enfin notons que théoriquement ces fonctions sont comprises entre 0 <strong>et</strong> 1 maisqu'électroniquement elles sont représentées par un courant variant entre 0 <strong>et</strong> I 0 . Il est doncnécessaire <strong>de</strong> normaliser tout produit <strong>de</strong> fonction d'activation en divisant par ce courantconstant I 0 .60


Chapitre II : circuits <strong>analogique</strong>s élémentairesL'ensemble du circuit a été dimensionné pour pouvoir faire varier le paramètre Pente entre 70<strong>et</strong> 500 mV.3.5.Intégrateur.Les fonctions sigmoïdales que nous venons <strong>de</strong> décrire sont les valeurs asymptotiques <strong>de</strong>svariables d'états du formalisme d'Hodgkin <strong>et</strong> Huxley. En eff<strong>et</strong> activation <strong>et</strong> inactivationten<strong>de</strong>nt vers ces fonctions en suivant une équation différentielle du premier ordre :dn(t) n (Vmem ) n(t)(2.24)dtNous résolvons ces équations en utilisant un circuit structuré selon la boucle représentée par lafigure suivante :A-IinA+B (µA/V)IoutCFigure 2-13 : schéma <strong>de</strong> principe r<strong>et</strong>enu pour la résolution <strong>de</strong>s équations différentielles dupremier ordre. Les atténuateurs A sont placés avant la différentiation pour ne pas avoir àmanipuler un courant bipolaire.Si l'on écrit la tension aux bornes du con<strong>de</strong>nsateur C :Sachant d'autre part que :Nous obtenons :1 AV Idt I I C in outdt(2.25)C CIout B.V C(2.26)Iout A IB CC dIoutAB dtin I Iinout Idtout(2.27)61


Chapitre II : circuits <strong>analogique</strong>s élémentairesCe qui correspond bien à l'équation à résoudre (2.24) avec comme constante <strong>de</strong> tempsC .ABL'intégration <strong>de</strong> constantes <strong>de</strong> temps élevées qui nous est nécessaire est un problème qui sepose pour la réalisation <strong>de</strong> filtre à très basse fréquence <strong>de</strong> coupure [DEGUELLE 98],[STEYAERT 91], [WIEGERINK 89]. Dans ces trois cas les auteurs utilisent un schéma <strong>de</strong>principe similaire à celui <strong>de</strong> la figure 2-13 avec <strong>de</strong>s facteurs d'atténuation A élevés pourlimiter C à une valeur intégrable.Nous <strong>de</strong>vons réaliser <strong>de</strong>s constantes <strong>de</strong> temps variant entre 10 ms <strong>et</strong> 1 s. L'intégration d'uneconstante <strong>de</strong> temps <strong>de</strong> 1 s en utilisant un con<strong>de</strong>nsateur <strong>de</strong> 1 pF impliquerait un<strong>et</strong>ransconductance totale AB <strong>de</strong> 1 pA/V, <strong>et</strong> même avec une transconductance B faible le facteurd'atténuation A serait encore très important.Nous avons donc préféré gar<strong>de</strong>r un con<strong>de</strong>nsateur externe, au détriment du nombre <strong>de</strong> broches,<strong>et</strong> utiliser un atténuateur commandé perm<strong>et</strong>tant <strong>de</strong> régler électriquement la valeur <strong>de</strong> laconstante <strong>de</strong> temps [VAN DER SPIEGEL 92]. Il est représenté à la figure ci-<strong>de</strong>ssous.bias2I inTauQ Q 6 7I outQ Q 23Q 1 Q 4Figure 2-14 : atténuateur commandé.L'atténuateur est réalisé autour d'un multiplieur log-antilog formé par Q 1 , Q 2 , Q 3 <strong>et</strong> Q 4 quivérifie la relation suivante :62III22out I4 I1. Iin.(2.28)I3I3L'atténuation est donc égale au rapport entre les <strong>de</strong>ux courants I 2 <strong>et</strong> I 3 qui proviennent d'unepaire différentielles MOS simple dont une <strong>de</strong>s entrées est fixée au point milieu <strong>de</strong>salimentations.


Chapitre II : circuits <strong>analogique</strong>s élémentairesFinalement le schéma compl<strong>et</strong> est présenté à la figure 2-15. L'amplificateur àtransconductance B est aussi une simple paire différentielle. Ces dimensions ont été choisiespour limiter l'excursion <strong>de</strong> V c , tension aux bornes du con<strong>de</strong>nsateur d'intégration C, à environ250 mV <strong>et</strong> ainsi garantir la linéarité <strong>de</strong> la conversion sur c<strong>et</strong>te plage.bias2bias2TauI inCapaI outFigure 2-15 : schéma compl<strong>et</strong> du circuit <strong>de</strong> résolution <strong>de</strong> l'équation différentielle du premierordre.Un double miroir perm<strong>et</strong> d'obtenir la sortie <strong>et</strong> le courant rebouclé vers un <strong>de</strong>s atténuateurs.Nous avons utilisé <strong>de</strong>ux atténuateurs situés avant la différentiation plutôt qu'un seul après, carla différence <strong>de</strong> courant obtenue y <strong>de</strong>vient bipolaire.2,0I (A)6 (ms)51,541,030,52A)t (ms)0,00 5 10 15 20 25 30 35 40B)1V tau (V)01,9 2,1 2,3 2,5 2,7 2,9 3,1Figure 2-16 : simulation avec un con<strong>de</strong>nsateur C <strong>de</strong> 10 nF A) <strong>de</strong> la réponse impulsionelle ducircuit <strong>de</strong> la figure 2-15 pour différentes valeurs d'atténuation. B) <strong>de</strong> la constante <strong>de</strong> temps enfonction <strong>de</strong> la tension <strong>de</strong> comman<strong>de</strong> <strong>de</strong> l'atténuateur.Avec un con<strong>de</strong>nsateur externe d'une valeur <strong>de</strong> 10 nF, les simulations <strong>de</strong> ce circuit perm<strong>et</strong>tentd'observer une constante <strong>de</strong> temps variant sur un peu plus d'une déca<strong>de</strong> entre 0,1 ms <strong>et</strong> 5 ms.63


Chapitre II : circuits <strong>analogique</strong>s élémentaires3.6.Mémoire <strong>analogique</strong> intégrée.Des mémoires <strong>analogique</strong>s intégrées sont utilisées pour mémoriser la calibration d'un capteur,les dispersions d'un circuit <strong>analogique</strong> (par exemple l'offs<strong>et</strong> d'une paire différentielle) ou,comme c'est notre cas ici, les paramètres d'un circuit neuronal programmable.Pour nos ASICs les paramètres sont principalement <strong>de</strong>s tensions <strong>analogique</strong>s appliquées auxentrées <strong>de</strong>s opérateurs arithmétiques déjà décrits. Lors <strong>de</strong>s phases <strong>de</strong> test, pour obtenir cestensions, nous avons utilisé <strong>de</strong>s diviseurs potentiométriques externes nécessitant un réglagemanuel, puis, pour plus <strong>de</strong> souplesse, nous les avons remplacés par <strong>de</strong>s convertisseursnumérique-<strong>analogique</strong> (CNA). L'usage <strong>de</strong> CNA programmés par un ordinateur nous a permis<strong>de</strong> systématiser nos tests <strong>et</strong> <strong>de</strong> stocker dans <strong>de</strong>s fichiers les jeux <strong>de</strong> paramètres <strong>de</strong>s différentsmodèles étudiés. C'est c<strong>et</strong>te métho<strong>de</strong> que nous avons r<strong>et</strong>enue pour certaines <strong>de</strong> nosapplications (Voir chapitre IV). L'inconvénient majeur <strong>de</strong> c<strong>et</strong>te solution est le grand nombre<strong>de</strong> broches nécessaires (un neurone à <strong>de</strong>ux conductances comporte déjà 14 paramètres <strong>et</strong> doncautant <strong>de</strong> broches). L'intégration d'une gran<strong>de</strong> quantité <strong>de</strong> <strong>neurones</strong> n'est alors plus limitée parla surface <strong>de</strong> silicium occupée par la partie active du circuit mais par ce nombre <strong>de</strong> broches.Il est donc nécessaire <strong>de</strong> m<strong>et</strong>tre au point un dispositif <strong>de</strong> mémorisation intégré comportant lemoins d'entrées/sorties possible.Murray <strong>et</strong> Woodburn [MURRAY 99] discutent <strong>de</strong>s différentes possibilités <strong>de</strong> mémorisation<strong>de</strong>s poids synaptiques pour circuits <strong>de</strong> <strong>neurones</strong> formels <strong>analogique</strong>s. Bien que nosapplications nécessitent <strong>de</strong> mémoriser une plus gran<strong>de</strong> variété <strong>de</strong> paramètres, les approchesutilisables sont similaires. C'est donc, là encore, dans c<strong>et</strong>te littérature <strong>de</strong>s réseaux <strong>de</strong> <strong>neurones</strong>formels que nous avons trouvé la plupart <strong>de</strong> nos exemples.Une métho<strong>de</strong> directe consisterait à intégrer mémoire numérique <strong>et</strong> convertisseurs numérique<strong>analogique</strong>.Par exemple, Ross<strong>et</strong>o a intégré <strong>de</strong>s convertisseurs 4 bits à son "systèmeneuromimétique" décrit dans [ROSSETO 91]. Pour un grand nombre <strong>de</strong> paramètres <strong>et</strong> au <strong>de</strong>là<strong>de</strong> quelques bits <strong>de</strong> précision c<strong>et</strong>te technique n'est plus envisageable en raison <strong>de</strong> la surface <strong>de</strong>silicium qu'elle occuperait. De plus, si le nombre <strong>de</strong> bits du convertisseur est trop faible, ladiscrétisation <strong>de</strong> la plage <strong>de</strong> conversion fait qu'il <strong>de</strong>vient difficile <strong>de</strong> parler <strong>de</strong> gran<strong>de</strong>ur<strong>analogique</strong> (au sens continûment variable). La précision <strong>et</strong> la quantité <strong>de</strong> paramètres requis parnos circuits ne sont pas compatibles avec c<strong>et</strong>te approche <strong>et</strong> nous avons préféré en r<strong>et</strong>enir <strong>de</strong>uxautres.64


Chapitre II : circuits <strong>analogique</strong>s élémentaires3.6.1. Métho<strong>de</strong> dynamique : échantillonneur-bloqueur.Le principe est d'utiliser un con<strong>de</strong>nsateur pour stocker une charge représentant la valeur<strong>analogique</strong> à mémoriser. La valeur désirée est appliquée par l'intermédiaire d'un interrupteur<strong>analogique</strong>. La circuit réalisé est en fait un élément classique <strong>de</strong> la microélectronique : c'est unéchantillonneur-bloqueur.Le schéma le plus simple pour réaliser ce sous-bloc utilise un transistor MOS commeinterrupteur. Malheureusement <strong>de</strong>ux phénomènes induisent une perturbation <strong>de</strong> la valeur d<strong>et</strong>ension mémorisée sur le con<strong>de</strong>nsateur par injection <strong>de</strong> charge lors du blocage du transistor[WEGMANN 87], [WILSON 85].A) V GB) V GV e -ref V CV refC memC memCon<strong>de</strong>nsateur parasite<strong>de</strong> recouvrementV CFigure 2-17 : injections <strong>de</strong> charges dues au transistor MOS <strong>de</strong> dimensions W, L. A)réarrangement <strong>de</strong>s charges formant le canal lors du blocage du transistor. B) Couplagecapacitif du signal <strong>de</strong> comman<strong>de</strong>.Ces perturbations sont illustrées par la figure précé<strong>de</strong>nte :Réarrangement <strong>de</strong>s charges du canal.Les charges formant le canal du transistor pendant la conduction sont redistribuées versses source <strong>et</strong> drain. Dans le cas d'un NMOS ces charges sont négatives, elles produisentdonc une variation <strong>de</strong> tension négative sur le con<strong>de</strong>nsateur <strong>de</strong> mémorisation C mem .La répartition <strong>de</strong> ces charges <strong>de</strong> part <strong>et</strong> d'autre du canal, <strong>et</strong> donc la partie injectée dans lecon<strong>de</strong>nsateur C mem , dépend du rapport entre les capacités situées <strong>de</strong> chaque côté <strong>de</strong>l'interrupteur <strong>et</strong> d'un facteur intermédiaire B défini <strong>de</strong> la façon suivante :0CWoxB VDD VTeL(2.29)aCmemavec :- V DD niveau logique haut,- V Te seuil effectif qui dépend linéairement <strong>de</strong> V ref en raison <strong>de</strong> l'eff<strong>et</strong> substrat,- a valeur absolue <strong>de</strong> la pente <strong>de</strong> V G pendant la commutation.65


Chapitre II : circuits <strong>analogique</strong>s élémentairesLa charge totale q tot qui est redistribuée vaut :totoxDDTeq C .WL. V - V(2.30)Le graphe suivant montre la valeur calculée <strong>de</strong> la proportion <strong>de</strong> charge injectée en fonction<strong>de</strong> ces paramètres [VITTOZ 85].Figure 2-18 : simulation <strong>de</strong> la fraction q <strong>de</strong> la charge totale q tot injectée dans lecon<strong>de</strong>nsateur C mem en fonction <strong>de</strong> la valeur du con<strong>de</strong>nsateur d'entrée C i <strong>et</strong> du paramètreB, d'après [VITTOZ 85].Au regard <strong>de</strong> ces courbes, plusieurs approches sont possibles pour limiter l'injection enjouant sur les différents paramètres. D'autre part, il existe <strong>de</strong> nombreux circuits visant àcompenser c<strong>et</strong>te injection [LIM 91], [JOHANSSON 98], mais ces approches nécessitentune surface <strong>de</strong> silicium trop importante pour notre application.L'un <strong>de</strong>s problèmes majeurs reste la dépendance à la tension d'entrée <strong>de</strong> la charge injectéequi provient <strong>de</strong> V Te dans (2.30). C<strong>et</strong>te dépendance induit un décalage non-constant sur lavaleur mémorisée. Couplage capacitif :le con<strong>de</strong>nsateur <strong>de</strong> recouvrement grille/drain forme avec C mem un diviseur capacitif quicouple les fronts du signal <strong>de</strong> comman<strong>de</strong> V G du transistor.VCrecmem VG(2.31)Crec CmemavecC WL C valeur du con<strong>de</strong>nsateur <strong>de</strong> recouvrement.recrecoxL'erreur est c<strong>et</strong>te fois un simple décalage indépendant du signal à mémoriser V ref <strong>et</strong>provoque une erreur systématique moins préjudiciable que la précé<strong>de</strong>nte.66


Chapitre II : circuits <strong>analogique</strong>s élémentairesOutres ces erreurs <strong>de</strong> décalage, d'autres éléments doivent être considérés : Plage <strong>de</strong> conduction :le transistor canal N reste bloqué pour un signal d'entrée V ref d'amplitu<strong>de</strong> supérieure àV DD -V Tn , la plage <strong>de</strong> tension mémorisable sur le con<strong>de</strong>nsateur est donc [0, V DD -V Tn ].Symétriquement si l'on utilise un transistor canal P, la plage est <strong>de</strong> [V Tp , V DD ]. Fréquence <strong>de</strong> fonctionnement :en considérant en première approximation l'interrupteur fermé comme une résistance, lecircuit se comporte comme un réseau RC dont le temps d'établissement limite la fréquencemaximale <strong>de</strong> fonctionnement.Si le transistor sort <strong>de</strong> sa zone <strong>de</strong> fonctionnement ohmique, il apparaît alors comme ungénérateur <strong>de</strong> courant constant <strong>et</strong> la vitesse <strong>de</strong> charge <strong>de</strong> C mem , donc la fréquence <strong>de</strong>fonctionnement, est <strong>de</strong> nouveau limitée. Temps <strong>de</strong> maintien :quand le transistor est bloqué, interrupteur ouvert, la valeur <strong>de</strong> la résistance équivalentequ'il présente au con<strong>de</strong>nsateur C mem est très élevée (> GOhm). En fait le con<strong>de</strong>nsateur sedécharge en raison du courant <strong>de</strong> fuite <strong>de</strong> la jonction bipolaire polarisée en inverse dudrain. C<strong>et</strong>te décharge <strong>et</strong> la valeur du con<strong>de</strong>nsateur fixent la limite maximale du temps <strong>de</strong>maintien pour une précision donnée. Avec <strong>de</strong>s courants <strong>de</strong> fuites typiques <strong>de</strong> l'ordre <strong>de</strong>1 pA, la décharge d'un con<strong>de</strong>nsateur <strong>de</strong> 1 pF est <strong>de</strong> 1 V/s. Ces courants impliquentl'utilisation d'un mécanisme <strong>de</strong> "rafraîchissement" qui vient régulièrement recharger lecon<strong>de</strong>nsateur.La conception d'un échantillonneur-bloqueur doit prendre en compte ces différentsparamètres, mais pour l'utiliser comme mémoire <strong>analogique</strong>, nous <strong>de</strong>vons avant tout choisirun schéma électrique pour son implémentation ainsi qu'une stratégie <strong>de</strong> rafraîchissement.Le nombre important <strong>de</strong> mémoires dont nous avons besoin nous contraint à utiliser un schémaminimal sans dispositif <strong>de</strong> compensation complexe <strong>de</strong> l'injection <strong>de</strong> charge.Nous avons relevé plusieurs approches pour la métho<strong>de</strong> <strong>de</strong> rafraîchissement : Les valeurs sont rafraîchies en boucle à l'ai<strong>de</strong> d'une mémoire numérique <strong>et</strong> d'unconvertisseur numérique-<strong>analogique</strong> [SATYANARAYANA 92].67


Chapitre II : circuits <strong>analogique</strong>s élémentaires Les con<strong>de</strong>nsateurs sont chargés <strong>de</strong> façon incrémentale par une boucle <strong>de</strong> rétroaction dontla fonction <strong>de</strong> transfert implémente en fait l'algorithme d'apprentissage. La valeur absoluemémorisée reste inconnue [MONTALVO 97]. A mi-chemin entre ces <strong>de</strong>ux métho<strong>de</strong>s, une valeur initiale est fournie par un convertisseurnumérique-<strong>analogique</strong>, puis un dispositif échantillonne en boucle les valeurs mémoriséespour les rafraîchir à la valeur discrète la plus proche. Avec c<strong>et</strong>te technique la mémoirenumérique <strong>de</strong>vient inutile <strong>et</strong> les mémoires <strong>analogique</strong>s gar<strong>de</strong>nt un accès en lecture <strong>et</strong> enécriture sous forme numérique [MANN 88], [CAUWENBERGHS 96], [MURRAY 89].Nous présenterons l'approche que nous avons choisie <strong>de</strong> suivre au chapitre suivant.3.6.2. Métho<strong>de</strong> non-volatile : mémoire à grille flottante.Le principe utilisé est simple, là encore la valeur à mémoriser est stockée sous forme <strong>de</strong>charges électriques mais, pour supprimer tout courant <strong>de</strong> fuite, l'armature qui porte cescharges est entièrement noyée dans un isolant. Elle constitue la grille d'un transistor MOS quiservira à la "lecture" <strong>de</strong> la mémoire en mesurant la charge stockée, d'où l'appellation <strong>de</strong> "grilleflottante". La charge piégée ne pouvant normalement pas varier, même lors d'une coupured'alimentation électrique, elle peut servir à mémoriser une information <strong>de</strong> façon permanente :la mémorisation est dite non-volatile <strong>et</strong> le rafraîchissement <strong>de</strong>vient inutile.Initialement, ce principe a été utilisé pour la fabrication <strong>de</strong> mémoires numériques. La première<strong>de</strong>scription d'un tel dispositif est attribuée à Kahng <strong>et</strong> Sze en 1967 [KAHNG 67]. Lespremières EPROM (Electrically Programmable Read Only Memory) sont commercialisées<strong>de</strong>puis 1971 par la société Intel : seule l'écriture est réalisée électriquement, l'effacement sefait par exposition à un faisceau ultraviol<strong>et</strong> (UV). Il faut attendre 1977 pour voir apparaître <strong>de</strong>smémoires effaçables électriquement. Actuellement les produits <strong>de</strong> ce type sont omniprésentsau sein <strong>de</strong> nos appareils ménagers.D'autres dispositifs non-volatils existent, nous ne les avons pas considérés car, à l'inverse <strong>de</strong>smémoires à grille flottante, ils ne sont pas réalisables avec <strong>de</strong>s technologies standard. Parexemple les mémoires MNOS (M<strong>et</strong>al-Nitri<strong>de</strong>-Oxi<strong>de</strong>) stockent les charges dans les piéges quiapparaissent à l'interface entre <strong>de</strong>ux isolants. Pour une <strong>de</strong>scription <strong>et</strong> un historique compl<strong>et</strong> lelecteur pourra consulter l'ouvrage Semiconductor memories – 2 nd edition [PRINCE 91] oul'article <strong>de</strong> synthèse [MURRAY 98].68


Chapitre II : circuits <strong>analogique</strong>s élémentairesNous n'avons, jusqu'à présent, parlé que <strong>de</strong> mémoire numérique. Dans tous ces dispositifs, lacharge stockée sur la grille flottante est mesurée <strong>et</strong> comparée à un seuil pour discriminer <strong>de</strong>uxniveaux logiques, 0 ou 1. Pour augmenter les <strong>de</strong>nsité d'intégration <strong>de</strong>s mémoires flash, lesfabricants ont conçu <strong>de</strong>s point mémoires multiniveaux. Au lieu <strong>de</strong> stocker un bit par cellule ilsen stockent <strong>de</strong>ux ou trois, en discriminant, respectivement, quatre ou huit niveaux.La discrétisation réelle <strong>de</strong> l'information mémorisée sur la grille flottante est imposée par lacharge élémentaire <strong>de</strong> l'électron. Nous pouvons considérer c<strong>et</strong>te mémorisation commecontinûment variable <strong>et</strong> elle peut donc servir à représenter un paramètre <strong>analogique</strong> àcondition <strong>de</strong> remplacer les comparateurs <strong>de</strong> sortie par <strong>de</strong> simples dispositifs amplificateurs.C<strong>et</strong>te idée est utilisée <strong>de</strong>puis une dizaine d'années par quelques groupes <strong>de</strong> rechercheuniversitaire, principalement pour <strong>de</strong>s applications <strong>de</strong> compensation <strong>de</strong>s dispersions <strong>de</strong>circuits <strong>analogique</strong>s <strong>et</strong> pour le stockage <strong>de</strong>s paramètres <strong>de</strong> circuits neuronaux programmables[MANN 90].Quel que soit le dispositif <strong>de</strong> lecture perm<strong>et</strong>tant <strong>de</strong> mesurer la charge stockée, les mécanismesd'écriture restent i<strong>de</strong>ntiques. La grille flottante, l'isolant <strong>et</strong> le canal du MOS <strong>de</strong> lecture, ou unesecon<strong>de</strong> grille, constituent un con<strong>de</strong>nsateur. La mise en conduction <strong>de</strong> celui-ci estgénéralement obtenue par l'utilisation d'un <strong>de</strong>s trois procédé suivant : création <strong>de</strong> porteurs par illumination UV, injection <strong>de</strong> porteurs chauds, injection ou r<strong>et</strong>rait par eff<strong>et</strong> tunnel.L'interface conducteur-isolant induit une barrière <strong>de</strong> potentiel dont la hauteur estcaractéristique <strong>de</strong>s matériaux la constituant. Elle provient <strong>de</strong> la différence entre les affinitésélectroniques pour une jonction semiconducteur-isolant ou du travail <strong>de</strong> sortie <strong>et</strong> <strong>de</strong> l'affinitéélectronique pour une jonction métal-isolant (figure 2-19). C'est c<strong>et</strong>te barrière qui s'oppose àla pénétration <strong>de</strong>s électrons libres du conducteur dans l'isolant. Les trois mécanismesphysiques que nous allons décrire perm<strong>et</strong>tent à ces porteurs <strong>de</strong> surmonter c<strong>et</strong>te barrière <strong>et</strong> <strong>de</strong>pénétrer dans l'isolant avec une énergie suffisante pour se trouver dans sa ban<strong>de</strong> <strong>de</strong>conduction. Les charges finissent alors <strong>de</strong> traverser l'isolant par conduction en présence d'unchamps électrique adéquat appliqué au con<strong>de</strong>nsateur.Pour l'interface SiO 2 -Si la barrière est plus élevée pour les trous que pour les électrons, c'estdonc c<strong>et</strong>te <strong>de</strong>rnière catégorie <strong>de</strong> porteurs qui produit la conduction.69


Chapitre II : circuits <strong>analogique</strong>s élémentairesE CEχ= 0,9 eVNVEφ=4,3 eVEχ= 4,1 eVE FE g = 9 eVE g = 1,1 eVE CE VE VMétal AlIsolant SiO 2SiliciumFigure 2-19 : gran<strong>de</strong>urs caractéristiques <strong>de</strong>s hétérojonctions Al-SiO 2 <strong>et</strong> SiO 2 -Si. La barrière<strong>de</strong> potentiel à l'interface SiO 2 -Si vaut : E Si - E SiO2 = 4,1 - 0,9 = 3,2 eV pour les électrons <strong>et</strong>E gSiO2 + E SiO2 - (E gSi + E Si ) = 9 +0,9 -4,1 -1,1 = 4,7 eV pour les trous.3.6.2.1. Mise en conduction <strong>de</strong> l'isolant par un faisceau UV.C<strong>et</strong>te première métho<strong>de</strong> n'est citée que par souci d'exhaustivité. Elle consiste à apportersuffisamment d'énergie aux porteurs par excitation photoélectrique pour qu'ils puissentsurmonter la barrière <strong>de</strong> potentiel que leur oppose l'isolant. Dans ces conditions, l'isolant secomporte comme un conducteur dont la conductivité est pratiquement constante en fonction<strong>de</strong> la tension appliquée mais reste <strong>de</strong> très faible valeur.La nécessité d'utiliser une source lumineuse externe n'est pas compatible avec nos contraintes.Rappelons que nous souhaitons concevoir un dispositif intégré. Le lecteur intéressé pourra sereporter à [ABUSLAND 93] <strong>et</strong> [KERNS 91].hυE CE CE VE VSiliciumIsolant SiO 2SiliciumFigure 2-20 : mise en conduction par excitation photoélectrique, l'énergie h du faisceau crée<strong>de</strong>s paires électron-trou, les électrons ont une énergie suffisante pour surmonter la barrière<strong>de</strong> la jonction.70


Chapitre II : circuits <strong>analogique</strong>s élémentaires3.6.2.2. Injection <strong>de</strong> porteurs chauds.C<strong>et</strong>te fois l'énergie nécessaire pour surmonter la barrière est apportée électriquement : danscertaines conditions les porteurs d'un transistor MOS acquièrent une énergie cinétiquesuffisante pour surmonter la barrière. Il existe en fait <strong>de</strong>ux mécanismes qui perm<strong>et</strong>tent auxporteurs d'obtenir c<strong>et</strong>te énergie cinétique : Accélération <strong>de</strong>s porteurs assurant la conduction d'un transistor MOS saturé par le champélectrique <strong>de</strong> forte intensité à proximité du drain."Les porteurs ne sont plus en équilibre thermodynamique avec le réseau, mais leurs fortesinteractions mutuelles leur perm<strong>et</strong>tent <strong>de</strong> se m<strong>et</strong>tre en équilibre entre eux. Il s'agit alorsd'un pseudo-équilibre thermodynamique, leur distribution énergétique est toujours régiepar une fonction <strong>de</strong> distribution mais avec une température effective propre au gaz <strong>de</strong>porteurs, différente <strong>de</strong> celle du réseau. On qualifie ces porteurs <strong>de</strong> porteurs chauds."[MATHIEU 90] Multiplication <strong>de</strong>s porteurs chauds par avalanche <strong>de</strong> la jonction pn drain-canal polariséeen inverse.Ce mécanisme est lié au précé<strong>de</strong>nt, les porteurs chauds génèrent <strong>de</strong>s paires électron-troupar choc sur les atomes du cristal provoquant leur ionisation. Ces paires sont à leur touraccélérées <strong>et</strong> peuvent créer d'autres paires, c'est le phénomène d'avalanche.contact substratsourcegrilledrainsubstrat PNMOSe -(1)(2) drain N+trousubstrat Pzone <strong>de</strong> déplétionFigure 2-21 : injection d'électrons chauds dans la gille d'un transistor NMOS. (1) lesélectrons acquièrent l'énergie cinétique nécessaire pour surmonter la barrière <strong>de</strong> potentiel <strong>de</strong>l'interface Si-SiO 2 . (2) les électrons chaud créent <strong>de</strong>s paires électrons-trous, les électronssecondaires sont à leur tour accélérés <strong>et</strong> peuvent créer d'autres paires.71


Chapitre II : circuits <strong>analogique</strong>s élémentairesEn fait la création <strong>de</strong> porteurs chauds n'est pas suffisante pour produire l'injection, il faut aussique leurs trajectoires interceptent l'interface. Deux éléments concourent à c<strong>et</strong> eff<strong>et</strong> : la tension grille/drain non nulle qui implique que le champ électrique n'est pas confinédans le plan du canal, la dispersion omni-directionnelle <strong>de</strong>s porteurs secondaires créés lors <strong>de</strong> l'avalanche.Hasler propose une modélisation complète <strong>de</strong> l'injection d'électrons chauds <strong>et</strong> <strong>de</strong> l'ionisationpar impact dans un transistor NMOS [HASLER].Notons que la création <strong>de</strong> porteurs chauds est aussi possible dans un transistor PMOS,l'avalanche étant déclenchée par <strong>de</strong>s trous [HASLER 96], [KRUGER 96].Finalement le courant injecté est proportionnel au courant dans le transistor <strong>et</strong> fonction <strong>de</strong> latension grille-drain, DIORIO propose une équation empirique [DIORIO 96] :Igs2V V Vgc VdcV I e(2.32)avec : - I g courant au travers <strong>de</strong> l'oxy<strong>de</strong>,- I s le courant dans le canal,- V gc la tension grille-canal,- V dc la tension grille-drain,- , V , V <strong>et</strong> V sont <strong>de</strong>s constantes.L'efficacité d'injection (rapport entre le courant du transistor <strong>et</strong> le courant injecté dans la grilleIflottante) indiquée par ces auteurs reste très faible (Igs 107), la puissance dissipée par cedispositif peut être importante.Pour améliorer l'efficacité d'injection, <strong>de</strong>ux métho<strong>de</strong>s sont utilisées : Réalisations du transistor NMOS dans une zone P+ (par exemple l'implantation <strong>de</strong> based'une technologie BiCMOS) pour augmenter la tension <strong>de</strong> seuil V T0 . Le transistor conduitun courant faible grâce à un fonctionnement sous le seuil même polarisé par <strong>de</strong>s tensions<strong>de</strong> grille <strong>et</strong> <strong>de</strong> drain élevées favorables à l'injection [HASLER 95], [DIORIO 95],[DIORIO 96], [SARPESHKAR 96], [HARRISON 98]. Extension <strong>de</strong> la grille au-<strong>de</strong>ssus du drain afin d'augmenter la surface <strong>de</strong> collection <strong>de</strong>sporteurs <strong>de</strong> la zone <strong>de</strong> déplétion drain/canal [DIORIO 96].72


Chapitre II : circuits <strong>analogique</strong>s élémentaires3.6.2.3. Eff<strong>et</strong> tunnel.L'eff<strong>et</strong> tunnel est un mécanisme purement quantique, si la physique classique prédit qu'uneparticule ne peut traverser un mur <strong>de</strong> potentiel dont la hauteur est supérieure à son énergie, laphysique quantique montre que c<strong>et</strong> évènement est possible mais avec une probabilité trèsfaible. Elle est inversement proportionnelle à l'épaisseur <strong>de</strong> la barrière. Dans un con<strong>de</strong>nsateurpolarisé la courbure <strong>de</strong>s ban<strong>de</strong>s <strong>de</strong> l'isolant réduit c<strong>et</strong>te épaisseur <strong>et</strong> accroît le nombre <strong>de</strong>charges transportées dans la ban<strong>de</strong> <strong>de</strong> conduction <strong>de</strong> l'isolant, elles finissent <strong>de</strong> le traverser parconduction.émission tunnele -E CconductionE VE CE VSiliciumIsolant SiO 2SiliciumFigure 2-22 : schéma <strong>de</strong> ban<strong>de</strong> d'un con<strong>de</strong>nsateur Si-SiO 2 -Si polarisé par une tension élevée.La symétrie <strong>de</strong> la structure perm<strong>et</strong> un transport bidirectionnel en inversant la polarité <strong>de</strong> latension appliquée.La <strong>de</strong>nsité <strong>de</strong> courant tunnel au travers d'un oxy<strong>de</strong> SiO 2 est modélisée par l'équation (2.33),établie par Fowler <strong>et</strong> Nordheim [LENZLINGER 69], [SZE 81].avec : - q charge <strong>de</strong> l'électron,- E champ électrique dans l'isolant,- h constante <strong>de</strong> Planck,- hauteur <strong>de</strong> la barrière <strong>de</strong> potentiel,13 2 q E 42m*2J exp 8h 3hqE- m* masse effective <strong>de</strong> l'électron dans SiO 2 .32(2.33)73


Chapitre II : circuits <strong>analogique</strong>s élémentairesLe courant est donc proportionnel au champ dans l'oxy<strong>de</strong>. Si nous considérons les armaturesdu con<strong>de</strong>nsateur d'injection comme <strong>de</strong>s conducteurs idéaux (ce qui n'est qu'une approximationpour du polysilicium dégénéré) le champ dans l'oxy<strong>de</strong> est égal au potentiel appliqué aucon<strong>de</strong>nsateur divisé par l'épaisseur <strong>de</strong> l'oxy<strong>de</strong>. Le diagramme ci-<strong>de</strong>ssous illustre les tensionsnécessaires à l'obtention d'un courant tunnel <strong>de</strong> <strong>de</strong>nsité donnée.70Vcapa (V)60504030E claquage =9.10 6 V/cmE=8.10 6 V/cmE=6,5.10 6 V/cm2010d (nm)00 20 40 60 80 100Figure 2-23 : tensions aux bornes d'un con<strong>de</strong>nsateur Si-SiO 2 -Si pour obtenir un champ donnéen fonction <strong>de</strong> l'épaisseur d <strong>de</strong> l'isolant. E claquage = 9.10 6 V/cm est la limite basse <strong>de</strong> claquagepour une épaisseur <strong>de</strong> SiO 2 inférieure à 100 nm [SZE 81]. Les champs 8.10 6 V/cm <strong>et</strong> 6,5.10 6V/cm correspon<strong>de</strong>nt, respectivement, à <strong>de</strong>s <strong>de</strong>nsités <strong>de</strong> courant tunnel <strong>de</strong> ~10 -10 A/cm 2 <strong>et</strong> ~10 -7A/cm 2 [LENZLINGER 69].Nous constatons que pour éviter <strong>de</strong>s tensions <strong>de</strong> programmation élevées (supérieures à latension d'alimentation ou à celle que l'on peut obtenir avec un dispositif à pompe <strong>de</strong> charge) ilest nécessaire d'utiliser <strong>de</strong>s épaisseurs d'oxy<strong>de</strong> faibles. C'est une <strong>de</strong>s approches <strong>de</strong>s procédésspéciaux développés pour la réalisation <strong>de</strong> mémoires EEPROM. Une étape supplémentairependant la fabrication perm<strong>et</strong> <strong>de</strong> fabriquer un oxy<strong>de</strong> ultrafin servant à la réalisation <strong>de</strong>sinjecteurs tunnels. Comme nous utilisons <strong>de</strong>s technologies standard c<strong>et</strong>te solution n'est pasdirectement envisageable. En fait, le but est <strong>de</strong> renforcer le champ électrique à l'interface quiest une <strong>de</strong>s variables principales dont dépend le courant tunnel (équation 2.33). Nous avons ànotre disposition d'autres techniques perm<strong>et</strong>tant d'obtenir ce résultat : tout d'abord l'utilisation <strong>de</strong> la réduction d'épaisseur d'oxy<strong>de</strong> qui survient dans <strong>de</strong>s zonesspécifiques <strong>de</strong>s structures standard, par exemple au recouvrement poly1/poly2 ou niveaud'un contact métal/poly [LANDE 96]. Plusieurs auteurs (par exemple [CHAI 96])pensaient que <strong>de</strong>s angles aigus dans la grille flottante provoquaient aussi un renforcementlocal du champ. Les procédés photolithographiques ayant tendance à détruire ces angles, il74


Chapitre II : circuits <strong>analogique</strong>s élémentairesparait acquis que l'augmentation du courant observée provient plutôt <strong>de</strong>s diminutionsd'oxy<strong>de</strong>s qu'induisaient leurs structures [DIORIO 95].d'autre part, il est démontré que l'interface polysilicium/oxy<strong>de</strong> présente un gran<strong>de</strong> nombred'aspérités qui renforce le champ <strong>et</strong> perm<strong>et</strong> d'obtenir un courant tunnel à <strong>de</strong>s tensions plusfaibles que ne le prédit la théorie. La formation <strong>de</strong> ces aspérités est provoquée pour lesprocédés spécifiques, mais elle est naturellement présente pour les procédés standard[ELLIS 82], [ANDERSON 97]. Nous bénéficions <strong>de</strong> ce phénomène, mais comme il estproduit par la croissance thermique <strong>de</strong> SiO 2 il n'apparaît que d'un côté <strong>de</strong> l'oxy<strong>de</strong> <strong>et</strong> produitune dissymétrie <strong>de</strong> l'eff<strong>et</strong> tunnel.Bien que l'eff<strong>et</strong> tunnel soit par nature bidirectionnel, l'utilisation <strong>de</strong> c<strong>et</strong>te propriété s'avèredélicate. Pour arracher <strong>de</strong>s électrons à l'armature flottante, il suffit d'appliquer une tensionpositive sur la secon<strong>de</strong> armature. Par contre pour injecter <strong>de</strong>s électrons sur la grille flottante ilfaut soit appliquer une tension négative sur c<strong>et</strong>te même secon<strong>de</strong> armature (figure 2-24-A), soitforcer une tension positive sur la grille flottante elle-même (figure 2-24-B).A) B)transistor <strong>de</strong> mesuregrille flottantegndC 1+VpV tunnel >0extraction d'électrons+VpC 2 0C 1 +C 2 +C p+Vp-VpgndV tunnel


Chapitre II : circuits <strong>analogique</strong>s élémentairesEn tout état <strong>de</strong> cause, les difficultés à surmonter restent nombreuses. Citons, entre autre, l<strong>et</strong>emps <strong>de</strong> programmation élevé (~100 ms à quelques s) dû à la faible intensité <strong>de</strong>s courantstunnels, la dégradation <strong>de</strong>s propriétés d'injection due au piégeage <strong>de</strong> charge dans l'oxy<strong>de</strong>[PARK 98], le temps <strong>de</strong> rétention réel fini si la non volatilité est un critère [DE SALVO 98]...A notre connaissance, seules <strong>de</strong>ux applications commerciales utilisant ce type <strong>de</strong>mémorisation <strong>analogique</strong> ont vu le jour jusqu'à présent. Elles utilisent <strong>de</strong>s technologiesspécifiques. Le circuit ETANN <strong>de</strong> la société INTEL [HOLLER 89]. C'est un réseau <strong>de</strong> <strong>neurones</strong>formels dont les poids synaptiques sont stockés par <strong>de</strong>s mémoires à grilles flottantes. Cecircuit n'est plus fabriqué. La société ISD [ISD] produit <strong>de</strong>s solutions monocircuit d'enregistrement <strong>et</strong> <strong>de</strong> restitution<strong>de</strong> la voix. La voix est échantillonnée, mais au lieu d'être numérisée <strong>et</strong> stockée sous formenumérique, elle est mémorisée par <strong>de</strong>s mémoires intégrées à grille flottante perm<strong>et</strong>tant <strong>de</strong>stocker 256 niveaux. Grâce à l'absence <strong>de</strong> volumineux convertisseurs <strong>et</strong> à la <strong>de</strong>nsité <strong>de</strong>stockage l'ensemble d'enregistrement, <strong>de</strong> mémorisation <strong>et</strong> <strong>de</strong> restitution est intégré en unseul circuit. De plus la mémorisation est non volatile.3.7.Réglage <strong>de</strong> la topologie.La topologie du réseau décrit les interconnexions entre différentes conductances ioniques(voir figure 1-5). L'approche choisie pour construire ces connexions dans nos circuits utiliseun bus <strong>analogique</strong> externe au circuit intégré où sont connectés les con<strong>de</strong>nsateurs <strong>de</strong>membrane. Ces <strong>de</strong>rniers ont en eff<strong>et</strong> <strong>de</strong>s valeurs trop élevées pour être intégrés. Leparamétrage consiste ensuite à connecter les entrées <strong>et</strong> sorties <strong>de</strong> chacune <strong>de</strong>s conductancessur ce bus. Nous avons <strong>de</strong>ux types <strong>de</strong> signaux à commuter : Les entrées sont <strong>de</strong>s tensions, ce sont les potentiels membranaires. Les sorties correspon<strong>de</strong>nt aux courants ioniques.Signalons aussi que le schéma <strong>de</strong> connexion est différent pour une synapse <strong>et</strong> pour uneconductance. La synapse comporte <strong>de</strong>ux entrées en tension, les potentiels présynaptique <strong>et</strong>postsynaptique, <strong>et</strong> un courant <strong>de</strong> sortie qui doit être injecté sur la ligne postsynaptique, alorsqu'une conductance simple possè<strong>de</strong> une seule entrée en tension qui est connectée au mêmepoint que sa sortie en courant.76


Chapitre II : circuits <strong>analogique</strong>s élémentairesNous avons déjà présenté l'élément perm<strong>et</strong>tant <strong>de</strong> réaliser ce type d'opération : l'interrupteur<strong>analogique</strong> (paragraphe 3.6.1).Nous utiliserons donc <strong>de</strong>s transistors MOS pour commuter tensions <strong>et</strong> courants, lescontraintes sont cependant différentes <strong>de</strong> celles à prendre en compte pour la conceptiond'échantillonneurs-bloqueurs. Ici l'utilisation est statique, le réseau est formé puis l'état <strong>de</strong>sinterrupteurs n'est pas modifié, l'injection <strong>de</strong> charge ne présente donc pas <strong>de</strong> problème. Lacontrainte principale est simplement la plage <strong>de</strong> conduction <strong>de</strong> ces éléments.Nous présentons un exemple <strong>de</strong> réalisation utilisant ce système pour ajuster la topologie auchapitre III avec le circuit "fpca-r".4. SYNTHESE DES DIFFERENTES CONDUCTANCES IONIQUES.Nous allons maintenant présenter l'arrangement <strong>de</strong>s opérateurs qui viennent d'être décrits telqu'il est nécessaire pour la réalisation <strong>de</strong>s différentes conductances ioniques composant les<strong>neurones</strong> artificiels que nous utilisons dans nos circuits.Les mémoires <strong>analogique</strong>s <strong>et</strong> les circuits <strong>de</strong> gestion <strong>de</strong> la topologie du réseau sont considéréscomme <strong>de</strong>s périphériques du simulateur <strong>analogique</strong> <strong>de</strong> neurone, leur étu<strong>de</strong> est donc renvoyéeau chapitre III avec la présentation <strong>de</strong>s différents circuits réalisés.4.1.Structure générale.V memV seuilm V pentemsigmoï<strong>de</strong>mV tauméqua. diff.C taummpuissanceVg ionV>Ih q. m pg ion .h q. m pV mem E ionV>Isigmoï<strong>de</strong>héqua. diff.hI ion =g ion .h q. m p. (V mem - E ion )C tauhV seuilhV pentehV tauhFigure 2-25 : schéma-bloc du circuit <strong>de</strong> résolution <strong>analogique</strong> <strong>de</strong>s équations d'uneconductance ionique conformément au formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxleyp qI g .m .h .(V E ) .ionionmemion77


Chapitre II : circuits <strong>analogique</strong>s élémentairesLa structure complète d'une conductance ionique, conforme au formalisme <strong>de</strong> Hodgkin <strong>et</strong>Huxley, est présentée par la figure précé<strong>de</strong>nte. Nous pouvons détailler l'ordre <strong>de</strong>s opérateursutilisés :Valeurs asymptotiques <strong>de</strong> l'activation m <strong>et</strong> <strong>de</strong> l'inactivation h : elles sont obtenues avecle circuit <strong>de</strong> synthèse <strong>de</strong> sigmoï<strong>de</strong> (paragraphe 3.4) qui génère un courant <strong>de</strong> sortiecompris dans l'intervalle [0, I 0 ], avec I 0 = 2 A.Chaque sigmoï<strong>de</strong> comporte <strong>de</strong>ux paramètres, Pente <strong>et</strong> V seuil , réglés par <strong>de</strong>s tensions.La résolution <strong>de</strong>s équations différentielles du premier ordre est ensuite obtenue grâce aucircuit décrit au paragraphe 3.5. Avec c<strong>et</strong>te implémentation, la constante <strong>de</strong> temps estindépendante du potentiel <strong>de</strong> membrane. L'équation décrivant c<strong>et</strong>te fonction (1.17) seraiten eff<strong>et</strong> fort complexe à m<strong>et</strong>tre en œuvre <strong>et</strong> les simulations numériques <strong>et</strong> l'expérience dugroupe ont montré que c<strong>et</strong>te approche perm<strong>et</strong>tait d'obtenir <strong>de</strong>s résultats suffisants. C'est laseule approximation que nous ayons consentie au formalisme.La constante <strong>de</strong> temps est fixée par un con<strong>de</strong>nsateur externe C <strong>et</strong> est modulée par latension V tau appliquée à l'atténuateur A.Les courants résultants sont multipliés <strong>et</strong> élevés à la puissance par un bloc unique dérivédu multiplieur log-antilog (paragraphe 3.3.2). Le produit normalisé est aussi compris entre0 <strong>et</strong> I 0 .Un autre multiplieur log-antilog perm<strong>et</strong> d'obtenir le produit du courant m p .h q <strong>et</strong> d'uncourant provenant d'une paire entrecroisée qui modélise le paramètre <strong>de</strong> conductancemaximalegion. Le produit, <strong>de</strong> nouveau normalisé par I 0 , varie maintenant entre 0 <strong>et</strong> gion.Le seul paramètre réglable,<strong>de</strong>giongiongion, est ajusté par une tension. Notons que la plage <strong>de</strong> réglageest aussi fixée par le rapport <strong>de</strong> trois miroirs <strong>de</strong> courants, un premier situé à l'entrée<strong>de</strong> ce bloc, un <strong>de</strong>uxième à sa sortie <strong>et</strong> enfin un troisième à la sortie <strong>de</strong> la conductance.Compte tenu <strong>de</strong>s rapports <strong>de</strong> chaque miroir, nous obtenons ainsi trois gammes <strong>de</strong>x2 <strong>et</strong> x18.gionx1,Un multiplieur <strong>de</strong> type "b<strong>et</strong>a-immune" (paragraphe 3.3.1) assure la <strong>de</strong>rnière multiplicationentre le courant unipolaire qui représente gionla conversion <strong>de</strong> (V mem -E ion ) par une paire entrecroisée..m p .h q <strong>et</strong> le courant différentiel provenant <strong>de</strong>78


Chapitre II : circuits <strong>analogique</strong>s élémentaires4.2.Détails <strong>de</strong>s différentes conductances ioniques implémentées.Les différents conductances ioniques dont nous avons besoin ont <strong>de</strong>s expressions ou <strong>de</strong>sgammes <strong>de</strong> paramètres différentes, il est donc nécessaire <strong>de</strong> les individualiser au niveau <strong>de</strong>leur implémentation. C<strong>et</strong>te contrainte est un <strong>de</strong>s exemples <strong>de</strong> limitations <strong>de</strong>s simulateurs<strong>analogique</strong>s par rapport à une réalisation numérique : le formalisme est partiellement figé à lafabrication.4.2.1. Sodium Na <strong>et</strong> potassium K.Leurs expressions correspon<strong>de</strong>nt au modèle initial <strong>de</strong> Hodgkin <strong>et</strong> Huxley.INaI gNa3.m .h. Vmem ENa4K gK.n. Vmem EK(2.34)Pour ces conductances, la gamme <strong>de</strong>gioncorrespond au rapport x18 <strong>de</strong>s miroirs.4.2.2. Courants <strong>de</strong> fuite, stimulation <strong>et</strong> compensation.Pour le courant <strong>de</strong> fuite la conductance est constante, elle n'est pas modulée par <strong>de</strong>s fonctionsd'activation ou d'inactivation. L'implémentation est ainsi simplifiée, elle ne comporte qu'unmultiplieur "b<strong>et</strong>a-immune" multipliant un courant unipolaire qui représenteg ionavec lecourant différentiel provenant <strong>de</strong> la conversion <strong>de</strong>s V mem -E fuite par une paire entrecroisée :Ifuitefuitememfuite g . V E(2.35)Avec la même structure nous réalisons <strong>de</strong>s convertisseurs tension-courant. Nous utilisonsgfuitepour fixer le rapport <strong>de</strong> conversion, le paramètre E fuite est supprimé, son entrée est fixéeau point milieu <strong>de</strong>s alimentations <strong>et</strong> V mem <strong>de</strong>vient l'entrée du convertisseur.Deux types <strong>de</strong> convertisseurs sont utilisés perm<strong>et</strong>tant <strong>de</strong> stimuler une cellule par injection <strong>de</strong>courant. Le premier sert à injecter un courant constant dont la valeur est ajustable par latension appliquée à l'entrée qui est donc un paramètre <strong>de</strong> ce bloc. Le second a son entrée reliéeà une broche externe <strong>et</strong> perm<strong>et</strong> ainsi d'injecter un courant <strong>de</strong> forme quelconque.Pour ces trois éléments la gamme <strong>de</strong>gfuitecorrespond au rapport x1 <strong>de</strong>s miroirs.79


Chapitre II : circuits <strong>analogique</strong>s élémentaires4.2.3. Calcium Ca <strong>et</strong> potassium calcium dépendant K(Ca).IICa gCa3.m .h. Vmem ECa4K(Ca) gK(Ca).n . Vmem EK(Ca)(2.36)Ces <strong>de</strong>ux conductances ont <strong>de</strong>s expressions similaires à celles du sodium <strong>et</strong> du potassium.Elles présentent cependant une gamme <strong>de</strong>gioninférieure qui correspond au rapport x2, maisla différence majeure est ailleurs. Le courant potassium K(Ca) est dit calcium dépendant carson activation n est modulée par la concentration calcique.Pour modéliser c<strong>et</strong>te dépendance, nous avons gardé la même approche que dans[LAFLAQUIERE 98] en utilisant les équations décrites dans [LE MASSON 98a].La concentration calcique est obtenue par l'intégration du courant <strong>de</strong> la conductance calciumCa :d Ca AICa BCaCa0(2.37)dtEt la forme asymptotique <strong>de</strong> sa fonction d'activation n est modulée par un rapport faisantintervenir la concentration calcique :nCaCa VK1mem+ L Ca (2.38) Vmem Vseuil1exp Pente L'expression (2.37) forme une équation différentielle du premier ordre que nous résolvonsavec le même circuit que celui utilisé pour les fonctions d'activation <strong>et</strong> d'inactivation (figure 2-15). Nous injectons le courant calcique à l'entrée <strong>de</strong> ce bloc, <strong>et</strong> nous utilisons sa sortie pourmoduler n . Electroniquement les équations implémentée correspon<strong>de</strong>nt à :n1 dXICa XB dt (2.39)X1 X Cacst Vmem V1exp Penteseuil(2.40)XLe terme qui entraîne la dépendance calcique dans l'équation (2.40) est obtenuX Cacstgrâce à un multiplieur log-antilog (figure 2-8, équation 2.14) :I B provient du bloc sigmoï<strong>de</strong> qui modélise la forme asymptotique <strong>de</strong> l'activation.80


Chapitre II : circuits <strong>analogique</strong>s élémentairesI C est le courant X provenant <strong>de</strong> l'intégration du courant <strong>de</strong> la conductance Ca.Enfin c'est le courant I 0 qui servait jusqu'à présent à la normalisation qui nous perm<strong>et</strong> <strong>de</strong>réaliser la division nécessaire. C'est la somme d'une image du courant X <strong>et</strong> du courantCacst provenant d'une paire entrecroisée.L'implémentation électronique ne fait donc pas intervenir directement la concentrationBX ,Acalcique, mais un terme proportionnel X. Avec les changements <strong>de</strong> variable CaACacst K <strong>et</strong> en prenant Ca 0 0 , ces équations sont équivalentes au modèle théoriqueB(La partie + LCaV mem<strong>de</strong> la dépendance n'étant pas utilisée).4.2.4. Synapse.Les synapses répon<strong>de</strong>nt à l'expression suivante :Isynapsesynmempostsyn g .m. V E(2.41)La particularité tient dans la présence <strong>de</strong> <strong>de</strong>ux entrées V mempré <strong>et</strong> V mempost , qui correspon<strong>de</strong>ntaux tensions présynaptique <strong>et</strong> postsynaptique.La fonction asymptotique m <strong>de</strong> la variable d'état d'activation dépend <strong>de</strong> V mempré <strong>et</strong> le produit<strong>de</strong> sortie utilise la tension V mempost . La gamme <strong>de</strong>gsyncorrespond au rapport x2 <strong>de</strong>s miroirs.4.3.Relations d'étalonnage pour les différents paramètres.Les simulations <strong>de</strong>s différentes conductances ioniques présentées au paragraphe précé<strong>de</strong>ntperm<strong>et</strong>tent d'obtenir les relations théoriques entre les gran<strong>de</strong>urs "électriques" du circuit <strong>et</strong> lesgran<strong>de</strong>urs "biologiques" <strong>de</strong>s équations. Elles nous perm<strong>et</strong>tront d'évaluer les valeurs <strong>de</strong>stensions à appliquer à nos circuits selon les paramètres <strong>de</strong>s modèles mathématiques <strong>de</strong><strong>neurones</strong>.Sigmoï<strong>de</strong>.Rappelons que l'équation d'une sigmoï<strong>de</strong> est <strong>de</strong> la forme :fVmem1 V1 exp VPentememseuil81


Chapitre II : circuits <strong>analogique</strong>s élémentairesC<strong>et</strong>te fonction comporte <strong>de</strong>ux paramètres, V seuil <strong>et</strong> Pente. V seuil est directement la tensionappliquée au circuit <strong>et</strong> Pente est lié à la tension V pente par la relation (2.23).900800Paramètre Pente (mV)3,53Pente maximale 1/4Pente (V -1 )7006002,550024001,5A)300200100V'pente (V)00,9 1,1 1,3 1,5 1,7 1,9 2,1 2,3 2,5B)10,5V'pente (V)00,9 1,1 1,3 1,5 1,7 1,9 2,1 2,3 2,5Figure 2-26 : évolution en fonction <strong>de</strong> la tension <strong>de</strong> réglage V pente du circuit <strong>de</strong> sigmoï<strong>de</strong> <strong>de</strong> A)la valeur du paramètre Pente <strong>et</strong> B) <strong>de</strong> la pente arithmétique <strong>de</strong> la sigmoï<strong>de</strong>1f V mem V mem V seuil4.Pentegion.19Gmax (S)Gmax Na1494Gmax CaGmax fuite-1Vgm (V)2,0 2,5 3,0 3,5 4,0Figure 2-27 : valeurs maximales giondu courant <strong>de</strong>s conductances ioniques pour les troisgains X1, X2 <strong>et</strong> X18 en fonction <strong>de</strong> la tension <strong>de</strong> réglage du paramètre du circuit.type <strong>de</strong> conductance ionique gain S/V) g ion max (S)Na <strong>et</strong> K 17,10 19,29Ca, K(Ca) <strong>et</strong> synapse 1,79 2,03Compensation, stimulation <strong>et</strong>conductance <strong>de</strong> fuite0,98 1,1Tableau 2-5 : pente <strong>de</strong> la partie linéaire <strong>de</strong> la plage d'ajustement <strong>et</strong> valeur <strong>de</strong> saturation <strong>de</strong>gion.82


Chapitre II : circuits <strong>analogique</strong>s élémentairesConstante <strong>de</strong> temps d'intégration (cinétique <strong>et</strong> concentration calcium).100A= Iout/Iin109Iout (A)1087Vtau (V)11,5 1,7 1,9 2,1 2,3 2,5 2,7 2,9 3,1 3,3 3,50,10,01654321V capa (V)A) 0,001B)00 0,5 1 1,5 2 2,5 3 3,5 4 4,5 5Figure 2-28 : réglage <strong>de</strong> la constante <strong>de</strong> temps. A)valeur <strong>de</strong> l'atténuation A(V tau ) en fonction<strong>de</strong> la tension <strong>de</strong> réglage V tau <strong>et</strong> B) fonction <strong>de</strong> transfert <strong>de</strong> la transconductance B (voir figure2-13).Si C est la valeur du con<strong>de</strong>nsateur <strong>de</strong> l'opérateur <strong>de</strong> la figure 2-13, la constante <strong>de</strong> temps <strong>de</strong>l'intégrateur vaut CA Vtau, avec B = 8,51 A/V soit 1/B = 117 k.BPar exemple pour un con<strong>de</strong>nsateur C <strong>de</strong> 10 nF <strong>et</strong> sans atténuation (A=1, c'est-à-direV tau = 2,5 V), la constante <strong>de</strong> temps vaut 1.108.117.103 1,17 ms .Paramètre <strong>de</strong> calcium dépendance Cacst.98I cacst (A)7654321V Cacst (V)00 1 2 3 4 5 6Figure 2-29 : variation <strong>de</strong> Cacst en fonction <strong>de</strong> la tension <strong>de</strong> réglage.83


Chapitre II : circuits <strong>analogique</strong>s élémentairesCacst intervient dans la modulation du courant K(Ca) par le rapport2.37 à 2.40).XX Cacst(équationsFacteurs d'échelle.Finalement au regard <strong>de</strong>s différentes conductances maximales gionles facteurs d’échelle entregran<strong>de</strong>urs électriques <strong>et</strong> biologiques <strong>de</strong>s modèles <strong>de</strong> <strong>neurones</strong> sont récapitulés dans le tableauci-<strong>de</strong>ssous :modèle biologique modèle électrique gaincourants 1 nA 10 nA x 10tensions 100 mV 1 V x 10conductances 1 mS 1 mS x 1capacités 1 nF 1 nF x 1Tableau 2-6 : ordres <strong>de</strong> gran<strong>de</strong>ur <strong>et</strong> facteurs <strong>de</strong> conversion entre modèles biologiques <strong>et</strong><strong>analogique</strong>s.5. AVANTAGES COMPARES DE LA RESOLUTION ANALOGIQUE.Commençons par rappeler clairement les <strong>de</strong>ux contraintes principales <strong>de</strong> notre proj<strong>et</strong> pour cequi est <strong>de</strong> faire dialoguer <strong>neurones</strong> réels <strong>et</strong> <strong>neurones</strong> artificiels : résolution en temps réel <strong>et</strong> lienbidirectionnel <strong>analogique</strong>.Il faut en eff<strong>et</strong> résoudre en temps réel le nombre important d’équations qui interviennent dansla <strong>de</strong>scription <strong>de</strong>s <strong>neurones</strong> artificiels mais aussi créer un lien avec les <strong>neurones</strong> biologiques.La mise en œuvre concrète <strong>de</strong> ce lien sera abordée plus loin dans ce document, mais nousvoyons déjà que l'activité <strong>de</strong>s <strong>neurones</strong> biologiques est par essence <strong>analogique</strong>. La réalisationdu lien consistant à transférer l'état <strong>de</strong>s <strong>neurones</strong> biologiques vers la partie calculée <strong>et</strong> enr<strong>et</strong>our à réinjecter sa réponse, ces signaux sont donc nécessairement <strong>analogique</strong>s.A notre époque dominée par la micro-informatique, la première idée serait bien entendu <strong>de</strong>faire une résolution numérique à l’ai<strong>de</strong> d’un micro-ordinateur <strong>et</strong> d'utiliser une carted'entrée/sortie <strong>analogique</strong>. Nous allons expliquer pourquoi c<strong>et</strong>te solution présente <strong>de</strong> sévèreslimitations.La résolution numérique implique une double discrétisation, celle du temps <strong>et</strong> celle du signal.Avec <strong>de</strong>s marges suffisantes, c<strong>et</strong>te contrainte apparaît cependant supportable : un exempled'un système biologique ne détectant pas les eff<strong>et</strong>s <strong>de</strong> la discrétisation est simplement celui <strong>de</strong>l'enregistrement audionumérique... Pour donner un ordre <strong>de</strong> gran<strong>de</strong>ur, signalons que les84


Chapitre II : circuits <strong>analogique</strong>s élémentairesacquisitions numériques <strong>de</strong> signaux neuronaux se font généralement avec une fréquenced'échantillonnage maximale <strong>de</strong> 40 kHz.A c<strong>et</strong>te fréquence les conversions <strong>analogique</strong>-numérique ou numérique-<strong>analogique</strong> ne posentpas <strong>de</strong> problèmes particuliers, nous pouvons donc penser qu'avec les processeurs dont lafréquence d'horloge approche le GHz il <strong>de</strong>vrait en être <strong>de</strong> même <strong>de</strong> la résolution numérique<strong>de</strong>s équations. Malheureusement le bus PCI qui doit servir à transm<strong>et</strong>tre les données entre lamémoire principale <strong>et</strong> les convertisseurs forme le goul<strong>et</strong> d'étranglement <strong>de</strong> ce système :l'architecture maître/esclave <strong>et</strong> l'optimisation <strong>de</strong>s transferts en mo<strong>de</strong> bloc du bus PCI s<strong>et</strong>raduisent par l'impossibilité <strong>de</strong> synchroniser <strong>de</strong>s transmissions bidirectionnelles en mo<strong>de</strong>"byte" à <strong>de</strong>s taux supérieurs à 100 kHz.C<strong>et</strong> état <strong>de</strong> fait est encore aggravé par les systèmes d'exploitations multitâches qui sont conçuspour assurer le partage <strong>de</strong>s ressources entre applications <strong>et</strong> ne sont donc pas adaptés au calcultemps réel puisque la synchronisation <strong>de</strong>vient quasiment impossible à maîtriser.Notons que les équations à résoudre représentent quand même une charge importante pour lecalculateur <strong>et</strong> en guise <strong>de</strong> référence, il faut 38 s au logiciel neuron tournant avec un processeurG4 à 400 MHz pour simuler 1 s d'activité d'un modèle <strong>de</strong> Hodgkin <strong>et</strong> Huxley à <strong>de</strong>uxconductances avec un pas minimum <strong>de</strong> 0,025 ms (40 kHz, mais ce logiciel n'est cependant passpécifiquement optimisé en vitesse d'exécution).Finalement, au <strong>de</strong>là <strong>de</strong> quelques conductances, l'emploi d'un micro-ordinateur <strong>de</strong> bureau<strong>de</strong>vient impossible. Si l'on souhaite rester avec une solution numérique, il <strong>de</strong>vient nécessaired'utiliser une architecture plus adaptée. L'utilisation <strong>de</strong> circuits numériques programmables oula conception <strong>de</strong> circuits intégré est envisageable, mais compte tenu <strong>de</strong> la difficultéd'implanter <strong>de</strong>s blocs <strong>de</strong> calcul à virgule flottante il est préférable d'utiliser unmicroprocesseur dédié au traitement du signal (DSP : Digital System Processor). Doté d'unearchitecture adaptée, <strong>de</strong> périphériques d'entrée/sortie <strong>analogique</strong>s <strong>et</strong> d'un noyau temps réelc'est la solution la plus adaptée pour un traitement numérique <strong>de</strong> notre problème.Le type <strong>de</strong> matériel étant défini, il reste cependant un très gros travail au niveau logiciel. Lechoix <strong>et</strong> la conception d'algorithmes garantissant la convergence n'est en eff<strong>et</strong> pas unproblème trivial. On peut voir par exemple l'évolution, toujours en cours, <strong>de</strong>s simulateurs d<strong>et</strong>ype spice, utilisés en microélectronique. Certains auteurs restent encore excessivementpru<strong>de</strong>nt quant à leur usage <strong>et</strong> préconisent l'utilisation <strong>de</strong> maqu<strong>et</strong>tes <strong>analogique</strong>s [PEASE 93].85


Chapitre II : circuits <strong>analogique</strong>s élémentairesEn résumé, en raison <strong>de</strong> l'absence <strong>de</strong> matériel simple <strong>et</strong> <strong>de</strong>s difficultés algorithmiques, la miseen œuvre d'une solution numérique représente une lour<strong>de</strong> tâche avec, en tout état <strong>de</strong> cause,une limite supérieure au nombre <strong>de</strong> conductances simulables fixée par le matériel. Dans cesconditions, le calcul <strong>analogique</strong> présente une alternative intéressante.En eff<strong>et</strong> le calcul <strong>analogique</strong> tel que nous l'avons décrit dans ce chapitre présente le premieravantage d'être à temps continu <strong>et</strong> d'avoir <strong>de</strong>s variables continues par sa nature même.Il perm<strong>et</strong> donc un calcul en temps réel <strong>de</strong> façon intrinsèque. Notons qu'il est aussi possibled'accélérer la vitesse <strong>de</strong> calcul en appliquant un coefficient multiplieur à toutes les constantes<strong>de</strong> temps du circuit. La limites maximales est alors fixée par les caractéristiques fréquentielles<strong>de</strong>s différents opérateurs arithmétiques. C<strong>et</strong>te possibilité était déjà signalée par MAHOWALD<strong>et</strong> DOUGLAS qui estiment qu'un neurone artificiel électronique pourrait fonctionner 10 6 foisplus vite que son homologue biologique [MAHOWALD 91]. Nous présentons un exempleillustrant c<strong>et</strong>te possibilité au chapitre IV.La secon<strong>de</strong> limitation <strong>de</strong>s systèmes numériques est le nombre <strong>de</strong> cellules qu'ils peuventsimuler. Avec l'approche <strong>analogique</strong> c<strong>et</strong>te limite correspond à la quantité <strong>de</strong> <strong>neurones</strong> intégrésdans un même circuit. Mais s'il est possible <strong>de</strong> multiplier, dans une certaine mesure, le nombre<strong>de</strong> circuits sans amener <strong>de</strong> problèmes supplémentaires, l'augmentation <strong>de</strong> la puissance d'unprocesseur numérique est n<strong>et</strong>tement plus délicate <strong>et</strong> dépend finalement <strong>de</strong> l'évolution <strong>de</strong> latechnologie.Notons que les systèmes <strong>analogique</strong>s présentent une dimension limitée <strong>et</strong> une faibleconsommation. Ces <strong>de</strong>ux caractéristiques tranchent avec la solution numérique <strong>et</strong> peuvent êtremises à profit pour imaginer <strong>de</strong> nouveaux types d'expériences, comme par exemple laréalisation <strong>de</strong> simulateurs/stimulateurs autonomes implantables.Pour la conception du calculateur, tout comme les informaticiens bénéficient <strong>de</strong> bibliothèques<strong>de</strong> fonctions, nous disposons d'une littérature importante <strong>et</strong> récente décrivant différentespossibilités pour nos opérateurs <strong>analogique</strong>s. Elle provient principalement <strong>de</strong>s recherches surles réseaux <strong>de</strong> <strong>neurones</strong> formels.L'inconvénient majeur du calculateur <strong>analogique</strong> intégré reste que les fonctions sont gravéesdans le silicium, il n'est donc pas question <strong>de</strong> pouvoir changer rapi<strong>de</strong>ment les modèles utiliséscomme le perm<strong>et</strong> la modification d'un programme informatique : le temps <strong>de</strong> conception <strong>et</strong> <strong>de</strong>86


Chapitre II : circuits <strong>analogique</strong>s élémentairesfabrication d'un nouvel ASIC est typiquement <strong>de</strong> quelques mois. C'est pour limiter aumaximum ce problème que nous nous attachons à concevoir <strong>de</strong>s circuits "reprogrammables".Finalement la mise en œuvre <strong>de</strong> ces <strong>de</strong>ux solutions représentent sans doute un effort <strong>de</strong>conception comparable. Leurs avantages <strong>et</strong> inconvénients les ren<strong>de</strong>nt complémentaires <strong>et</strong> nosgroupes ont développé les <strong>de</strong>ux approches. A l'IXL nous concevons <strong>de</strong>s calculateurs<strong>analogique</strong>s, <strong>et</strong> Gwendal Le Masson a parallèlement développé un simulateur basé surl'utilisation d'une carte DSP (Mac DSP 1MNI <strong>de</strong> Spectral Innovations d'une puissance <strong>de</strong>30 MFLOPS) [LE MASSON 98a]. Ce système est capable <strong>de</strong> simuler un neurone <strong>de</strong> troiscompartiments comprenant 8 conductances actives par compartiments, <strong>et</strong> <strong>de</strong> gérer 8connexions synaptiques avec une définition temporelle maximale <strong>de</strong> 0,4 ms (2,5 kHz). C<strong>et</strong>tesolution est en cours <strong>de</strong> mise à jour avec une carte plus puissante.Les <strong>de</strong>ux systèmes sont utilisés dans la mise en œuvre <strong>de</strong>s réseaux hybri<strong>de</strong>s, souvent <strong>de</strong> façonconjointe, portant à trois la variété <strong>de</strong> <strong>neurones</strong> impliqués : les <strong>neurones</strong> biologiques, les<strong>neurones</strong> artificiels numériques <strong>et</strong> les <strong>neurones</strong> artificiels <strong>analogique</strong>s.87


88Chapitre II : circuits <strong>analogique</strong>s élémentaires


Chapitre III : circuits intégrés réalisésCHAPITRE IIICIRCUITS INTEGRES REALISES.1. Introduction.......................................................................................................................912. <strong>Circuits</strong> "susie" <strong>et</strong> "calvin" : valorisation <strong>de</strong>s travaux <strong>de</strong> l'équipe neurone. .....................922.1. Circuit "susie". ..............................................................................................................922.2. Circuit "calvin". ............................................................................................................932.3. Principe <strong>de</strong> fonctionnement <strong>et</strong> relations d'étalonnage...................................................942.3.1. Synthèse <strong>de</strong> la sigmoï<strong>de</strong>........................................................................................952.3.2. Résolution <strong>de</strong> l'équation différentielle - intégrateur..............................................962.3.3. Puissances. ............................................................................................................972.3.4. Multiplieurs <strong>de</strong> sorties. .........................................................................................972.3.5. Amplificateur <strong>de</strong> sortie <strong>et</strong> conductance <strong>de</strong> fuite....................................................982.3.6. Courant <strong>de</strong> stimulation <strong>et</strong> <strong>de</strong> compensation..........................................................992.3.7. Calcium-dépendance.............................................................................................992.4. Métho<strong>de</strong> <strong>de</strong> test <strong>de</strong>s circuits..........................................................................................993. Circuit "babar" : mémoires à grille flottante...................................................................1003.1. Bibliographie <strong>et</strong> choix technologiques........................................................................1003.1.1. Mécanisme d'injection. .......................................................................................1003.1.2. Dispositif tunnel..................................................................................................1013.1.3. Métho<strong>de</strong> <strong>de</strong> programmation................................................................................1013.1.4. Dispositif <strong>de</strong> lecture <strong>et</strong> organisation <strong>de</strong>s cellules................................................1043.2. Description <strong>et</strong> fonctionnement du circuit....................................................................1053.2.1. Organisation du circuit........................................................................................1053.2.2. Organisation <strong>de</strong> la matrice <strong>de</strong> mémoires.............................................................1063.2.3. Etages haute tension............................................................................................1083.2.4. Dessins <strong>de</strong>s différents injecteurs tunnel..............................................................1103.3. Résultats <strong>et</strong> perspectives. ............................................................................................1103.3.1. Cycles <strong>de</strong> programmation. ..................................................................................1103.3.2. Comparaison détaillée <strong>de</strong> l'efficacité d'injection.................................................1133.3.3. Conclusions.........................................................................................................11489


Chapitre III : circuits intégrés réalisés4. Circuit "annie" : mémorisation par échantillonneur-bloqueur. ...................................... 1154.1. Cahier <strong>de</strong>s charges...................................................................................................... 1154.2. Description du circuit. ................................................................................................ 1164.2.1. Cellule mémoire. ................................................................................................ 1164.2.2. Partie logique...................................................................................................... 1174.3. Mesures. ..................................................................................................................... 1195. Circuit "fpca-r" : Field Programmable Conductance Array. .......................................... 1235.1. Cahier <strong>de</strong>s charges...................................................................................................... 1235.2. Conception <strong>de</strong>s échantillonneurs-bloqueurs <strong>et</strong> <strong>de</strong>s multiplexeurs. ............................ 1275.2.1. Echantillonneurs-bloqueurs................................................................................ 1275.2.2. Multiplexeurs <strong>analogique</strong>s. ................................................................................ 1295.3. Description <strong>de</strong> la logique............................................................................................ 1315.3.1. Schémas d'application. ....................................................................................... 1335.3.2. Gestion du compteur externe.............................................................................. 1355.3.3. Gestion <strong>de</strong> la SRAM. ......................................................................................... 1355.4. Remarques sur la réalisation pratique. ....................................................................... 13690


Chapitre III : circuits intégrés réalisés1. INTRODUCTION.Au cours <strong>de</strong> nos travaux, nous avons réalisé cinq ASICs. Ils sont conçus en mo<strong>de</strong> dit "fullcustom", c'est-à-dire qu'aucune bibliothèque n'est utilisée, la conception étant faite à partir <strong>de</strong>séléments <strong>de</strong> base que sont les transistors (MOS <strong>et</strong> bipolaires) <strong>et</strong> composants passifs(résistances, con<strong>de</strong>nsateurs). C<strong>et</strong>te métho<strong>de</strong> se limite cependant aux parties <strong>analogique</strong>s, lesparties numériques faisant usage <strong>de</strong>s bibliothèques fournies par le fon<strong>de</strong>ur AMS. En eff<strong>et</strong>,notre travail porte sur le développement d'un calculateur <strong>analogique</strong>, les éléments numériquesne sont que <strong>de</strong>s périphériques <strong>de</strong> ce calculateur <strong>et</strong> peuvent être constitués <strong>de</strong> portes logiquesstandard.Nous présentons maintenant ces circuits, les objectifs poursuivis pour chacun d'entre eux étantassez différents : Nous avons réalisé "susie" <strong>et</strong> "calvin" afin <strong>de</strong> tirer parti du travail réalisé par ArnaudLaflaquière dans le cadre <strong>de</strong> son doctorat au sein du groupe. Ces ASICs utilisentdirectement les cellules <strong>analogique</strong>s qu'il a conçues, l'effort <strong>de</strong> développement porte doncsur leur mise en œuvre qui sera présentée au chapitre IV. Dans ce chapitre, nousdiscuterons rapi<strong>de</strong>ment les différences entre c<strong>et</strong>te ancienne bibliothèque <strong>et</strong> la nouvelledont la <strong>de</strong>scription a fait l'obj<strong>et</strong> du chapitre II. "Babar" <strong>et</strong> "annie" sont <strong>de</strong>s circuits <strong>de</strong> test <strong>de</strong>stinés à l'étu<strong>de</strong> <strong>et</strong> la mise au point d'unprocédé <strong>de</strong> mémorisation <strong>analogique</strong>. Là encore nous avons utilisé l'ancienne bibliothèque,ces circuits servant à évaluer différentes options autour <strong>de</strong> ces points mémoires. Nousverrons cependant que l'alimentation en 10 V, imposée par l'emploi <strong>de</strong> c<strong>et</strong>te bibliothèque,est source <strong>de</strong> complications. Finalement nous avons conçu "fpca-r", un circuit répondant aux besoins d'une plus gran<strong>de</strong>flexibilité <strong>de</strong> programmation <strong>et</strong> d'intégration pour nos <strong>neurones</strong> artificiels. Il introduit undispositif <strong>de</strong> mémorisation <strong>analogique</strong> intégré ainsi qu'une possibilité <strong>de</strong> reconfigurationélectrique <strong>de</strong>s topologies <strong>de</strong>s modèles biologiques simulés. Pour "fpca-r", l'expérienceacquise par le groupe sur les circuits précé<strong>de</strong>nts nous a amené à modifier la conception <strong>de</strong>sopérateurs <strong>analogique</strong>s. Dans ce chapitre, nous détaillons l'architecture du circuit "fpca-r",c'est-à-dire l'organisation <strong>de</strong>s opérateurs élémentaires que nous avons déjà présentés auchapitre II.91


Chapitre III : circuits intégrés réalisésLes mesures concernant "annie" <strong>et</strong> "babar", circuits <strong>de</strong> test, sont présentées dans ce chapitre.Par contre, les performances <strong>de</strong>s autres circuits seront indiquées au chapitre IV, dans le cadre<strong>de</strong> la présentation <strong>de</strong> leur mise en œuvre <strong>et</strong> <strong>de</strong>s systèmes les utilisant.2. CIRCUITS "SUSIE" ET "CALVIN" : VALORISATION DES TRAVAUXDE L'EQUIPE NEURONE.Ces <strong>de</strong>ux circuits constituent la mise en valeur <strong>et</strong> l'aboutissement du travail antérieur dugroupe sur l'intégration <strong>de</strong> <strong>neurones</strong> artificiels, ils sont réalisés par assemblage d'éléments <strong>de</strong>l'ancienne bibliothèque <strong>analogique</strong> constituée par A. Laflaquière [LAFLAQUIERE 98] <strong>et</strong>doivent servir à la construction <strong>de</strong> simulateurs portables qui seront présentés au chapitre IV.2.1.Circuit "susie".Figure 3-1 : microphotographie du circuit <strong>analogique</strong> "susie". Dimensions 2450 X 2450 µm 2 ,44 broches, boîtier jlcc44, BiCMOS AMS 0,8 µm, 883 composants (291 NMOS, 364 PMOS,34 pnp, 154 npn, 13 con<strong>de</strong>nsateurs, 27 résistances).Afin <strong>de</strong> gar<strong>de</strong>r une taille raisonnable à ce circuit (du fait <strong>de</strong> l'augmentation du nombre <strong>de</strong>broches avec le nombre <strong>de</strong> conductances ioniques, problème que nous avons déjà évoqué auchapitre précé<strong>de</strong>nt), nous avons décidé d'implanter quatre conductances, ainsi qu’unmécanisme <strong>de</strong> "dépendance calcium". Ces équations sont conformes au formalisme <strong>de</strong>Hodgkin <strong>et</strong> Huxley. Ce choix est suffisant pour explorer un grand nombre <strong>de</strong> modèles <strong>et</strong>obtenir <strong>de</strong>s activités variées. Le circuit comporte aussi <strong>de</strong>ux convertisseurs tension-courantqui servent respectivement à la stimulation à courant constant <strong>et</strong> à la stimulation par injectiond'un courant proportionnel à un signal <strong>de</strong> comman<strong>de</strong>. La conductance <strong>de</strong> fuite n'est pasintégrée, elle <strong>de</strong>vra être réalisée en composants discr<strong>et</strong>s connectés à l'ASIC.92


Chapitre III : circuits intégrés réalisésLes quatre conductances ioniques répon<strong>de</strong>nt aux équations suivantes :INa3 g .m .h.(V E )(3.1)NamemNaIIIK4 g .n .(V E )(3.2)KmemK3Ca gCa.m .h. Vmem ECa(3.3)4K(Ca) gK(Ca).n . Vmem EK(Ca)(3.4)avec les définitions habituelles du formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley (équations 1.3 à 1.7).De plus, pour le courant ionique K(Ca), la forme asymptotique <strong>de</strong> l'activation n(t) estpondérée par un quotient dépendant <strong>de</strong> la concentration calcique (voir équation 2.37 à 2.40).2.2.Circuit "calvin".Figure 3-2 : <strong>de</strong>ssin du circuit <strong>analogique</strong> "calvin". Dimensions 2710 X 2710 µm 2 , 52 broches,boîtier jlcc52, BiCMOS AMS 0,8 µm, 1000 composants (329 NMOS, 414 PMOS, 38 pnp, 172npn, 15 con<strong>de</strong>nsateurs, 32 résistances).L'ASIC "susie" présentant <strong>de</strong>s problèmes <strong>de</strong> fonctionnement, après avoir i<strong>de</strong>ntifié l'origine dudéfaut nous avons décidé d'en fabriquer un second pour le remplacer. Ce circuit, "calvin",présente les mêmes fonctionnalités que "susie", à une exception près : l'ajout d'uneconductance synaptique. Elle nous perm<strong>et</strong>tra <strong>de</strong> donner une fonctionnalité <strong>de</strong> stimulateur ausystème utilisant ce circuit. Le courant synaptique I synapsedépend <strong>de</strong>s potentiels membranairespré <strong>et</strong> postsynaptique :Isynapsesynmempostsyn g .m. V E(3.5)Pour la variable d'activation m(V mempré ) nous r<strong>et</strong>rouvons le même type d'équation différentielleque pour les conductances ioniques, l'expression asymptotique dépendant du potentielmembranaire présynaptique V mempré .93


Chapitre III : circuits intégrés réalisésLes tests <strong>et</strong> la mise en œuvre <strong>de</strong> ces circuits sont présentés au chapitre IV mais se limiteront àceux du circuit "susie", car en raison <strong>de</strong> problèmes survenus lors <strong>de</strong> la fabrication le fon<strong>de</strong>ur ar<strong>et</strong>ardé la livraison <strong>de</strong>s wafers contenant le circuit "calvin" <strong>et</strong> il ne <strong>de</strong>vrait être livré qu'enseptembre 2000.2.3.Principe <strong>de</strong> fonctionnement <strong>et</strong> relations d'étalonnage.Bien que l'électronique <strong>de</strong> ces circuits soit décrite en détail dans [LAFLAQUIERE 98], nousrappelons ici son principe. Nous pourrons ainsi souligner les raisons <strong>de</strong>s modificationsapportées aux éléments constituants notre nouvelle bibliothèque <strong>analogique</strong> <strong>de</strong>stinée au circuit"fpca-r" <strong>et</strong> détailler les relations théoriques entre les gran<strong>de</strong>urs "électriques" <strong>de</strong>s circuits <strong>et</strong> lesgran<strong>de</strong>urs "biologiques" <strong>de</strong>s équations.V pentemV seuilmτ mV mem + intégrateur- - +sigmoï<strong>de</strong>mmpuissancem psigmoï<strong>de</strong>hintégrateurpuissanceh qhVg ionV mem E iong ion .h q. m pg ion .h q. m p. (V mem - E ion )V seuilhV pentehτ hamplificateurI ionFigure 3-3 : schéma <strong>de</strong> principe <strong>de</strong> la résolution <strong>analogique</strong> <strong>de</strong>s équations d'une conductanceionique.La structure <strong>de</strong> la figure 3-3 illustre l'organisation <strong>de</strong>s opérateurs constituant une conductanceionique pour les circuits "susie" <strong>et</strong> "calvin". Elle est logiquement très similaire à celle déjàprésentée au chapitre II (figure 2-24) qui correspond au circuit "fpca-r".Nous parlerons <strong>de</strong> gran<strong>de</strong>urs biologiques pour désigner les équations du formalisme <strong>de</strong>Hodgkin <strong>et</strong> Huxley <strong>et</strong> <strong>de</strong> gran<strong>de</strong>urs électriques quand il s'agira <strong>de</strong> celles <strong>de</strong>s circuits intégrés.Pour ces circuits, les facteurs d’échelle entre gran<strong>de</strong>urs électriques <strong>et</strong> biologiques sontrécapitulés dans le tableau 3-1.Comme nous l'avons vu, l'implémentation électronique <strong>de</strong> la résolution du formalisme <strong>de</strong>Hodgkin <strong>et</strong> Huxley introduit <strong>de</strong>s relations entre les paramètres électriques appliquées auxentrées du circuit <strong>et</strong> les gran<strong>de</strong>urs biologiques telles qu'elles apparaissent dans les équationsdu formalisme. Il est nécessaire <strong>de</strong> connaître ces expressions pour réaliser ce que nous94


Chapitre III : circuits intégrés réalisésappelons l'étalonnage <strong>de</strong>s circuits <strong>et</strong> ainsi pouvoir convertir les paramètres biologiques,valeurs naturelles <strong>de</strong> nos modèles.modèle biologique modèle électrique gaincourants 1 nA 1 A x 1000tensions 100 mV 1 V x 10conductances 1 mS 100 mS x 100capacités 1 nF 100 nF x 100Tableau 3-1 : facteurs <strong>de</strong> conversion entre modèles biologiques <strong>et</strong> <strong>analogique</strong>s.2.3.1. Synthèse <strong>de</strong> la sigmoï<strong>de</strong>.La fonction sigmoïdale est synthétisée par une paire différentielle bipolaire. Son entréeprovient <strong>de</strong> la sortie différentielle d'un multiplieur "b<strong>et</strong>a-immune" convertie en tension par unepaire <strong>de</strong> résistance. Les entrées du multiplieur sont obtenues par <strong>de</strong>ux convertisseurs tensioncourantréalisés par <strong>de</strong>s paires différentielles MOS simples. L'équation <strong>de</strong> la fonction d<strong>et</strong>ransfert résultante est :I0Isig(3.6) R g12 1 g341exp Vpente Vmem Vseuil UT 2 2I0 Le paramètre Pente <strong>de</strong> la sigmoï<strong>de</strong> (équation 1.7) est donc <strong>de</strong> la forme :Ces équations proviennent <strong>de</strong> [LAFLAQUIERE 98].C<strong>et</strong>te approche présente <strong>de</strong>ux limitations majeures :1Pente (3.7)R g12 1 g34 VpenteUT 2 2I0 Le paramètre <strong>de</strong> pente <strong>de</strong> la sigmoï<strong>de</strong> dépend directement <strong>de</strong> la valeur absolue d'unerésistance R. L'intégration <strong>de</strong> résistances en microélectronique entraîne une mauvais<strong>et</strong>olérance sur leur valeur. Le paramètre Pente n'est donc pas contrôlé précisément.L'équation 3.6 <strong>de</strong> la fonction <strong>de</strong> transfert donnée ci-<strong>de</strong>ssus est obtenue en supposant uneconversion linéaire <strong>de</strong> la différence <strong>de</strong> potentiels (V mem -V seuil ). La paire différentielle MOSutilisée constitue un convertisseur non-linéaire sur la plage <strong>de</strong> tension considérée, elleintroduit donc une distorsion.Le paramètre <strong>de</strong> pente utilise aussi un convertisseur tension-courant à base <strong>de</strong> paire MOS.Cependant dans ce cas la non-linéarité n'induit pas d'erreur dans le calcul, mais juste une95


Chapitre III : circuits intégrés réalisésrelation entre la tension appliquée V pente <strong>et</strong> l'expression du paramètre biologiquePente = f(V pente ). De plus, la plage utile <strong>de</strong> V pente [-1V, 0] reste dans la zone <strong>de</strong> linéarité,l'équation 3.7 est obtenue avec l'approximation justifiée d'une conversion linéaire.Modification pour la bibliothèque fpca-r (paragraphe 3.4) :Ces <strong>de</strong>ux points ont été corrigés par l'utilisation d'une paire MOS entrecroisée (paragraphe3.1) <strong>de</strong>stinée à améliorer la linéarité <strong>de</strong> la conversion <strong>de</strong> (V mem -V seuil ) <strong>et</strong> par l'introductiond'une secon<strong>de</strong> conversion par résistance pour obtenir une dépendance à un rapport <strong>de</strong>résistances pour le paramètre <strong>de</strong> pente. Si la valeur absolue d'une résistance est mal contrôléeen microélectronique, l'appariement <strong>de</strong> <strong>de</strong>ux résistances, surtout <strong>de</strong> même valeur, est bienmeilleur.2.3.2. Résolution <strong>de</strong> l'équation différentielle - intégrateur.L'intégrateur est réalisé à partir d'un convoyeur <strong>de</strong> courant type CC2+ câblé en intégrateur, unmiroir dédouble le courant <strong>de</strong> sortie afin <strong>de</strong> le reboucler en entrée.La valeur <strong>de</strong> la constante <strong>de</strong> temps est donnée par la relation = RC, avec R = 10 krésistance intégrée, <strong>et</strong> C valeur du con<strong>de</strong>nsateur externe connecté à une broche du circuit.Les limitations <strong>de</strong> ce bloc sont : la valeur <strong>de</strong> la constante <strong>de</strong> temps n'est pas programmable électriquement, elle dépend directement <strong>de</strong> la valeur absolue d'une résistance intégrée R, elle utilise une broche du circuit, ce qui limite le nombre <strong>de</strong> conductances ioniquesintégrables.Modification pour la bibliothèque fpca-r (paragraphe 3.5) :Parmi les améliorations souhaitables, la suppression du con<strong>de</strong>nsateur externe (pour limiter lenombre <strong>de</strong> broches du circuit) est difficile à réaliser en raison <strong>de</strong>s fortes valeurs qu'il seraitnécessaire d'intégrer. Elle n'est cependant pas impossible <strong>et</strong> dans notre équipe, LudovicAlvado [ALVADO 99] a intégré une conductance ionique dont les constantes <strong>de</strong> tempsutilisent <strong>de</strong>s con<strong>de</strong>nsateurs internes d'une capacité raisonnable <strong>de</strong> 5 pF. Avec c<strong>et</strong>te valeur <strong>et</strong> enutilisant <strong>de</strong> fortes atténuations réalisées grâce à l'emploi <strong>de</strong> transistors MOS polarisés sous leseuil, il a obtenu <strong>de</strong>s constantes <strong>de</strong> temps réglables entre 0,1 ms <strong>et</strong> 100 ms.96


Chapitre III : circuits intégrés réalisésPour notre part, nous avons gardé un con<strong>de</strong>nsateur externe <strong>et</strong> ajouté un atténuateur commandédans la boucle <strong>de</strong> résolution. Ce bloc nous perm<strong>et</strong> d'ajuster électriquement la valeur <strong>de</strong> laconstante <strong>de</strong> temps sur une plage d'une déca<strong>de</strong> pour un con<strong>de</strong>nsateur donné.2.3.3. Puissances.Les puissances sont réalisées par mise en casca<strong>de</strong> <strong>de</strong> plusieurs multiplieurs log-antilog <strong>et</strong>l'utilisation à la sortie <strong>de</strong> l'intégrateur d'un miroir <strong>de</strong> courant à sorties multiples. Un étaged'adaptation est nécessaire entre les multiplieurs.Modification pour la bibliothèque fpca-r :Afin <strong>de</strong> réduire la surface <strong>de</strong> silicium occupée par ces blocs, nous avons gardé le principe dumultiplieur log-antilog mais introduit plusieurs transistors dans la boucle translinéaire qui lecompose (paragraphe 3.3.2). L'opération "puissance" est donc réalisée grâce à un seul bloc. Lefacteur <strong>de</strong> c<strong>et</strong>te puissance reste cependant fixé par le circuit <strong>et</strong> n'est donc pas ajustable.2.3.4. Multiplieurs <strong>de</strong> sorties.Un premier multiplieur log-antilog sert à obtenir le produitgq pion.h .m, puis un multiplieur"b<strong>et</strong>a-immune" sert à la multiplication finale <strong>de</strong> ce terme par la sortie d'un convertisseurtension-courant <strong>de</strong> la différence <strong>de</strong> potentiel (V mem -E ion ). Le convertisseur est réalisé par unepaire différentielle MOS simple.Nous r<strong>et</strong>rouvons ici pour (V mem -E ion ) le même problème <strong>de</strong> saturation que nous avonsmentionné pour la sigmoï<strong>de</strong>. Il est dû, là encore, à la non-linéarité <strong>de</strong> la paire différentielleMOS.De même, le courant gionprovient comme pour le paramètre Pente d'une branche d'une pairedifférentielle MOS. Par contre, à l'inverse du cas <strong>de</strong> Pente, la non-linéarité <strong>de</strong> la conversionn'est plus négligeable sur la plage utilisée. Cela n'induit pas d'erreur sur le calcul, maisintroduit une plus gran<strong>de</strong> complexité dans l'expression <strong>de</strong> la relation entre le paramètreélectrique <strong>et</strong> le paramètre biologique :g1 A(V2 V1B(V V2ion g 0)g 0)ionion(3.8)97


Chapitre III : circuits intégrés réalisésC<strong>et</strong>te formule provenant <strong>de</strong> la conversion tension-courant réalisée par la paire différentielleMOS. Le courant I D d'une branche d'une telle paire est donné en fonction <strong>de</strong> l'entréedifférentielle V id <strong>et</strong> <strong>de</strong>s paramètres <strong>de</strong> l'amplificateur par la relation classique :22 ISSVid I DSS VidI DSSID 12 (3.9)2 Vp ISS VP ISSModification pour la bibliothèque fpca-r :Nous avons utilisé <strong>de</strong>s paires MOS entrecroisées pour améliorer la linéarité.2.3.5. Amplificateur <strong>de</strong> sortie <strong>et</strong> conductance <strong>de</strong> fuite.Les blocs "conductances ioniques" se comportent comme <strong>de</strong>s générateurs <strong>de</strong> courantdépendant <strong>de</strong> V mem <strong>et</strong> <strong>de</strong>s différents paramètres. Ils sont connectés sur un con<strong>de</strong>nsateur <strong>et</strong> unerésistance externe.Le con<strong>de</strong>nsateur modélise la capacité membranaire, <strong>et</strong> la résistance le canal <strong>de</strong> fuite :Ifuite1Rfuitecanal <strong>de</strong> fuite.Vmem Efuite, la <strong>de</strong>uxième broche <strong>de</strong> R fuite étant connecté au potentiel E fuite duLes valeurs élevées <strong>de</strong> R fuite (<strong>de</strong> l'ordre <strong>de</strong> 500 k) impliquent que les sorties <strong>de</strong>sconductances ioniques soient <strong>de</strong> "bons" générateurs <strong>de</strong> courant, c'est-à-dire que leursimpédances <strong>de</strong> sortie soient suffisamment élevées. Pour répondre à ce critère l'étage <strong>de</strong> sortieutilise un miroir BiCMOS. L'étage lui-même est réalisé autour d'un convoyeur <strong>de</strong> courant d<strong>et</strong>ype CC2+ <strong>et</strong> perm<strong>et</strong> d'introduire un gain fixe pour choisir la plage <strong>de</strong> conductance pour lesdifférentes espèces ioniques. Ainsi, pour "susie" <strong>et</strong> "calvin", les paramètres g ion<strong>de</strong>sconductance Na <strong>et</strong> K sont dix fois supérieurs à ceux <strong>de</strong>s conductances Ca <strong>et</strong> K(Ca).Ces amplificateurs <strong>de</strong> sorties occupent une surface importante, principalement en raison <strong>de</strong>srésistances <strong>et</strong> <strong>de</strong>s transistors bipolaires utilisés.Modification pour la bibliothèque fpca-r :Nous avons utilisé un convertisseur tension-courant ajustable pour modéliser la conductance<strong>de</strong> fuite. Cela perm<strong>et</strong> d'ajuster électriquement le paramètre R fuite <strong>et</strong> <strong>de</strong> relaxer les contraintessur les amplificateurs <strong>de</strong> sortie qui sont maintenant réalisés par un étage MOS <strong>de</strong> faiblesurface.98


Chapitre III : circuits intégrés réalisés2.3.6. Courant <strong>de</strong> stimulation <strong>et</strong> <strong>de</strong> compensation.Les courants <strong>de</strong> compensation <strong>et</strong> <strong>de</strong> stimulation sont obtenus par <strong>de</strong>s convertisseurs tensioncourantlinéaires réalisés avec <strong>de</strong>s convoyeurs <strong>de</strong> courant type CC2+. Le gain <strong>de</strong> conversionest égal à la valeur d'une résistance externe R gain .Modification pour la bibliothèque fpca-r :Nous avons utilisé une paire entrecroisée pour réaliser c<strong>et</strong>te conversion, la surface occupée estplus faible <strong>et</strong> la résistance externe est supprimée.2.3.7. Calcium-dépendance.Les équations décrivant ce modèle sont indiquées au chapitre II (équations 2.36 à 2.38). L<strong>et</strong>erme Cacst provenant d'une conversion tension-courant réalisée par une paire différentielleMOS :A 12 K (Cacst Cacst0) 1 (Cacst Cacst0)B 2(3.10)Modification pour la bibliothèque fpca-r :Nous avons utilisé une paire entrecroisée pour réaliser c<strong>et</strong>te conversion, le reste <strong>de</strong>l'implémentation reste inchangé, il est développé au paragraphe 4.2.3.2.4.Métho<strong>de</strong> <strong>de</strong> test <strong>de</strong>s circuits.Pour nos circuits, nous considérons que le bloc élémentaire est la conductance ionique <strong>et</strong> c'estce niveau <strong>de</strong> hiérarchie auquel nous avons accès par l'intermédiaire <strong>de</strong>s différentesentrée/sortie. Pourtant chaque conductance est constituée d'un grand nombre d'opérateursélémentaires, chacun ayant ses caractéristiques propres. Pour tester chacun d'entre eux, ilaurait fallu concevoir un circuit spécifique où ils auraient été accessibles individuellement.Deux solutions étaient envisageables, un test sous pointes long <strong>et</strong> délicat ou une multiplication<strong>de</strong>s plots d'entrée/sortie alors que nous cherchons justement à en diminuer le nombre. De plus,afin <strong>de</strong> garantir la précision <strong>de</strong>s mesures il est souvent nécessaire d'ajouter <strong>de</strong>s étages tamponsassurant l'adaptation <strong>de</strong> la charge que constitue le plot ou la micropointe.Face à ces difficultés, nous avons fait un choix différent. Les circuits sont routés en vue d'uneutilisation comme simulateur <strong>de</strong> <strong>neurones</strong>, aucun nœud interne inutile à c<strong>et</strong>te fonction n'estaccessible pour le test. Finalement le test se fait à la manière <strong>de</strong>s neurobiologistes, c'est-à-dire99


Chapitre III : circuits intégrés réalisésen faisant <strong>de</strong>s mesures <strong>de</strong> voltages imposés : pour chaque valeur <strong>de</strong> paramètre nous mesuronsla caractéristique I cond = f(V mem ) dont nous pouvons extraire les différents paramètres. Nousavons évi<strong>de</strong>mment l'avantage <strong>de</strong> pouvoir réellement isoler chaque famille <strong>de</strong> canaux ioniques,chaque conductance. Outre la vérification <strong>de</strong>s fonctionnalités <strong>de</strong> nos circuits, ces mesuresserviront aussi à l'étalonnage <strong>de</strong> nos formules <strong>de</strong> transformation électrique/biologique. Lesmesures sont donc réalisées avec la carte d'application <strong>et</strong> il n'est pas nécessaire <strong>de</strong> construireun système <strong>de</strong> test spécifique. Rappelons qu'elles font l'obj<strong>et</strong> du chapitre IV.3. CIRCUIT "BABAR" : MEMOIRES A GRILLE FLOTTANTE.Figure 3-4 : microphotographie du circuit mixte "babar". Dimensions 1970 X 2040 µm 2 , 28broches, boîtier dil28, BiCMOS AMS 0,8 µm, 945 composants (390 NMOS, 427 PMOS, 12pnp, 70 npn, 33 con<strong>de</strong>nsateurs, 13 résistances).3.1.Bibliographie <strong>et</strong> choix technologiques.Nous avons conçu un circuit utilisant la technique <strong>de</strong> grille flottante pour la mémorisation<strong>analogique</strong>. Comme c<strong>et</strong>te idée a été proposée par différents groupes <strong>de</strong> recherche durant ces<strong>de</strong>rnières années, nous commençons par présenter <strong>de</strong>ux tableaux illustrant leurs travaux. Ilsregroupent <strong>de</strong>s publications significatives traitant <strong>de</strong> la conception <strong>et</strong> <strong>de</strong> l'utilisation <strong>de</strong>mémoires <strong>analogique</strong>s à grille flottante en technologie CMOS standard <strong>et</strong> résument lesprincipales caractéristiques qui nous serviront à faire nos choix <strong>de</strong> conception.3.1.1. Mécanisme d'injection.Nous avons r<strong>et</strong>enu l'injection par courant tunnel pour les opérations d'écriture <strong>et</strong> d'effacement.En eff<strong>et</strong> l'injection par porteurs chauds est unidirectionnelle <strong>et</strong> implique <strong>de</strong>s courantsincompatibles avec un système à pompe <strong>de</strong> charge <strong>et</strong> donc l'utilisation d'un générateur100


Chapitre III : circuits intégrés réalisésspécifique pour la tension <strong>de</strong> programmation. De plus l'amélioration du ren<strong>de</strong>ment d'injectionnécessite <strong>de</strong>s structures pouvant violer les règles <strong>de</strong> <strong>de</strong>ssin <strong>de</strong>s fon<strong>de</strong>urs.3.1.2. Dispositif tunnel.Deux oxy<strong>de</strong>s peuvent servir à la réalisation <strong>de</strong> l'injecteur tunnel, l'oxy<strong>de</strong> <strong>de</strong> grille ou l'oxy<strong>de</strong>interpolysilicium si la technologie employée comporte <strong>de</strong>ux niveaux <strong>de</strong> polysilicium.Plusieurs auteurs considèrent que l'oxy<strong>de</strong> <strong>de</strong> grille est <strong>de</strong> meilleure qualité que l'oxy<strong>de</strong>interpolysilicium, notamment en raison du moins grand nombre supposé <strong>de</strong> sites <strong>de</strong> piégeage àl'interface silicium/oxy<strong>de</strong>. Mais c'est aussi, dans la plupart <strong>de</strong>s cas, l'oxy<strong>de</strong> le plus fin oumême le seul disponible.La technologie BiCMOS que nous utilisons comporte <strong>de</strong>ux niveaux <strong>de</strong> polysilicium avec uneépaisseur d'oxy<strong>de</strong> interpolysilicium réduite (T interpolysilicium = 19,5 nm typique) qui estnécessaire à la réalisation <strong>de</strong> con<strong>de</strong>nsateur <strong>de</strong> capacité importante. L'oxy<strong>de</strong> <strong>de</strong> grille estlégèrement plus fin (T ox = 16 nm typique). La qualité <strong>de</strong> l'interface est très dépendante duprocédé <strong>de</strong> fabrication <strong>et</strong>, hormis la tension <strong>de</strong> claquage, aucun paramètre la concernant n'estfourni par le fon<strong>de</strong>ur. Il ne nous est donc pas possible <strong>de</strong> faire un choix en utilisant ce critère.Finalement, nous réalisons l'injection au travers <strong>de</strong> l'oxy<strong>de</strong> interpolysilicium, l'avantaged'utiliser un vrai con<strong>de</strong>nsateur plutôt qu'un con<strong>de</strong>nsateur MOS étant décisif. En eff<strong>et</strong>l'injection au travers <strong>de</strong> l'oxy<strong>de</strong> <strong>de</strong> grille suppose qu'une <strong>de</strong>s armatures du con<strong>de</strong>nsateur soitréalisée par le substrat en silicium. La variation <strong>de</strong> l'épaisseur effective d'isolant en fonction <strong>de</strong>la tension appliquée vient alors perturber l'eff<strong>et</strong> tunnel.Nous avons testé plusieurs structures <strong>de</strong>stinés à réduire la tension <strong>de</strong> programmationnécessaire. Nous supposons qu'elle restera inférieure aux limites imposées par le procédé <strong>et</strong>les étages <strong>de</strong> commutations n'utilisent pas <strong>de</strong> transistors spéciaux.3.1.3. Métho<strong>de</strong> <strong>de</strong> programmation.Afin que le processus tunnel soit bidirectionnel, il est nécessaire d'utiliser une métho<strong>de</strong>perm<strong>et</strong>tant l'inversion <strong>de</strong> polarité aux bornes du con<strong>de</strong>nsateur d'injection. L'utilisation d'un<strong>et</strong>ension négative est beaucoup trop délicate à m<strong>et</strong>tre en œuvre <strong>et</strong> nous avons donc utilisé un<strong>et</strong>echnique plus classique <strong>de</strong> couplage capacitif (Voir figure 2-23 B).C'est la métho<strong>de</strong> généralement r<strong>et</strong>enue dès qu'il s'agit <strong>de</strong> contrôler plus d'un point mémoire.En eff<strong>et</strong> nombre d'auteurs qui ont testé <strong>de</strong>s mémoires à grilles flottantes ont occulté ceproblème101


Référence.[OHSAKI 94]Métho<strong>de</strong>d'injection <strong>de</strong>charge.NMOS EC ou FNToxy<strong>de</strong> <strong>de</strong> grille 15nm, 17/20VMétho<strong>de</strong> <strong>de</strong> r<strong>et</strong>rait<strong>de</strong> charge.FNT oxy<strong>de</strong> <strong>de</strong>grille 15 nm,17/20VTechnologieutilisée.CMOS 0,8 m,1 poly[NOULLET 95] FNT interpoly, 25 V CMOS 1,2 m,2 poly[DIORIO 95][CHAI 96]NMOS EC.Implantation P+pour élever latension <strong>de</strong> seuil duNMOSFNT oxy<strong>de</strong> <strong>de</strong>grille 34,2 nmFNT interpolysilicium 14/16,5 V. Dessind'injecteur perm<strong>et</strong>tant d'augmenter lechamp électrique[LANDE 96] FNT oxy<strong>de</strong> <strong>de</strong> grille, 14,5/17,5 V.Contact m<strong>et</strong>al/poly sur le con<strong>de</strong>nsateurd'injection perm<strong>et</strong>tant d'augmenter lechamp électrique[BUCHAN 97a], FNT interpolysilicium 56-82 nm[BUCHAN 97b][HARRISON 98][LAFLAQUIERE98]NMOS EC.Implantation P+pour élever latension <strong>de</strong> seuil duNMOSFNT interpoly, ~15 VFNT oxy<strong>de</strong> <strong>de</strong>grille 34,2 nm,35/40 V.Implantation N+pour renforcer lechamp électriqueOrbitSemiconductorBiCMOS 2 mnwellCMOS, 2 polynwellCMOS 2 m,1 polyMi<strong>et</strong>ec CMOS2,4 m 2 polyOrbitSemiconductorBiCMOS 2 mnwellAMS BiCMOS0,8 m.Commutation <strong>de</strong> latension <strong>de</strong>programmation.étages haut<strong>et</strong>ension à couplagecapacitifexterneOrganisation.matrice. La plein<strong>et</strong>ension <strong>de</strong>programmationn'est appliquée qu'àune cellulematrice 4 x 4. Lapleine tension <strong>de</strong>programmationn'est appliquée qu'àune cellule.Dispositif <strong>de</strong>programmationintégré.Précision <strong>et</strong>rétention.- évaluation <strong>de</strong>seff<strong>et</strong>s <strong>de</strong> piégeagesdans l'oxy<strong>de</strong> <strong>et</strong>vieillissementaccéléré, modèl<strong>et</strong>hermoactivéexterne.multiplexeur<strong>analogique</strong> pourlire un pointspécifique.externe 1 cellule externe résolutionéquivalente <strong>de</strong> 14 à15 bitsinutile matrice 2 x 2 boucle <strong>de</strong>rétroaction <strong>et</strong>logique <strong>de</strong> contrôleintégréeEtage haute tensioninterne à transistorsLDDEtage <strong>de</strong> sortie.décalage du seuildu transistorcomposite qui faitpartie intégrante ducircuitRemarques.EEPROM numérique dontl'auteur propose l'utilisationcomme mémoire <strong>analogique</strong>.l'injection FNT est obtenuepar couplage capacitif- multiplexeur -résolutionéquivalente <strong>de</strong> 6bitstension, sourcecommune polariséesous le seuilpaire différentielledont un <strong>de</strong>sbranches contientle transistor à grilleflottantesélectionnée1 cellule - - le courant duPMOS <strong>de</strong> sortie estrecopié par unmiroir <strong>de</strong> gain 100externe, bipolaire - La tension <strong>de</strong>programmation estexterne mais <strong>de</strong>ssignaux logiquesinternes contrôlel'écritureEtage haute tensioninterne à transistorsLDDEtage haute tensioninternevecteurcompensation ducouplage d<strong>et</strong>ension <strong>de</strong>programmationperm<strong>et</strong>tant unelecture continuependant l'écriture- courant <strong>de</strong> sortiedu MOSrésolutionéquivalente <strong>de</strong> 13bitsUn suiveur recopiele potentiel <strong>de</strong> lagrille flottante versle circuit àcontrôlervecteur Machine d'état. - Amplificateursource commune.-Grâce à l'injecteur utilisé, lestensions <strong>de</strong> programmationrestent inférieures auxspécifications <strong>de</strong> latechnologie utilisée-Schéma d'écriture original-Automatisation <strong>de</strong> l'écriturepar cyclesécriture/comparaison.Tableau 3-2 : caractéristiques <strong>de</strong> différentes étu<strong>de</strong>s <strong>de</strong> dispositifs <strong>de</strong> mémorisation <strong>analogique</strong> à grille flottante en technologie CMOS standard. EC =injection par électron chaud, FNT = eff<strong>et</strong> Tunnel Fowler-Nordhein.


Référence. Application. Métho<strong>de</strong>d'injection <strong>de</strong>charge.[CARLEY 89][HOLLER 89][LAZZARO 94][HASLER 95],[DIORIO 96][HASLER 96][KRUGER 96][SARPESHKAR96][MONTALVO97]Compensationd'offs<strong>et</strong> d'un AOPCMOS.poids synaptique<strong>de</strong> <strong>neurones</strong>formelsparamètres d'undispositifd'analyse spectral<strong>analogique</strong> <strong>de</strong>sonspoids synaptique<strong>de</strong> <strong>neurones</strong>formelsSuppression <strong>de</strong>composantecontinue d'unampli PMOS'Winner Take All'calibration <strong>de</strong>filtres <strong>analogique</strong>sutilisés pour unecochlée artificiellepoids synaptique<strong>de</strong> <strong>neurones</strong>formels avecapprentissageintégréFNT oxy<strong>de</strong> <strong>de</strong>grille 40 nm,10/15 V.Implantation N+pour renforcer lechamp électrique.Métho<strong>de</strong> <strong>de</strong> r<strong>et</strong>rait<strong>de</strong> charge.FNT oxy<strong>de</strong> ultra-fin. 12/20 VTechnologieutilisée.- CMOS 2 mpwell, 2 polyspécifiqueEEPROM (**)Commutation <strong>de</strong>la tension <strong>de</strong>programmation.Organisation.Dispositif <strong>de</strong>programmationintégré.externe, bipolaire 1 cellule séquenceur intégréà <strong>de</strong>ux phases,lecture/écritureinutilesFNT interpolysilicium 20/25 V CMOS 2 poly Etage haut<strong>et</strong>ension interne àtransistors LDD.NMOS EC.Implantation P+pour élever latension <strong>de</strong> seuil duNMOSPMOS ECNMOS EC.Implantation P+pour élever latension <strong>de</strong> seuil duNMOS.FNT oxy<strong>de</strong> <strong>de</strong>grille 35 nm 45 V.Implantation N+pour renforcer lechamp électriqueFNTinterpolysilicium440 nm, 33/40 VFNTinterpolysilicium40 VOrbitSemiconductorBiCMOS 2 mnwellCMOS 2 mnwellBiCMOS 2 mnwellNMOS EC. ? OrbitsemiconductorCMOS 2 mnwell 2 polymatrice distribuée,total <strong>de</strong> 10240mémoiresvecteur 1 x 30séquence à <strong>de</strong>uxphaseslecture/écritureexterneséquence à <strong>de</strong>uxphaseslecture/écritureexternePrécision <strong>et</strong>rétention.vieillissementaccéléré, modèl<strong>et</strong>hermoactivé :0,1 %/10 ans à100 °Cvieillissementaccéléré, modèl<strong>et</strong>hermoactivéexterne matrice 2 x 2 non évaluation <strong>de</strong>seff<strong>et</strong>s <strong>de</strong>piégeages dansl'oxy<strong>de</strong>externe 1 cellule rétroaction<strong>analogique</strong>continueexternedistribué, 1 cellulepar filtreFNT pourinitialisation,rétroaction<strong>analogique</strong>pendant ECinutile ? matrice distribuée rétroaction<strong>analogique</strong>Etage <strong>de</strong> sortie.courant.Polarisation d'unebranche <strong>de</strong> lapaire à compenserdécalage du seuildu transistorcomposite qui faitpartie intégrantedu circuit- Un suiveurrecopie lepotentiel <strong>de</strong> lagrille flottantevers le circuit àcontrôlerdécalage du seuildu transistorcomposite qui faitpartie intégrantedu circuit- décalage du seuildu transistorcomposite qui faitpartie intégrantedu circuit- La grille flottanteest directementconnectée àl'entrée MOS ducircuit qu'ellecontrôle- La grille flottanteserait directementconnectée àl'entrée MOS ducircuit qu'ellecontrôle(*) le circuit réalisé utilise uniquement <strong>de</strong>s mémoires à échantillonneur-bloqueur, mais l'auteur propose d'ajouter une mémorisation non volatile à grille flottante à la fin <strong>de</strong> l'apprentissage.(**) ce circuit utilise une technologie spécifique (oxy<strong>de</strong> ultra-mince), nous l'avons cependant inclus dans ce tableau car, à notre connaissance, c'est le seul circuit <strong>de</strong> ce type qui ait été commercialisé.Remarques.l'injection FNTutilise une tensionnégativecircuitcommercialisé parIntell'injection FNT estobtenue parcouplage capacitif--Les auteursannoncent "firstsystem levelapplication of thistechnology"Non réalisé(*),mais l'idée estintéressanteTableau 3-3 : caractéristiques <strong>de</strong> différentes applications utilisant <strong>de</strong>s mémoires <strong>analogique</strong>s à grille flottante en technologie CMOS standard. EC =injection par électron chaud, FNT = eff<strong>et</strong> Tunnel Fowler-Nordhein.


Chapitre III : circuits intégrés réalisés.en ne testant que <strong>de</strong>s points mémoires isolés dont les tensions <strong>de</strong> programmation étaientfournies par un dispositif externe. C<strong>et</strong>te solution n'est pas envisageable pour programmer ungrand nombre <strong>de</strong> points mémoires Notons l'approche intéressante proposée par Buchan[BUCHAN 97a], [BUCHAN 97b] : c'est la génération externe du signal <strong>de</strong> programmationbipolaire, le couplage capacitif interne d'un signal en phase avec c<strong>et</strong>te tension <strong>de</strong>programmation perm<strong>et</strong>tant d'obtenir ou pas l'amplitu<strong>de</strong> nécessaire à l'eff<strong>et</strong> tunnel <strong>et</strong> ainsi <strong>de</strong>programmer sélectivement chaque point.3.1.4. Dispositif <strong>de</strong> lecture <strong>et</strong> organisation <strong>de</strong>s cellules.Afin <strong>de</strong> limiter l'excursion du potentiel <strong>de</strong> grille flottante <strong>et</strong> donc <strong>de</strong> diminuer le temps <strong>de</strong>programmation, nous utilisons un étage amplificateur, c'est un simple montage à sourcecommune.Nous avons aussi utilisé un étage suiveur pour pouvoir mesurer directement la variation dupotentiel <strong>de</strong> grille flottante <strong>et</strong> évaluer le courant tunnel.10V10VA)C tunnel8,398 fFgrille flottanteV tunnelC bootV boot226,4 fFV lectw = 2 µml = 2 µmw = 2 µml = 10 µmV outB)V polV tunnelV bootC tunnel8,398 fFC boot226,4 fFgrille flottantew = 2 µml = 2 µmw = 2 µml = 2 µmV out109V out (V)109Vout (V)88776gain = -326Vout = 1.88+0.98 V f55443322A')1V f (V)00 1 2 3 4 5 6 7 8 9 10B')1V f (V)00 1 2 3 4 5 6 7 8 9 10Figure 3-5 : A) point mémoire avec étage <strong>de</strong> sortie amplificateur <strong>et</strong> A') sa fonction d<strong>et</strong>ransfert théorique. B) point mémoire avec étage <strong>de</strong> sortie suiveur <strong>et</strong> B') sa fonction d<strong>et</strong>ransfert théorique.104


Chapitre III : circuits intégrés réalisés.La plage que doivent couvrir les mémoires <strong>analogique</strong>s est centrée sur le point milieu <strong>de</strong>l'alimentation 5 V. Afin <strong>de</strong> ne pas introduire <strong>de</strong> dissymétrie entre l'injection <strong>et</strong> le r<strong>et</strong>rait <strong>de</strong>charge, il est important <strong>de</strong> maintenir le potentiel <strong>de</strong> grille flottante autour <strong>de</strong> 0 V, pour yparvenir nous obtenons le décalage nécessaire par couplage d'une tension non-nulle pendant lalecture.Le nombre important <strong>de</strong> paramètres <strong>de</strong> nos modèles implique l'organisation en matrice <strong>de</strong>spoints mémoires. Le schéma <strong>de</strong> couplage capacitif se prête bien à une telle structure.Enfin, en lecture tous les points sont accédés parallèlement <strong>et</strong> disponibles simultanément.Nous avons cependant ajouté un multiplexeur <strong>analogique</strong> distribué dans la matrice <strong>et</strong> contrôlépar les déco<strong>de</strong>urs lignes/colonnes utilisés pour la programmation. Ce dispositif estindispensable pour lire uniquement la cellule en cours <strong>de</strong> programmation qui est un cycle <strong>de</strong>lecture/écriture qui se poursuit jusqu'à obtention <strong>de</strong> la valeur souhaitée. Nous n'avons pasintégré d'automate <strong>de</strong> programmation gérant ces cycles, pour ce circuit <strong>de</strong> test ils sont réaliséspar une logique externe.3.2.Description <strong>et</strong> fonctionnement du circuit.Figure 3-6 : microphotographie <strong>de</strong> la matrice 2 X 4 <strong>de</strong> mémoires à grille flottante, dimensions520 m X 230 m.3.2.1. Organisation du circuit.Nous avons implanté une matrice <strong>de</strong> 8 mémoires <strong>analogique</strong>s, arrangées en 2 lignes <strong>de</strong> 4cellules. Son organisation est illustrée par la figure 3-7.L'accès <strong>et</strong> le contrôle <strong>de</strong> la matrice se fait par l'intermédiaire <strong>de</strong> 4 signaux :Ai : adresse du point mémoire à accé<strong>de</strong>r.RbW : signal <strong>de</strong> lecture/écriture.105


Chapitre III : circuits intégrés réalisés.UpDownb : choix du sens <strong>de</strong> programmation lors <strong>de</strong> l'écriture.EnMuxb : validation du multiplexeur <strong>de</strong> sortie.A idéco<strong>de</strong>ur colonneRbWUpDownblogiquectrl1ctrl2étages HTEnMuxbCHT iC iLHT idéco<strong>de</strong>ur ligneétages HTL iM3M7M2M6M1M5M0M4LHTiCHTiVfMiMuxM iMuxLiCiEnMuxbFigure 3-7 : schéma <strong>de</strong> principe <strong>de</strong> la matrice <strong>de</strong> mémoires <strong>analogique</strong>s.En mo<strong>de</strong> lecture (RbW = 0) toutes les données sont présentées sur les 8 lignes Mi internes. Sile signal EnMuxb est validé (EnMuxb = 0) la valeur du point mémoire désigné par les entréesAi est disponible sur la broche Mux.En écriture (RbW = 1) la tension <strong>de</strong> programmation est appliquée sur le point mémoiredésigné par les entrées Ai. Le sens <strong>de</strong> c<strong>et</strong>te tension, <strong>et</strong> donc le sens du courant tunnel, est fixépar le signal UpDownb. Si UpDownb = 0, <strong>de</strong>s électrons sont injectés sur la grille flottante <strong>et</strong>son potentiel décroît, si UpDownb = 1, <strong>de</strong>s électrons sont arrachés <strong>de</strong> la grille flottante <strong>et</strong> sonpotentiel croît.3.2.2. Organisation <strong>de</strong> la matrice <strong>de</strong> mémoires.Chaque grille flottante est pourvue <strong>de</strong> <strong>de</strong>ux con<strong>de</strong>nsateurs (voir figure 3-5). Le premier C tunnel ,<strong>de</strong> faible valeur, est le con<strong>de</strong>nsateur d'injection, son oxy<strong>de</strong> est traversé par le courant tunnel.Le second C boot , <strong>de</strong> plus forte valeur, sert à contrôler par couplage capacitif le potentiel <strong>de</strong> lagrille flottante <strong>et</strong> perm<strong>et</strong> ainsi d'inverser la polarité <strong>de</strong> la tension appliquée à C tunnel .Les con<strong>de</strong>nsateurs d'injection sont connectés en ligne <strong>et</strong> les con<strong>de</strong>nsateurs <strong>de</strong> couplages encolonne (figure 3-7). Chacune <strong>de</strong>s lignes <strong>et</strong> colonnes est pilotée par un étage "haute tension"qui peut fournir trois niveaux <strong>de</strong> sortie : la tension zéro, c'est-à-dire le potentiel <strong>de</strong> référencedu circuit, la tension <strong>de</strong> programmation V high <strong>et</strong> une tension intermédiaire V medium . Pour cecircuit <strong>de</strong> test V high <strong>et</strong> V medium sont <strong>de</strong>s tensions externes.106


Chapitre III : circuits intégrés réalisés.Le potentiel <strong>de</strong> la grille flottante V f est donné par la relation suivante :VQCCftunnelbootf VL VC(3.11)CtotCtotCtotavec : - Q f charge stockée sur la grille flottante,- V L potentiel appliqué à la ligne,- V C potentiel appliqué à la colonne,- C tot capacité totale <strong>de</strong> la grille flottante, c'est-à-dire C tot = C boot +C tunnel +C p , C preprésentant la capacité parasite sur la grille flottante.Parmi les 9 polarisations différentes qu'autorise ce schéma, 3 sont <strong>de</strong>s configurations utiles, 4<strong>de</strong>s états parasites qui surviennent lors <strong>de</strong> la programmation <strong>et</strong> les 2 <strong>de</strong>rnières ne sont pasautorisées par la logique <strong>de</strong> comman<strong>de</strong> :VL0 V medium V high0 impossible parasite écriture, injection d'e -V medium parasite lecture parasiteV high écriture, r<strong>et</strong>rait d'e - parasite impossibleTableau 3-4 : états d'un point mémoire en fonction <strong>de</strong>s tensions appliquées sur sescon<strong>de</strong>nsateurs C tunnel <strong>et</strong> C boot .VcLecture : c'est l'état normal, la tension V medium est appliquée aux <strong>de</strong>ux con<strong>de</strong>nsateurs <strong>et</strong> estdonc couplée sur la grille flottante. C'est ce mécanisme qui perm<strong>et</strong> d'obtenir le décalagenécessaire au centrage <strong>de</strong> la plage <strong>de</strong>s paramètres.Ecriture : la pleine échelle <strong>de</strong> programmation est appliquée aux <strong>de</strong>ux con<strong>de</strong>nsateurs avec<strong>de</strong>ux polarités possibles perm<strong>et</strong>tant ainsi d'inverser le sens du courant tunnel.En utilisant l'équation 3.11, nous obtenons la tension aux bornes <strong>de</strong> l'injecteur tunnel pources <strong>de</strong>ux cas :injectionVQCfboottunnel Vhigh(3.12)CtotCtotr<strong>et</strong>raitVtunnelQCQ Cftunnelfboot p Vhigh Vhigh Vhigh(3.13)CtotCtotCtotCtotCEtats parasites : quand la pleine échelle <strong>de</strong> programmation est appliquée à une cellule <strong>de</strong> lamatrice, les autres cellules se trouvant sur les mêmes ligne <strong>et</strong> colonne ne sont plus107


Chapitre III : circuits intégrés réalisés.connectées uniquement à la tension V medium . Un <strong>de</strong>s con<strong>de</strong>nsateurs est polarisé par V high ou0 selon une <strong>de</strong>s 4 possibilités indiquées dans le tableau. Dans ces conditions, la tensionaux bornes du con<strong>de</strong>nsateur d'injection C tunnel vaut V medium ou (V high - V medium ) <strong>et</strong> reste tropfaible pour induire un eff<strong>et</strong> tunnel. Quand une cellule est en cours <strong>de</strong> programmation, lesvaleurs mémorisées sur les autres cellules ne doivent pas être perturbées.Impossible : la logique <strong>de</strong> décodage n'autorise pas ces états.Un premier bloc <strong>de</strong> quatre mémoires (mémoires n° 2, 3, 6 <strong>et</strong> 7 conformément à lanumérotation <strong>de</strong> la figure 3-7) utilise les étages <strong>de</strong> sortie amplificateurs (figure 3-5-A). Lesecond bloc <strong>de</strong> quatre mémoires (mémoires n° 0, 1, 4 <strong>et</strong> 5) utilise les étages <strong>de</strong> sortie suiveurs(figure 3-5-B).C<strong>et</strong>te organisation par groupe <strong>de</strong> quatre cellules perm<strong>et</strong> <strong>de</strong> tester tous les états indiqués dans l<strong>et</strong>ableau 3-4.3.2.3. Etages haute tension.adaptation <strong>de</strong> niveauV mediumV highM1M2High/MediumV inM3V outFigure 3-8 : schéma électrique <strong>de</strong> l'étage haute tension. Il perm<strong>et</strong> d'obtenir 3 niveaux distinctsen sortie.Ils correspon<strong>de</strong>nt aux blocs indiqués étages HT dans la figure 3-7. Les signaux V in <strong>et</strong>High/ Medium perm<strong>et</strong>tent <strong>de</strong> contrôler le niveau <strong>de</strong> sortie <strong>de</strong> c<strong>et</strong> étage. Il apparaît en faitcomme un simple inverseur (entrée V in , sortie V out ) dont le niveau haut est sélectionné par lacomman<strong>de</strong>High/ Medium . Si High/ Medium = 1 le niveau haut est la tension V high <strong>et</strong> siHigh/ Medium = 0 le niveau haut est la tension V medium .108


Chapitre III : circuits intégrés réalisés.Deux adaptateurs <strong>de</strong> niveau CMOS perm<strong>et</strong>tent <strong>de</strong> transformer l'excursion 0-5 V <strong>de</strong>s signauxlogiques V in <strong>et</strong>High/ Medium , en signaux d'excursion [0, V high ] V nécessaires pour piloter lestransistors <strong>de</strong> commutations M1, M2 <strong>et</strong> M3.Les limites <strong>de</strong> tensions imposées par la technologie utilisée sont résumées ci-<strong>de</strong>ssous :PMOS 0,8 m, V DS max 8 V minimum, 12V typiqueNMOS 0,8 m, V DS max 9 V minimum, 13 V typiqueClaquage d'oxy<strong>de</strong> T ox12 V minimum, >18 V typiqueClaquage d'oxy<strong>de</strong> interpoly 14 V minimum, >20 V typiqueJonction puits N/diffusion P13 V typiqueJonction substrat P/diffusion N18 V typiqueJonction substrat P/puits N55 V typiqueTableau 3-5 : valeurs limites <strong>de</strong> tension pour la technologie AMS BiCMOS 0,8 m.La tension drain-source maximale <strong>de</strong>s transistors MOS est le seul paramètre sur lequel nouspouvons jouer. Il correspond à un mécanisme appelé "punchthrough" : lorsque le transistor estbloqué l'augmentation <strong>de</strong> la tension V DS provoque l'extension <strong>de</strong> la zone d'inversion <strong>de</strong> lajonction drain/canal jusqu'à la source ce qui provoque la mise en conduction brutale dutransistor. Les valeurs du tableau 3-5 correspon<strong>de</strong>nt aux transistors <strong>de</strong> taille minimale,l'allongement du canal perm<strong>et</strong> d'augmenter la tension qui provoque le recouvrement <strong>de</strong> tout lecanal. En eff<strong>et</strong>, dans l'hypothèse d'une jonction abrupte, l'extension <strong>de</strong> la zone <strong>de</strong> charged'espace croît comme la racine carrée <strong>de</strong> la tension inverse aux bornes <strong>de</strong> la jonction :Wn2 Vd V i (3.14)qNDavec : - constante diélectrique,- q charge <strong>de</strong> l'électron,- N D dopage le plus faible <strong>de</strong> la jonction,- V d tension <strong>de</strong> diffusion,- V i tension aux bornes <strong>de</strong> la jonction.Dans ces conditions la limite inférieure <strong>de</strong> claquage provient du claquage d'oxy<strong>de</strong> T ox(12 V/18 V) <strong>et</strong> du claquage <strong>de</strong> la jonction drain/puit <strong>de</strong>s transistors PMOS (13 V), elle fixe lalimite maximale pour la tension V high .109


Chapitre III : circuits intégrés réalisés.3.2.4. Dessins <strong>de</strong>s différents injecteurs tunnel.Enfin, pour finir c<strong>et</strong>te <strong>de</strong>scription, la figure 3-7 réunit les caractéristiques <strong>de</strong>s différents pointsmémoires que nous avons <strong>de</strong>ssinés. Nous avons en eff<strong>et</strong> prévu <strong>de</strong> tester l'efficacité tunnel <strong>de</strong>différents types d'injecteurs, ainsi quatre types différents sont utilisés avec les étages suiveurs.Les étages inverseurs ont une fonction <strong>de</strong> transfert non linéaire qui ne perm<strong>et</strong> pas <strong>de</strong> remonterà la tension <strong>de</strong> grille flottante V f , ils ne perm<strong>et</strong>tent donc pas d'obtenir <strong>de</strong>s informations sur lecourant tunnel <strong>et</strong> sont pourvus <strong>de</strong> cellules i<strong>de</strong>ntiques.3.3.Résultats <strong>et</strong> perspectives.3.3.1. Cycles <strong>de</strong> programmation.7000Vmux (mV)6500M2 M3 M6 M7600055005000450040003500t (s)30000 20 40 60 80 100 120Figure 3-9 : cycle <strong>de</strong> programmation pour les quatre cellules à étages inverseurs <strong>de</strong> lamatrice mémoire.Nous appliquons <strong>de</strong>s cycles <strong>de</strong> programmation aux quatre mémoires utilisant les étages avecgain. L'écriture se fait en appliquant <strong>de</strong>s impulsions <strong>de</strong> durée 100 ms sur la comman<strong>de</strong> RbW.La tension V high est fixée à 13 V <strong>et</strong> la tension V medium à 7,5 V ce qui perm<strong>et</strong> <strong>de</strong> se placer dansle cou<strong>de</strong> d'amplification <strong>de</strong> l'étage (voir figure 3-5-A). A l'excursion <strong>de</strong> 3 V autour <strong>de</strong> la valeurcentrale 5V à la sortie <strong>de</strong> l'étage correspond une variation <strong>de</strong>3 93 mV pour la grille32flottante (en utilisant le gain théorique <strong>de</strong> 32 obtenu en simulation).110


Chapitre III : circuits intégrés réalisés.Mémoire n° 0C tunnel = 19,4 fFC boot = 228 fFAngles <strong>et</strong> recouvrements poly1/poly2.Mémoire n° 1C tunnel = 5,8 fFC boot = 228 fFSchéma le plus simple, valeur <strong>de</strong> C tunnelminimale.Mémoire n° 2C tunnel = 9,7 fFC boot = 229 fFCorrespond aux quatre cellules comportantles étages <strong>de</strong> sortie amplificateurs.Mémoire n° 4C tunnel = 5,8 fFC boot = 228 fFInversion <strong>de</strong> l'ordre <strong>de</strong>s niveaux <strong>de</strong>polysilicium par rapport à la mémoire n° 1afin <strong>de</strong> comparer l'efficacité d'injection enfonction <strong>de</strong> la jonction utilisée.Mémoire n° 5C tunnel = 29,6 fFC boot = 228 fFMémoire conforme à la <strong>de</strong>scription <strong>de</strong> lapublication [CHAI 96].Figure 3-10 : microphotographies <strong>et</strong> caractéristiques <strong>de</strong>s différentes cellules mémoires. Lanumérotation est conforme au schéma <strong>de</strong> la figure 3-7.111


Chapitre III : circuits intégrés réalisés.Chaque mémoire est adressée individuellement, programmée <strong>et</strong> déprogrammée, <strong>et</strong> sa valeurlue sur la sortie du multiplexeur <strong>analogique</strong>. Les signaux <strong>de</strong> contrôle sont appliqués avec unordinateur par le biais d'une carte d'entrée/sortie type PCI1200 (National Instrument). Enparticulier, les impulsions <strong>de</strong> programmation sont générées avec un <strong>de</strong>s "timers" <strong>de</strong> c<strong>et</strong>te carte,sa fréquence d'horloge <strong>de</strong> 1 MHz perm<strong>et</strong>tant d'obtenir une précision <strong>de</strong> 1 s.Nous constatons que la mémoire est fonctionnelle, les temps <strong>de</strong> parcours <strong>de</strong> la pleine échellesont d'une vingtaine <strong>de</strong> secon<strong>de</strong> pour l'injection <strong>de</strong> charge <strong>et</strong> d'une dizaine pour le r<strong>et</strong>rait.D'autre part nous constatons que les tensions <strong>de</strong> grille flottante sont légèrement perturbées parla programmation <strong>de</strong> cellules adjacentes.La fonction <strong>de</strong> transfert non-linéaire <strong>de</strong>s étages <strong>de</strong> sortie ne nous perm<strong>et</strong> pas d'obtenir <strong>de</strong>sindications précises sur la variation du potentiel <strong>de</strong> grille flottante, nous allons doncmaintenant utiliser les quatre mémoires à étages suiveurs pour obtenir plus <strong>de</strong> détails.5360 V mux (mV)53405320M0 M1 M4 M5530052805260524052205200t (s)51800 50 100 150 200Figure 3-11 : cycle <strong>de</strong> programmation pour les quatre cellules à étages suiveurs <strong>de</strong> la matricemémoire.Nous appliquons le même type <strong>de</strong> cycle aux quatre mémoires à étages suiveurs. La tensionV high est fixée à 13 V <strong>et</strong> la tension V medium à 5 V. Là encore le dispositif est fonctionnelpuisque chaque cellule est bien adressée <strong>et</strong> programmée individuellement.Ce cycle n'est cependant pas très représentatif <strong>de</strong>s caractéristiques <strong>de</strong> chaque cellule. En eff<strong>et</strong>en raison <strong>de</strong>s différences <strong>de</strong>s valeurs <strong>de</strong> con<strong>de</strong>nsateurs pour les différentes cellules(voir figure 3-10), les tensions appliquées aux injecteurs tunnel sont très différentes.Pour un niveau <strong>de</strong> sortie moyen <strong>de</strong> 5250 mV, <strong>et</strong> compte tenu <strong>de</strong> la caractéristique <strong>de</strong> transfertthéorique <strong>de</strong> l'étage suiveur (figure 3-5-A) <strong>et</strong> du couplage <strong>de</strong> la tension V medium en prenant une112


Chapitre III : circuits intégrés réalisés.capacité parasite <strong>de</strong> l'ordre <strong>de</strong> 40 fF (équation 3.11), le potentiel <strong>de</strong> grille flottante vautQCftot 1,36 V . En utilisant c<strong>et</strong>te valeur, nous pouvons calculer les tensions <strong>de</strong>programmation <strong>de</strong>s différentes cellules (équations 3.12 <strong>et</strong> 3.13) :M1M09,47/-14,08 8,95/-13,48M5M48,60/-13,07 9,47/-14,08Tableau 3-6 : tensions théoriques (en V) aux bornes <strong>de</strong>s injecteurs tunnel <strong>de</strong>s quatremémoires à étage suiveur. La valeur positive correspond à l'injection <strong>de</strong> charge <strong>et</strong> la valeurQfnégative au r<strong>et</strong>rait. Vhigh = 13 V, Vmedium = 5 V, Cp = 40 pF <strong>et</strong> 1,36VCtotLes tensions sont beaucoup trop dispersées <strong>et</strong> dissymétriques pour pouvoir comparer lesefficacités d'injection <strong>de</strong>s différentes cellules.3.3.2. Comparaison détaillée <strong>de</strong> l'efficacité d'injection.Nous avons donc réalisé <strong>de</strong>s mesures pour chaque mémoire en utilisant <strong>de</strong>s valeurs <strong>de</strong> V highdans le rapport théorique <strong>de</strong>s différents con<strong>de</strong>nsateurs tunnel pour obtenir une tension <strong>de</strong>programmation unique <strong>de</strong> 9,8 V tant pour l'injection que pour le r<strong>et</strong>rait <strong>de</strong> charge :A)53005290528052705260525052405230522052105200Vmux (mV)M5M0M4M1t (s)0 20 40 60 80 100B)Vmux (mV)530052905280M452705260M152505240M052305220 M55210t (s)52000 20 40 60 80 100Figure 3-12 : 100 s d'injection pour une tension <strong>de</strong> programmation tunnel théorique <strong>de</strong> 9,8 VA) injection <strong>de</strong> charges, B) r<strong>et</strong>rait <strong>de</strong> charges.C'est la structure <strong>de</strong> la mémoire n° 5 qui se montre la plus efficace. C<strong>et</strong>te améliorationprovient sans doute <strong>de</strong> la diminution d'épaisseur d'oxy<strong>de</strong> qui apparaît au niveau <strong>de</strong> l'encochefaite dans le niveau polysilicium 1.113


Chapitre III : circuits intégrés réalisés.Les cellules M1 <strong>et</strong> M4 sont i<strong>de</strong>ntiques à l'exception du niveau <strong>de</strong> polysilicium utilisé pourl'injecteur tunnel. Dans les <strong>de</strong>ux sens <strong>de</strong> programmation, l'injection est plus efficace quand latension élevée est appliquée au polysilicium 1, c'est-à-dire quand l'eff<strong>et</strong> tunnel a lieu àl'interface obtenue par oxydation. Les <strong>de</strong>ux interfaces sont en eff<strong>et</strong> <strong>de</strong> natures différentes,l'oxy<strong>de</strong> interpolysilicium est obtenu par oxydation <strong>de</strong> la partie supérieure du polysilicum 1puis le polysilicum 2 est déposé sur c<strong>et</strong> oxy<strong>de</strong>. L'interface obtenue par oxydation est supposéeirrégulière [ANDERSON 97] alors que le dépôt se traduit par une interface plus plane.L'irrégularité <strong>de</strong> l'interface se traduit par un renforcement local du champ électrique <strong>et</strong> uneamélioration <strong>de</strong> l'injection tunnel. Nos observations confirment bien ces hypothèses.3.3.3. Conclusions.Le circuit réalisé est fonctionnel <strong>et</strong> a démontré la faisabilité <strong>de</strong> ce type <strong>de</strong> matrice <strong>de</strong>mémoires. Il n'a cependant permis que <strong>de</strong> tirer <strong>de</strong>s renseignements assez qualitatifs surl'injection tunnel. En eff<strong>et</strong> sa structure n'est pas conçue pour accé<strong>de</strong>r aux mesures perm<strong>et</strong>tant<strong>de</strong> caractériser pleinement l'eff<strong>et</strong> tunnel. Les temps <strong>de</strong> programmation restent important, maisl'utilisation <strong>de</strong> la structure <strong>de</strong> la mémoire M5 avec un étage <strong>de</strong> sortie amplificateur <strong>de</strong>vraitrépondre à ce problème.D'autre part les circuits ont montré <strong>de</strong>s problèmes <strong>de</strong> fiabilité au niveau <strong>de</strong>s étages haut<strong>et</strong>ension démontrant, comme il était prévisible, que les marges <strong>de</strong> sécurité sont extrêmementfaibles, là encore la structure M5 peut améliorer la situation en perm<strong>et</strong>tant <strong>de</strong> diminuer latension maximale nécessaire à l'eff<strong>et</strong> tunnel.Pour les mémoires à étage suiveur la grille flottante est entièrement réalisée en polysilicium <strong>de</strong>niveau 1. L'épaisseur <strong>de</strong> l'oxy<strong>de</strong> le séparant du substrat (35 nm) est suffisante pour ne pasobtenir d'eff<strong>et</strong> tunnel indésirable, mais se traduit cependant par une augmentation <strong>de</strong> lacapacité parasite C p qui diminue l'amplitu<strong>de</strong> <strong>de</strong> la tension appliquée à l'injecteur tunnel(équations 3.12 <strong>et</strong> 3.13). L'utilisation du niveau <strong>de</strong> polysilicium 2, plus éloigné du substrat,perm<strong>et</strong>trait <strong>de</strong> diminuer C p <strong>et</strong> ainsi d'obtenir un meilleur couplage pour une tension V highdonnée.L'oxy<strong>de</strong> <strong>de</strong> grille du transistor d'entrée <strong>de</strong> l'étage <strong>de</strong> sortie peut produire un courant tunnelparasite. Il est sans doute à l'origine <strong>de</strong>s variations <strong>de</strong> tension <strong>de</strong> grille flottante pendant les114


Chapitre III : circuits intégrés réalisés.états parasites du tableau 3-4. Dans notre cas ce transistor est <strong>de</strong> type PMOS, la secon<strong>de</strong>armature <strong>de</strong> c<strong>et</strong>te structure tunnel est donc son puit N. Pour l'étage avec gain il est connecté àVdd = 10 V <strong>et</strong> pour l'étage suiveur il est connecté à la sortie <strong>de</strong> l'étage (voir figures 3-5-A <strong>et</strong>3-5-B). S'il y a un courant parasite, il ne se fait donc pas dans les mêmes conditions.En conclusion, nous conseillons la réalisation <strong>de</strong> structures <strong>de</strong> test comportant différentscon<strong>de</strong>nsateurs tunnel <strong>et</strong> <strong>de</strong>s transistors haute tension utilisant l'implantation <strong>de</strong> base en guise<strong>de</strong> drain. Des mesures sous pointes perm<strong>et</strong>tront <strong>de</strong> caractériser précisément la technologieutilisée <strong>et</strong> d'obtenir les paramètres réels <strong>de</strong> l'injection tunnel qui perm<strong>et</strong>tront <strong>de</strong> concevoir unematrice plus fiable <strong>et</strong> plus efficace.4. CIRCUIT "ANNIE" : MEMORISATION PAR ECHANTILLONNEUR-BLOQUEUR.Figure 3-13 : microphotographie du circuit mixte "annie". Dimensions 1600 X 1300 µm 2 , 28broches, boîtier dil28, BiCMOS AMS 0,8 µm, au total 1176 composants (490 NMOS, 550PMOS, 18 pnp, 80 npn, 24 con<strong>de</strong>nsateurs, 14 résistances) dont 48 % pour la logique (277NMOS, 285 PMOS).Ce circuit a été réalisé avec l'ai<strong>de</strong> <strong>de</strong> Marie-Nathalie Salefran <strong>et</strong> Lionel Daros dans le cadre <strong>de</strong>leur proj<strong>et</strong> <strong>de</strong> fin d'étu<strong>de</strong>s à l'ENSERB [SALEFRAN 98].4.1.Cahier <strong>de</strong>s charges.Ce circuit est <strong>de</strong>stiné à évaluer l'utilisation <strong>de</strong> con<strong>de</strong>nsateurs intégrés comme moyen <strong>de</strong>stockage <strong>analogique</strong>. C<strong>et</strong>te technique a déjà été utilisée avec succès par d'autres groupes pourla réalisation <strong>de</strong> réseaux <strong>de</strong> <strong>neurones</strong> formels (par exemple [SATYANARAYANA 92]).115


Chapitre III : circuits intégrés réalisés.La partie neurone artificiel du circuit utilise les mêmes bibliothèques que les circuits "annie"<strong>et</strong> "susie" <strong>et</strong> fonctionne avec une alimentation <strong>de</strong> 10 V. Deux conductances sont intégrées,elles sont suffisantes pour modéliser l'activité tonique d'un neurone <strong>et</strong> comportent un total <strong>de</strong>12 paramètres. Ils sont réglables sur une plage <strong>de</strong> 5 V centrée en 5 V, <strong>et</strong> varient donc entre2,5 V <strong>et</strong> 7,5 V. L'ondulation résiduelle doit être la plus faible possible <strong>et</strong> nous avonsarbitrairement fixé la limite maximale à 5 mV correspondant à une précision relative <strong>de</strong>l'ordre <strong>de</strong> 10 bits. Sur les conductances, toutes les entrées <strong>de</strong> paramètres sont <strong>de</strong>s grilles d<strong>et</strong>ransistors MOS, c<strong>et</strong>te très haute impédance garantit l'isolation <strong>de</strong>s con<strong>de</strong>nsateurs <strong>de</strong> stockage<strong>et</strong> l'absence <strong>de</strong> courant <strong>de</strong> fuite.Nous souhaitons réaliser une mémorisation <strong>de</strong> valeurs absolues, les valeurs programmées parl'utilisateur doivent être stockées sur chaque con<strong>de</strong>nsateur. Elles seront présentées par unconvertisseur numérique-<strong>analogique</strong> externe dont l'entrée provient d'une mémoire numériqueSRAM externe elle aussi.Il faut concevoir un interrupteur <strong>analogique</strong> commandé par <strong>de</strong>s signaux numériques 0/5 V <strong>et</strong>capable <strong>de</strong> commuter un signal variant entre 3,5 <strong>et</strong> 7,5 V. Il est donc nécessaire d'utiliser unétage <strong>de</strong> mise à niveau <strong>de</strong> ces signaux numériques.Parallèlement, un séquenceur doit comman<strong>de</strong>r la ferm<strong>et</strong>ure <strong>de</strong>s 12 interrupteurs <strong>et</strong> assurer lasynchronisation avec la présentation <strong>de</strong> la consigne à mémoriser qui provient du convertisseurexterne. De plus, l'architecture du séquenceur autorise la mise en commun du convertisseurpar plusieurs ASICs ce qui perm<strong>et</strong> d'augmenter le nombre <strong>de</strong> conductances ioniquesdisponibles au niveau système, en partageant les ressources que sont compteur, SRAM <strong>et</strong>CNA.4.2.Description du circuit.4.2.1. Cellule mémoire.Les choix r<strong>et</strong>enus sont les suivants :Con<strong>de</strong>nsateur <strong>de</strong> stockage <strong>de</strong> la donnée <strong>de</strong> capacité 1 pF.Interrupteur CMOS pour limiter l'injection <strong>de</strong> charge.Comman<strong>de</strong> <strong>de</strong>s transistors formant l'interrupteur par un étage <strong>de</strong> mise à niveau NMOSsuivi d'un inverseur CMOS. Ce <strong>de</strong>rnier comporte <strong>de</strong>s transistors MOS montés en dio<strong>de</strong>squi sont <strong>de</strong>stinés à limiter la tensions aux bornes <strong>de</strong>s transistors bloqués pour limiter les116


Chapitre III : circuits intégrés réalisés.risques <strong>de</strong> claquage dus à la tension d'alimentation <strong>de</strong> 10 V qui est supérieure auxspécifications du fon<strong>de</strong>ur.Arrangement en ligne <strong>de</strong>s 12 cellules <strong>de</strong> mémorisation. C<strong>et</strong>te organisation simplifie ladistribution <strong>de</strong>s différents signaux.Le schéma <strong>de</strong> la figure ci-<strong>de</strong>ssous présente le circuit électrique d'une cellule mémoirecomplète.10 V10 Vcomman<strong>de</strong> numérique0/5 VconsigneVmémC = 1pFFigure 3-14 : schéma électrique d'une cellule mémoire complète.4.2.2. Partie logique.res<strong>et</strong>clkstart1start2SEQUENCEURcptfullcarryhzcckencpten<strong>de</strong>cenrazcptnextcckencpttestraztestCOMPTEURclk4 BITScpten ad[0:3]razcptcptfullDECODEUR 4/16ad[0:3]<strong>de</strong>censwitch[0:15]<strong>de</strong>ctestFigure 3-15 : schéma <strong>de</strong> principe <strong>de</strong> la partie logique du circuit "annie".La partie logique est composée d'un séquenceur <strong>et</strong> d'un compteur suivi d'un déco<strong>de</strong>ur dont lessorties contrôlent les interrupteurs décrits au paragraphe précé<strong>de</strong>nt. Ce décodage estsynchronisé avec un compteur externe qui présente les adresses à la SRAM, c<strong>et</strong>te solution117


Chapitre III : circuits intégrés réalisés.étant moins coûteuse en nombre <strong>de</strong> broches que celle consistant à avoir un seul compteur <strong>et</strong>un bus d'adresse sur l'ASIC.La boucle principale du séquenceur, dont le fonctionnement est illustré par son diagrammed'état, génère les signaux <strong>de</strong> comptage cpten <strong>et</strong> <strong>de</strong> remise à zéro <strong>de</strong>s <strong>de</strong>ux compteurs, razcptpour celui intégré <strong>et</strong> carry pour celui externe (voir aussi figure 3-17).res<strong>et</strong>débutrazcptcâblage1razcptcarrychoixmo<strong>de</strong>razcptccken_hzcâblage2razcptccken_hzstart1start2conversiondécodage<strong>de</strong>cencptfull=0cptfull=1comptagecptenext_comptFigure 3-16 : diagramme du séquenceur d'état du circuit "annie".Les signaux start1 , start2 <strong>et</strong> next assurent la mise en casca<strong>de</strong> <strong>de</strong> plusieurs ASICs. cpten esten fait un signal trois états afin que les différents ASICs puissent contrôler à tour <strong>de</strong> rôle lecompteur externe. C'est le signal next du <strong>de</strong>rnier circuit qui en assure la remise à zéro. Lesparamètres <strong>de</strong>s ASICs sont donc arrangés <strong>de</strong> façon contiguë dans la SRAM. Le signal next118


Chapitre III : circuits intégrés réalisés.est un "OU" logique entre carry <strong>et</strong> res<strong>et</strong> ce qui perm<strong>et</strong> d'initialiser le compteur externe avec laseule comman<strong>de</strong> res<strong>et</strong>.La sortie du déco<strong>de</strong>ur est validée par un signal supplémentaire, <strong>de</strong>cen, afin <strong>de</strong> s'assurer <strong>de</strong>l'absence <strong>de</strong> recouvrement dans la ferm<strong>et</strong>ure <strong>de</strong>s interrupteurs <strong>et</strong> <strong>de</strong> pouvoir garantir le tempsd'établissement <strong>de</strong> la consigne avant <strong>de</strong> pouvoir la mémoriser.Enfin, <strong>de</strong>s signaux <strong>de</strong> test perm<strong>et</strong>tent <strong>de</strong> contrôler directement la partie opérative.L'ensemble <strong>de</strong> la logique a été décrit en langage VHDL (Very High-level DescriptiveLanguage), la synthèse logique <strong>et</strong> le layout ont ensuite étés réalisés automatiquement à l'ai<strong>de</strong>d'outils <strong>de</strong> CAO.ClkRes<strong>et</strong>Start1DataWEAdresseRes<strong>et</strong>CARTE I/OClkCOMPTEUROECCLR CCKENWEA SRAM D D CNAOutStart1 Start2 Res<strong>et</strong>ClkANNIE 1VrefVfuiteVmemCpten Next Iout+1Rfuite1Cmem1Res<strong>et</strong>Start1 Start2 Res<strong>et</strong>ClkANNIE 2VrefVfuiteVmemCpten Next Iout+1Rfuite2Cmem2Figure 3-17 : mise en œuvre du chaînage pour <strong>de</strong>ux circuits "annie".La figure 3-17 montre la mise en œuvre du principe <strong>de</strong> chaînage avec <strong>de</strong>ux circuits "annie", undispositif externe perm<strong>et</strong> <strong>de</strong> remplir la SRAM avec les paramètres nécessaires <strong>et</strong> <strong>de</strong> contrôlerles différents signaux numériques <strong>de</strong> l'ASIC.4.3.Mesures.Nous avons réalisé une carte d'application conforme au schéma <strong>de</strong> chaînage présenté à lafigure 3-17, avec une carte d'entrées/sorties <strong>de</strong> type PCI1200 (National Instrument). Lelogiciel que nous avons développé perm<strong>et</strong> à l'utilisateur <strong>de</strong> choisir les valeurs pour chaqueparamètre, <strong>de</strong> les charger dans la SRAM <strong>et</strong> <strong>de</strong> contrôler le séquenceur. Le logiciel perm<strong>et</strong>aussi <strong>de</strong> sauvegar<strong>de</strong>r les fichiers <strong>de</strong> paramètres, d'appliquer les signaux <strong>de</strong> test pour un <strong>de</strong>scircuits "annie" <strong>et</strong> <strong>de</strong> faire <strong>de</strong> l'acquisition <strong>de</strong> données.119


Chapitre III : circuits intégrés réalisés.Figure 3-18 : photo du banc <strong>de</strong> test. La carte d'application comporte <strong>de</strong>ux ASICs "annie" afin<strong>de</strong> vérifier le fonctionnement du principe <strong>de</strong> chaînage. La génération <strong>de</strong>s signaux <strong>de</strong> contrôle<strong>et</strong> l'écriture <strong>de</strong> la SRAM sont assurées par une carte d'entrée/sortie connectée à un microordinateur.Figure 3-19 : vue d'écran d'une fenêtre du programme <strong>de</strong> test.Nous avons pu vérifier le bon fonctionnement du séquenceur <strong>et</strong> du principe <strong>de</strong> chaînage : avecun ou <strong>de</strong>ux circuits, le compteur externe est parfaitement contrôlé par notre logique <strong>et</strong> lesvaleurs programmées sont présentées à tour <strong>de</strong> rôle par le CNA.La partie calculateur <strong>analogique</strong> du circuit "annie" provient d'anciens travaux du groupe. Il estdonc i<strong>de</strong>ntique à celui utilisé dans nos circuits que nous utilisons régulièrement. Un premiertest consiste simplement à présenter les valeurs d'un modèle tonique <strong>de</strong> neurone que nousconnaissons (voir figure 3-20).120


Chapitre III : circuits intégrés réalisés.5,4Vmem (V)5,4Vmem (V)5,25,2554,84,84,64,64,44,4t (ms)t (ms)A)4,20 1 2 3 4 5 6 7B)4,20 1 2 3 4 5 6 7Figure 3-20 : A) activité tonique d'un modèle à <strong>de</strong>ux conductances réalisé avec un circuit"annie". B) comparaison avec un circuit n'utilisant pas <strong>de</strong> mémoire <strong>analogique</strong> intégrée.Nous vérifions la validité du fonctionnement en injectant un courant <strong>de</strong> stimulation constantqui perm<strong>et</strong> <strong>de</strong> faire varier la pério<strong>de</strong> <strong>de</strong> répétition <strong>de</strong>s potentiels d'actions :900800f (Hz)700600500400300200100I injecté (A)00,4 0,6 0,8 1 1,2 1,4 1,6 1,8 2 2,2Figure 3-21 : variation <strong>de</strong> la fréquence <strong>de</strong>s potentiels d'actions en fonction du courant injecté.Le circuit fonctionne donc correctement, <strong>et</strong> nous souhaitons maintenant évaluer lesperformances du stockage <strong>analogique</strong>; pour cela, nous utilisons un <strong>de</strong>s con<strong>de</strong>nsateurs <strong>de</strong>stockage qui est directement relié à une broche du circuit. Nous avons ajouté un transistorMOS externe monté en suiveur pour pallier l'absence d'étage tampon interne <strong>et</strong> perm<strong>et</strong>tre unemesure haute impédance <strong>de</strong> la tension aux bornes du con<strong>de</strong>nsateur. Plage <strong>de</strong> fonctionnement <strong>de</strong>s cellules mémoires.Les niveaux <strong>de</strong> comman<strong>de</strong>s <strong>de</strong>s transistors composant l'interrupteur ne couvrent pas la pleineéchelle 0-10 V ce qui restreint sa plage <strong>de</strong> conduction. De plus la tension <strong>de</strong> claquagedrain/source d'un transistor bloqué <strong>de</strong> taille minimale est <strong>de</strong> 8 V pour un PMOS <strong>et</strong> 9 V pour121


Chapitre III : circuits intégrés réalisés.un NMOS (données indiquées par le fon<strong>de</strong>ur). Ce type <strong>de</strong> claquage (punchthrough)correspond à l'extension <strong>de</strong> la zone d'inversion du drain jusqu'à la source qui provoque la miseen conduction brutale du transistor. La différence <strong>de</strong> potentiel entre un con<strong>de</strong>nsateur <strong>de</strong>stockage <strong>et</strong> la tension fournie par le CNA ne doit pas dépasser ces valeurs au risque <strong>de</strong> rendreconducteurs les interrupteurs ouverts. Temps <strong>de</strong> maintien.Lors <strong>de</strong> l'ouverture <strong>de</strong> l'interrupteur, le con<strong>de</strong>nsateur <strong>de</strong> stockage se décharge en raison <strong>de</strong>sdifférents courants <strong>de</strong> fuite. Le temps <strong>de</strong> maintien est donc directement lié à c<strong>et</strong>te décharge.Pour ces mesures, le con<strong>de</strong>nsateur relié à un plot <strong>de</strong> sortie n'est pas utilisable. Le plotcomporte en eff<strong>et</strong> <strong>de</strong>s dio<strong>de</strong>s <strong>de</strong> protection contre les ESD <strong>de</strong> gran<strong>de</strong> dimension. Elles sontpolarisées en inverses <strong>et</strong> présentent un courant inverse non-négligeable, la mesure <strong>de</strong> ladécharge n'est donc pas caractéristique d'un con<strong>de</strong>nsateur uniquement relié à <strong>de</strong>s grilles <strong>de</strong>MOS. Nous avons donc utilisé le circuit perm<strong>et</strong>tant d'injecter le courant <strong>de</strong> compensation. Lastructure du convoyeur <strong>de</strong> courant qui le constitue utilise un amplificateur opérationnel montéen suiveur dont la sortie est reliée à une résistance externe. C'est ce signal que nous avonsmesuré à l'ouverture <strong>de</strong> l'interrupteur pour différentes valeurs <strong>de</strong> paramètre :6Vcapa (V)5,5Pente en V/s :54,50.610.510.440.360.2843,5t (s)30 0,5 1 1,5 2 2,5 3 3,5 4 4,5Figure 3-22 : décharge du con<strong>de</strong>nsateur <strong>de</strong> stockage interrupteur ouvert en fonction <strong>de</strong> latension mémorisée.Le taux <strong>de</strong> décharge maximum vaut <strong>de</strong> l'ordre <strong>de</strong> 0,61 V/s, ce qui pour un con<strong>de</strong>nsateur <strong>de</strong>valeur 1 pF correspond à un courant <strong>de</strong> fuite total <strong>de</strong> : 1 pF 0,65 V/s 0,65 pA .122


Chapitre III : circuits intégrés réalisés.Maintenir une ondulation inférieure à 5 mV implique donc une fréquence <strong>de</strong> rafraîchissementminimale <strong>de</strong> :-12C.dV 1.10 .5.10-i 0.65.10-3dt 12fuite f 130 Hz 7,7 msInjection <strong>de</strong> charge <strong>et</strong> couplages.En utilisant le signal <strong>de</strong> test cpttest (figure 3-15) nous pouvons contrôler l'état <strong>de</strong> l'interrupteurdont le con<strong>de</strong>nsateur est connecté à un plot. Pour <strong>de</strong>s fronts d'horloge <strong>de</strong> 5 ns nous relevonsune oscillation amortie d'amplitu<strong>de</strong> 50 mV.5. CIRCUIT "FPCA-R" : FIELD PROGRAMMABLE CONDUCTANCEARRAY.Figure 3-23 : microphotographie du circuit mixte "fpca-r". Dimensions 3186 X 2885 µm 2 , 47broches, boîtier jlcc48, BiCMOS AMS 0,8 µm, au total 10371 composants (5137 NMOS, 4612PMOS, 465 npn, 109 con<strong>de</strong>nsateurs, 48 résistances) dont 75% utilisés par la logique <strong>et</strong> lescircuits <strong>de</strong> topologie <strong>et</strong> <strong>de</strong> mémorisation <strong>analogique</strong>. Séquenceur 5% 614 (300 NMOS, 302PMOS, 12 npn), mémoires <strong>analogique</strong> 40% (1967 NMOS, 2056 PMOS, 93 con<strong>de</strong>nsateurs, 6npn), topologie 30% (2041 NMOS, 1054 PMOS, 3 npn).5.1.Cahier <strong>de</strong>s charges.Ce circuit a pour but d'améliorer la flexibilité <strong>de</strong> nos simulateurs <strong>analogique</strong>s. Pour lesversions précé<strong>de</strong>ntes, <strong>de</strong>ux points se sont montrés particulièrement limitants :L'absence <strong>de</strong> mémorisation <strong>analogique</strong> intégrée qui augmente le nombre <strong>de</strong> broches <strong>de</strong>sASICs, limite ainsi la quantité <strong>de</strong> conductances intégrées <strong>et</strong> implique l'utilisation d'ungrand nombre <strong>de</strong> circuits externes (logique, CNA, <strong>et</strong>c.. voir chapitre IV).123


Chapitre III : circuits intégrés réalisés.La topologie du réseau, c'est-à-dire les interconnexions entre conductances ioniques, estréalisée manuellement à l'ai<strong>de</strong> <strong>de</strong> micro-interrupteurs. L'opération est fastidieuse <strong>et</strong> ne peutêtre ni automatisée ni mémorisée.La partie <strong>analogique</strong> proprement dite n'est pas remise en cause. Comme nous l'avons déjàexpliqué, la diminution <strong>de</strong>s tensions d'alimentations due à l'évolution <strong>de</strong> la technologie nous acependant contraint à rem<strong>et</strong>tre à jour ces circuits. Nous avons profité <strong>de</strong> c<strong>et</strong>te refonte pourmodifier quelques points, afin d'améliorer certaines caractéristiques notamment en diminuantla surface occupée. Le résultat est celui présenté au chapitre II. Globalement nous considéronsque nous disposons <strong>de</strong> blocs élémentaires, assimilables à <strong>de</strong>s générateurs <strong>de</strong> courant, quimodélisent les différentes conductances. Il nous faut donc maintenant sélectionner un système<strong>de</strong> mémorisation <strong>analogique</strong> <strong>et</strong> m<strong>et</strong>tre au point une architecture perm<strong>et</strong>tant la programmation<strong>de</strong>s interconnexions. Ces dispositifs apparaissent comme <strong>de</strong>s périphériques servant le cœur ducalculateur constitué <strong>de</strong>s conductances dont le nombre <strong>et</strong> le type restent aussi à déterminer.Il faut bien comprendre que les dispositifs <strong>de</strong> mémorisation que nous avons décrit auchapitre II ne prennent tout leur sens que s'ils sont exploités avec un mécanisme d'écriturecontinu. Ce serait le cas, par exemple, avec un circuit d'apprentissage intégré <strong>analogique</strong> quiajusterait les valeurs mémorisées. Dans notre cas nous n'utilisons pas <strong>de</strong> circuitd'apprentissage. Les mémoires constituent les paramètres du modèle <strong>et</strong> nous <strong>de</strong>vons encontrôler la valeur absolue. Pour <strong>de</strong>s raisons pratiques nous programmons nos dispositifs avecun convertisseur numérique <strong>analogique</strong> <strong>et</strong> la discrétisation <strong>de</strong> notre mémoire provient <strong>de</strong> cedispositif plutôt que <strong>de</strong>s limitations <strong>de</strong> la mémoire elle-même. Ce qui nous préoccupe avanttout est <strong>de</strong> limiter le nombre <strong>de</strong> broches pour disposer d'une plus gran<strong>de</strong> quantité <strong>de</strong>conductances.Les <strong>de</strong>ux types <strong>de</strong> mémorisations que nous avons présentés répon<strong>de</strong>nt à ce problème, maisleur principe <strong>et</strong> leur implémentation se traduisent par <strong>de</strong>s propriétés différentes. Leursdomaines d'applications peuvent être complémentaires. [MONTALVO 97] illustre c<strong>et</strong>tedualité en proposant <strong>de</strong> m<strong>et</strong>tre en œuvre les <strong>de</strong>ux procédés pour un réseau <strong>de</strong> <strong>neurones</strong>formels intégré avec boucle d'apprentissage. Les poids synaptiques sont stockés sur <strong>de</strong>scon<strong>de</strong>nsateurs pendant l'apprentissage. Le faible temps d'écriture autorise un fonctionnement à124


Chapitre III : circuits intégrés réalisés.fréquence élevée. A la fin <strong>de</strong> ce processus les poids sont recopiés sur <strong>de</strong>s grilles flottantes afind'obtenir une mémorisation non-volatile.Les différents essais <strong>de</strong> mémorisation <strong>analogique</strong> ont montré que les systèmes à grilleflottante, bien que fonctionnels, étaient difficiles à m<strong>et</strong>tre en œuvre <strong>et</strong> peu fiables. Par contrel'essai <strong>de</strong> mémorisation par échantillonneur-bloqueur réalisé avec le circuit "annie" s'estmontré concluant <strong>et</strong> le principe <strong>de</strong> chaînage développé s'est avéré pleinement fonctionnel.Nous avons finalement r<strong>et</strong>enu la métho<strong>de</strong> à échantillonneur bloqueur, les mémoires sont doncréalisées par <strong>de</strong>s con<strong>de</strong>nsateurs rafraîchis régulièrement par un convertisseur numérique<strong>analogique</strong>.Les valeurs présentées au CNA proviennent d'une mémoire SRAM. Pour ces <strong>de</strong>uxéléments, nous utilisons <strong>de</strong>s composants externes, le temps nécessaire pour une réalisationintégrée, <strong>et</strong>, surtout, la surface <strong>de</strong> silicium qu'ils occuperaient étant rédhibitoire.Matrice <strong>de</strong> mémoires <strong>analogique</strong>s<strong>de</strong>s paramètresmémoires numériques<strong>de</strong> topologieV memConductanceI Cond8suiveur <strong>de</strong> courant8V mempreV mempostSynapseI Synmultiplexeur <strong>analogique</strong>Figure 3-24 : schéma <strong>de</strong> principe <strong>de</strong> la structure adoptée pour le circuit "fpca-r". Seuls <strong>de</strong>uxéléments <strong>de</strong> calcul <strong>analogique</strong> sont représentés. Notons qu'il faut 6 points mémoires pour lessynapses, 3 pour programmer la source <strong>de</strong> l'entrée présynaptique <strong>et</strong> 3 pour la postsynaptique.125


Chapitre III : circuits intégrés réalisés.Pour assurer la programmation <strong>de</strong>s interconnexions, nous avons introduit un bus <strong>analogique</strong>où sont connectées les différentes conductances. Des multiplexeurs <strong>analogique</strong>s intégrésassurent la connectivité. Leur état est mémorisé par <strong>de</strong>s cellules mémoires numériquesstatiques. Elles sont arrangées sous forme d'un registre à décalage ce qui perm<strong>et</strong> une entréesérie réduisant le nombre <strong>de</strong> broches.La structure complète est schématisée par la figure 3-24 <strong>et</strong> les différents éléments <strong>de</strong> calcul<strong>analogique</strong>s dont nous avons choisi <strong>de</strong> pourvoir le circuit "fpca-r" sont résumés par l<strong>et</strong>ableau 3-7.Nombre <strong>de</strong> paramètres Nombre <strong>de</strong> bits <strong>de</strong> topologie2 x 4 conductances :3INa gNa.m .h. Vmem ENa8 x2 = 16 3 x2 = 64IK gK.n. Vmem EK5 x2 = 10 3 x2 = 63ICa gCa.m.h. Vmem ECa9 x2 = 18 3 x2 = 6I g4.n . V E6 x2 = 12 3 x2 = 6K(Ca)K(Ca)memK(Ca)4 synapses :I g .n. V E5 x4 = 20 6 x4 = 24synapsesynmempostsyn4 sources <strong>de</strong> courant <strong>de</strong>2 x4 = 8 3 x4 = 12compensation4 sources <strong>de</strong> courant <strong>de</strong> fuite 2 x4 =8 3 x4 = 121 courant <strong>de</strong> stimulation 1 393 75Tableau 3-7 : liste <strong>de</strong>s éléments <strong>analogique</strong>s du circuit "fpca-r" <strong>et</strong> bilan du nombre <strong>de</strong>paramètres <strong>et</strong> <strong>de</strong> points mémoires nécessaires à la gestion <strong>de</strong>s interconnexions.Nous avons gardé les mêmes types <strong>de</strong> conductances que pour le circuit "calvin" : sodium Na,Potassium K, calcium Ca <strong>et</strong> potassium calcium dépendant K(Ca). Nous avons implanté <strong>de</strong>uxconductances <strong>de</strong> chacun <strong>de</strong> ces types, il est possible <strong>de</strong> réaliser avec un circuit <strong>de</strong>ux <strong>neurones</strong>à quatre conductances ou quatre <strong>neurones</strong> à <strong>de</strong>ux conductances. Nous avons donc intégréquatre canaux <strong>de</strong> fuite, quatre blocs courant <strong>de</strong> compensation <strong>et</strong> quatre synapses. Ce choixapparaît comme un bon compromis par rapport aux critères <strong>de</strong> surface <strong>et</strong> du nombre <strong>de</strong>combinaisons offertes.126


Chapitre III : circuits intégrés réalisés.Afin d'exploiter au maximum les mémoires numériques qui stockent l'état <strong>de</strong>s multiplexeurs<strong>de</strong> topologie, le nombre <strong>de</strong> ligne du bus <strong>analogique</strong> doit être un multiple <strong>de</strong> <strong>de</strong>ux. Avec troisbits par multiplexeur nous obtenons huit lignes ce qui semble suffisant pour réaliser lesconnexions entre différents circuits. Le tableau 3-7 récapitule aussi le nombre total <strong>de</strong> bitsnécessaire pour l'ensemble <strong>de</strong>s multiplexeurs. Une conductance a son entrée V mem <strong>et</strong> sa sortieI out connectées sur la même ligne, les multiplexeurs sont distincts mais les trois bits <strong>de</strong>mémoire sont communs. Pour une synapse, en revanche, il faut six bits, trois pour mémoriserla position <strong>de</strong> la ligne présynaptique <strong>et</strong> trois pour la ligne postsynaptique (figure 3-24).Pour résumer, il nous faut concevoir un dispositif perm<strong>et</strong>tant <strong>de</strong> charger le registre à décalage<strong>de</strong>s 75 bits <strong>de</strong> topologie puis <strong>de</strong> rafraîchir périodiquement les 93 échantillonneur-bloqueursavec la tension fournie par un convertisseur numérique <strong>analogique</strong> (CNA) <strong>et</strong> sa SRAM, tous<strong>de</strong>ux externes. Les échantillonneur-bloqueurs constituent les mémoires <strong>de</strong>s paramètres <strong>de</strong>s 21éléments <strong>analogique</strong>s du calculateur. Leurs connexions au bus <strong>analogique</strong> <strong>de</strong> 8 lignes sontassurées par <strong>de</strong>s multiplexeurs 8 voies dont l'état est mémorisé par le registre à décalage.Comme pour "annie" nous avons opté pour une architecture perm<strong>et</strong>tant que plusieurs ASICssoient mis en casca<strong>de</strong> <strong>et</strong> se partagent la SRAM <strong>et</strong> le CNA.5.2.Conception <strong>de</strong>s échantillonneurs-bloqueurs <strong>et</strong> <strong>de</strong>s multiplexeurs.Ces <strong>de</strong>ux sous-blocs utilisent <strong>de</strong>s interrupteurs <strong>analogique</strong>s dont nous avons précisé la théorieau chapitre II. Il s'agit maintenant d'i<strong>de</strong>ntifier les contraintes propres à nos circuits afin <strong>de</strong> lesdimensionner.5.2.1. Echantillonneurs-bloqueurs.SinSclkbascule DSoutRazcptinterenconsigneC = 1pFVmemFigure 3-25 : schéma d'un échantillonneur-bloqueur utilisé comme mémoire <strong>analogique</strong>.127


Chapitre III : circuits intégrés réalisés.Les échantillonneurs-bloqueurs sont constitués d'un con<strong>de</strong>nsateur <strong>et</strong> d'un interrupteur<strong>analogique</strong>. Les <strong>de</strong>ux points prépondérants dans notre cas sont les problèmes d'injection <strong>de</strong>charges qui grèvent la précision du stockage, <strong>et</strong> <strong>de</strong> courants <strong>de</strong> fuite dont dépend le temps <strong>de</strong>maintien. Nous n'avons pas r<strong>et</strong>enu <strong>de</strong> circuits complexes visant à contrôler les niveaux <strong>de</strong>ssignaux <strong>de</strong> comman<strong>de</strong> ou à contrebalancer l'injection <strong>de</strong> charge. Ces types <strong>de</strong> montage ont unfonctionnement souvent aléatoire ou augmentent énormément la surface <strong>de</strong> silicium. Ce<strong>de</strong>rnier point étant aussi un <strong>de</strong>s critères majeurs <strong>de</strong> notre conception, nous avons préféré unmontage simple avec <strong>de</strong>s paramètres paraissant raisonnables.Le con<strong>de</strong>nsateur <strong>de</strong> stockage a une capacité fixée à 1 pF, compromis entre une valeur plusélevée qui améliorerait le temps <strong>de</strong> maintien <strong>et</strong> la surface nécessaire (14,6 m x 14,6 m avecl'anneau <strong>de</strong> polarisation <strong>de</strong> caisson). Les mesures réalisées sur le circuit "annie", qui utilisait lamême valeur, ont démontré un taux <strong>de</strong> décharge maximum <strong>de</strong> 0,65 V/s. L'impédance trèsélevée d'un transistor MOS bloqué n'entraîne pas <strong>de</strong> courant <strong>de</strong> fuite important, même enconduction sous le seuil. Il est donc inutile <strong>de</strong> m<strong>et</strong>tre en œuvre <strong>de</strong> lourds circuits visant àobtenir un blocage "profond" <strong>de</strong> ces transistors. En fait, la décharge provient, à priori, plutôt<strong>de</strong>s jonctions bipolaires en inverses <strong>de</strong>s connexions <strong>de</strong> drains/sources.Les schémas utilisant <strong>de</strong>s transistors fantômes pour annuler l'injection d'un interrupteurNMOS semblent peu efficaces, nous préférons donc un interrupteur CMOS que nouscomman<strong>de</strong>rons avec <strong>de</strong>s signaux bien contrôlés. La fréquence <strong>de</strong> rafraîchissement étantrelativement faible, le temps d'établissement n'est pas critique <strong>et</strong> il n'est pas nécessaire <strong>de</strong>minimiser l'impédance résiduelle <strong>de</strong>s interrupteurs. Nous utilisons donc <strong>de</strong>s transistors d<strong>et</strong>aille minimale qui minimisent la charge stockée ce qui réduit l'injection <strong>de</strong> charge lors dublocage. C<strong>et</strong>te taille réduit aussi la surface <strong>de</strong>s jonctions <strong>de</strong> contacts drain/source <strong>et</strong> donc lescourants <strong>de</strong> fuites. Les signaux <strong>de</strong> comman<strong>de</strong> du NMOS <strong>et</strong> du PMOS proviennent d'une porte"ET" suivie d'une chaîne d'inverseurs <strong>de</strong>stinée à équilibrer les charges capacitives. Lesinverseurs qui comman<strong>de</strong>nt directement les <strong>de</strong>ux transistors MOS "voient" une même chargecorrespondant à une grille d'un MOS <strong>de</strong> taille minimale. C<strong>et</strong>te structure perm<strong>et</strong> d'obtenir <strong>de</strong>sfronts <strong>de</strong> même largeur ce qui est favorable au réarrangement <strong>de</strong>s charges entre NMOS <strong>et</strong>PMOS, limitant ainsi l'injection dans le con<strong>de</strong>nsateur <strong>de</strong> stockage.Compte tenu du dimensionnement choisi <strong>et</strong> <strong>de</strong>s paramètres technologiques fournis par lefon<strong>de</strong>ur, nous pouvons évaluer les valeurs maximales <strong>de</strong> l'injection <strong>de</strong> charge :128


Chapitre III : circuits intégrés réalisés.Couplage capacitif : la capacité <strong>de</strong> recouvrement vaut C ov = C GSD0 . W = 0,35 . 2 = 0,7 fF(En utilisant les notations du modèle BSIM3). Le couplage du front logique sur lacon<strong>de</strong>nsateur <strong>de</strong> mémorisation <strong>de</strong> capacité 1 pF est donné par la formule du diviseurcapacitif :15Cov0,7.10V 5 1215C C 1.10 0,7.10memov 5 3,5mV . Redistribution <strong>de</strong> charge. La charge totale stockée dans le canal est majorée par la valeur :Qtot W L C 2 0,08 2,16 (5 0,43) 14,75.10ox15 (VCGon VTmin)Ce qui pour un con<strong>de</strong>nsateur <strong>de</strong> valeur 1 pF se traduit par une variation <strong>de</strong> tension <strong>de</strong> :QC14,75.101.1015totV12mem 14mV .Les alimentations <strong>de</strong> la logique <strong>et</strong> <strong>de</strong> polarisation <strong>de</strong>s PMOS d'interrupteurs n'ont pas étéséparées, la nécessité d'espacer leurs puits <strong>et</strong> <strong>de</strong> router <strong>de</strong>ux alimentations aurait conduit à unesurface trop importante. Les 93 cellules mémoires sont arrangées en matrice <strong>et</strong> leurs sortiesconstituent un bus qui est routé vers les blocs <strong>de</strong> calcul <strong>analogique</strong>.Pour évaluer les caractéristiques <strong>de</strong> notre interrupteur, nous avons connecté une <strong>de</strong>s mémoiressur un plot <strong>de</strong> sortie par l'intermédiaire d'un transistor PMOS monté en suiveur. Il introduit undécalage <strong>de</strong> V T0 , mais son gain est très proche <strong>de</strong> l'unité <strong>et</strong> il présente une ban<strong>de</strong> passante trèssupérieure à celle d'un amplificateur opérationnel monté en suiveur. Les transitoiresd'injection <strong>de</strong> charge sont par nature <strong>de</strong>s phénomènes rapi<strong>de</strong>s <strong>et</strong> leur mesure nécessite undispositif large ban<strong>de</strong>. Afin d'être représentative, la cellule <strong>de</strong> mesure est choisie au centre <strong>de</strong>la matrice.5.2.2. Multiplexeurs <strong>analogique</strong>s.Nous <strong>de</strong>vons aiguiller un signal vers une <strong>de</strong>s huit lignes <strong>de</strong> notre bus <strong>analogique</strong>. Nous avonsen fait <strong>de</strong>ux types <strong>de</strong> signaux : les courants <strong>de</strong> sortie <strong>de</strong>s conductances <strong>et</strong> synapses ou lestensions d'entrée <strong>de</strong> ces mêmes blocs.Les contraintes sur les interrupteurs utilisés par le multiplexeur <strong>analogique</strong> sont trèsdifférentes <strong>de</strong> celles que nous avions pour les échantillonneurs-bloqueurs. L'injection <strong>de</strong>charge <strong>et</strong> le couplage d'horloge sont maintenant sans importance car nous faisons un usage129


Chapitre III : circuits intégrés réalisés.statique <strong>de</strong>s interrupteurs : leur état ne change pas lors du fonctionnement du calculateur. Laprincipale contrainte est en fait la surface <strong>de</strong> silicium occupée, qu'il faut absolumentminimiser en raison du grand nombre <strong>de</strong> multiplexeurs dont nous avons besoin.A0A1A2AAb0Ab1Ab2AbEntréeS0S1S2S3S4S5S6S7Figure 3-26 : schéma électrique du multiplexeur <strong>analogique</strong>. Tous les transistors sont d<strong>et</strong>aille minimale, L=0,8 m <strong>et</strong> W=2 m.Le schéma choisi convient pour nos <strong>de</strong>ux types <strong>de</strong> signaux. Nous avons réalisé lesinterrupteurs avec un seul transistor NMOS <strong>de</strong> taille minimale, économisant la surface duPMOS d'un interrupteur CMOS. La plage <strong>de</strong> conduction du transistor NMOS est suffisantepour l'excursion <strong>de</strong>s tensions <strong>de</strong> membrane [1,5 V , 3,5 V] <strong>et</strong> les zones <strong>de</strong> polarisation <strong>de</strong>sétages générateurs <strong>de</strong> courant <strong>de</strong>s conductances ioniques.Le bus <strong>analogique</strong> comporte en fait <strong>de</strong>ux bus séparés (voir figure 3-24). Le premier sert à lasommation <strong>de</strong>s courants <strong>de</strong> sortie <strong>de</strong>s blocs <strong>de</strong> calcul <strong>analogique</strong> par le biais <strong>de</strong>smultiplexeurs. Le courant total <strong>de</strong> chacune <strong>de</strong> ses lignes est injecté par un étage suiveur <strong>de</strong>courant à haute impédance dans un second bus où sont prélevées les tensions membranairesaiguillées vers les entrées <strong>de</strong> conductances. C'est ce second bus qui est disponible aux broches<strong>de</strong>s ASICs <strong>et</strong> auquel sont connectés les con<strong>de</strong>nsateurs <strong>de</strong> membrane. L'utilisation d'étages130


Chapitre III : circuits intégrés réalisés.tampons perm<strong>et</strong> <strong>de</strong> multiplexer les courants avec <strong>de</strong>s interrupteurs d'impédance nonnégligeable. De plus, tous les étages d'entrées en tension étant à très haute impédance (grille<strong>de</strong> transistors MOS) <strong>et</strong> en raison <strong>de</strong>s faibles fréquences <strong>de</strong> travail il n'est pas nécessaire <strong>de</strong>minimiser les résistances <strong>de</strong>s interrupteurs véhiculant les tensions. C'est pour ces raisons quenous pouvons utiliser dans les <strong>de</strong>ux cas <strong>de</strong>s transistors <strong>de</strong> taille minimale. Enfin, la résistance<strong>de</strong> passage n'étant pas une contrainte, le décodage <strong>de</strong>s signaux <strong>de</strong> comman<strong>de</strong> est obtenu par laréalisation d'un "ET" logique en m<strong>et</strong>tant en série les transistors <strong>de</strong> commutation. C<strong>et</strong>teapproche perm<strong>et</strong> d'obtenir une surface <strong>de</strong> circuit inférieure à celle utilisant un décodagenumérique compl<strong>et</strong> <strong>et</strong> un seul transistor par voie. De plus les <strong>de</strong>ux polarités <strong>de</strong>s signaux <strong>de</strong>comman<strong>de</strong>s sont disponibles sur les mémoires <strong>de</strong> stockage <strong>de</strong> la topologie.5.3.Description <strong>de</strong> la logique.La logique est composée <strong>de</strong> trois éléments, un séquenceur <strong>et</strong> <strong>de</strong>ux registres à décalage. Lepremier (TOPOLOGIE) mémorise les données <strong>de</strong> la topologie <strong>et</strong> le second (INTER) contrôleles interrupteurs <strong>de</strong>s échantillonneurs-bloqueurs <strong>et</strong> est utilisé comme compteur par leséquenceur.carrynextres<strong>et</strong>clkstart1start2startcptfull1cptfull2res<strong>et</strong>hbhhzramselectcptenramselectcpten/isclkrazintercptDFinterentsclkramselect3scpten3sSEQUENCEURsclktestisclkinitinitinitcptfullinterentestDFQinitDFQDFQinterinterinterinterINTERtsintsclktopo topo topotsouttesttopob topob topobDFDFDFTOPOLOGIEtopobtopoétage BiCMOSsignal <strong>de</strong> testFigure 3-27 : schéma <strong>de</strong> principe <strong>de</strong> la logique du circuit "fpca-r".Nous avons remplacé l'ensemble compteur synchrone/déco<strong>de</strong>ur du circuit "annie" par leregistre à décalage INTER. Il s'agit finalement d'un compteur stockant un état par bascule <strong>et</strong>c'est pour c<strong>et</strong>te raison que le décodage est inutile. C<strong>et</strong> arrangement perm<strong>et</strong> <strong>de</strong> distribuer le131


Chapitre III : circuits intégrés réalisés.compteur au sein <strong>de</strong> mémoires <strong>analogique</strong>s, limite le nombre <strong>de</strong> pistes <strong>et</strong> est finalementfavorable à notre structure matricée.Le fonctionnement du séquenceur est décrit par son diagramme d'état. Une première bouclecharge le registre TOPOLOGIE. Elle démarre dés le relâchement du signal res<strong>et</strong> <strong>et</strong> la fin duchargement est contrôlée par le registre INTER. Lors <strong>de</strong> l'initialisation, toutes les basculesd'INTER sont mises à un sauf la première. La présentation d'un front d'horloge fait donccirculer c<strong>et</strong>te valeur le long du registre. Nous utilisons la position <strong>de</strong> ce "j<strong>et</strong>on" pour compterle nombre <strong>de</strong> passages dans la boucle <strong>et</strong> assurer le chargement <strong>de</strong>s 75 points mémoires duregistre TOPOLOGIE.res<strong>et</strong>débuthzramselecthzcptenrazramselectrazintercptcount1tsclkramselectcpten/isclkramselecttsclkbBoucle <strong>de</strong> chargement<strong>de</strong> la topologiecptfull1=1cptfull1=0wait 4carryrazintercptwait 1hzramselecthzcptenstartstartwait 2sampleinterenBoucle <strong>de</strong> rafraîchissement<strong>de</strong>s paramètrescptfull2=1 cptfull2=0count2 cpten/isclkwait 3Figure 3-28 : diagramme d'état du séquenceur du circuit "fpca-r".Après avoir réinitialisé le registre INTER, le circuit attend à l'état "wait1" le signalstart = start1 + start2 pour démarrer la secon<strong>de</strong> boucle où les interrupteurs <strong>de</strong>s132


Chapitre III : circuits intégrés réalisés.échantillonneurs-bloqueurs sont fermés à tour <strong>de</strong> rôle grâce à la circulation du j<strong>et</strong>on d'INTER.C'est la boucle <strong>de</strong> rafraîchissement <strong>de</strong>s paramètres. Pour garantir l'absence <strong>de</strong> recouvremententre <strong>de</strong>ux mémoires <strong>analogique</strong>s, c'est en fait le signal interen qui comman<strong>de</strong> la ferm<strong>et</strong>ure<strong>de</strong> l'interrupteur, après le déplacement du j<strong>et</strong>on. C<strong>et</strong>te boucle se termine quand le <strong>de</strong>rniercon<strong>de</strong>nsateur est mis à jour, c'est-à-dire que le j<strong>et</strong>on a atteint la <strong>de</strong>rnière cellule du registreINTER. Le circuit ém<strong>et</strong> une impulsion sur la sortie carry <strong>et</strong> repart dans son état d'attente"wait1".Grâce au signal cpten3s , ces <strong>de</strong>ux boucles contrôlent le compteur externe qui présente lessignaux d'adresse à la SRAM. Son incrémentation est synchronisée avec celle du registreINTER. Un signal supplémentaire ramselect est directement appliqué au bit <strong>de</strong> poids fort duport d'adresse <strong>de</strong> la SRAM afin <strong>de</strong> partager la mémoire en <strong>de</strong>ux zones, <strong>de</strong>stinées, l'une austockage <strong>de</strong>s paramètres, l'autre au stockage <strong>de</strong>s données <strong>de</strong> topologie.Les signaux interentest, sclktest <strong>et</strong> tsoutest ont étés ajoutés à <strong>de</strong>s fins <strong>de</strong> test, ils perm<strong>et</strong>tent <strong>de</strong>contrôler directement les <strong>de</strong>ux registres <strong>et</strong> la ferm<strong>et</strong>ure <strong>de</strong>s interrupteurs. interentest <strong>et</strong>sclktest ont, respectivement, une résistance <strong>de</strong> pull-down <strong>et</strong> <strong>de</strong> pull-up interne, afin que cesentrées puissent éventuellement rester non-cablées.Les schémas d'application illustrent les connexions <strong>de</strong> ces signaux avec les circuits externes.5.3.1. Schémas d'application.clkres<strong>et</strong>start1DataWEAdresseCARTE I/OclkCOMPTEUROECCLR CCKENWEA[0:10] D [0:15]SRAMA10D0D [0:15]OutCNAclkstart1start2res<strong>et</strong>vrefsinFPCA-Rramselect3s cpten3s nextC memiFigure 3-29 : principe d'utilisation d'un circuit "fpca-r" seul.133


Chapitre III : circuits intégrés réalisés.Un dispositif externe, par exemple une carte d'entré/sortie ou un système à microcontrôleur,perm<strong>et</strong> <strong>de</strong> charger la SRAM avec les valeurs souhaitées. Il génère aussi les différents signaux<strong>de</strong> contrôle du circuit "fpca-r".Quand nous relâchons le res<strong>et</strong>, la topologie est chargée, puis start1 perm<strong>et</strong> <strong>de</strong> démarrer laboucle <strong>de</strong> rafraîchissement. Lors <strong>de</strong> l'utilisation d'un seul circuit start2 doit resté invalidé, parcontre après son assertion, start1 restera vali<strong>de</strong> pour ne pas arrêter les cycles <strong>de</strong>rafraîchissement.clkres<strong>et</strong>start1DataWEAdresseCARTE I/OclkCOMPTEUROECCLR CCKENWEA[0:10] D [0:15]SRAMD [0:15]OutCNAstart1start2res<strong>et</strong>clkvrefsinFPCA-R1 C mem1D0ramselect3s cpten3s nextA10start1start2res<strong>et</strong>vrefsinD1ramselect3sCFPCA-R2 mem2cpten3s nextFigure 3-30 : principe <strong>de</strong> chaînage pour <strong>de</strong>ux circuits "fpca-r".La possibilité <strong>de</strong> mise en casca<strong>de</strong> <strong>de</strong> plusieurs ASICs "fpca-r" fait partie intégrante <strong>de</strong>sspécifications <strong>de</strong> ce circuit. Le partage <strong>de</strong>s ressources communes que sont SRAM, compteur<strong>et</strong> CNA perm<strong>et</strong> d'augmenter le nombre <strong>de</strong> conductances globalement disponibles en limitantle nombre <strong>de</strong> circuits périphériques. Nous illustrons ce chaînage par un schéma utilisant <strong>de</strong>uxcircuits (figure 3-30). Pour un nombre plus important, le principe reste le même, la limiteétant fixée par le temps <strong>de</strong> maintien <strong>de</strong>s con<strong>de</strong>nsateurs <strong>de</strong> mémorisation. Il faut en eff<strong>et</strong> veillerà ce que la pério<strong>de</strong> <strong>de</strong> rafraîchissement <strong>de</strong> chaque con<strong>de</strong>nsateur reste inférieure à ce temps <strong>de</strong>maintien.Le protocole <strong>de</strong> fonctionnement est le suivant : quand nous relâchons le res<strong>et</strong>, la topologie estchargée en parallèle par les <strong>de</strong>ux circuits, puis start1 perm<strong>et</strong> <strong>de</strong> démarrer la boucle <strong>de</strong>rafraîchissement du premier "fpca-r", le second démarrera à la fin du cycle du premier grâceau signal next . C<strong>et</strong>te fois start1 doit être une impulsion moins longue qu'un cycle <strong>de</strong>134


Chapitre III : circuits intégrés réalisés.rafraîchissement, afin que le premier circuit ne redémarre que sous le contrôle du next dusecond circuit.5.3.2. Gestion du compteur externe.Sa remise à zéro est commandée par le <strong>de</strong>rnier circuit <strong>de</strong> la chaîne grâce au signal next . C'estest un "OU" entre carry <strong>et</strong> res<strong>et</strong> ce qui perm<strong>et</strong> <strong>de</strong> rem<strong>et</strong>tre le compteur à zéro lors <strong>de</strong>l'application du signal res<strong>et</strong>.L’autorisation <strong>de</strong> comptage cpten3s est un signal trois états afin que les circuits <strong>et</strong> ledispositif externe perm<strong>et</strong>tant le remplissage <strong>de</strong> la SRAM puissent contrôler le compteur à tour<strong>de</strong> rôle.5.3.3. Gestion <strong>de</strong> la SRAM.93 12 bits A10..A0 D15 (msb) D14 ……. D11 D10 ……. D0 (lsb)000hParamètres U005Dh…….3A7hParamètres U103FBh400h7544AhTopologieU10…….Topologie U07FFhTableau 3-8 : organisation <strong>de</strong> la mémoire <strong>de</strong> stockage (2 circuits SRAM 2 ko X 8) pour unmaximum <strong>de</strong> 11 circuits.La sélection <strong>de</strong> la zone <strong>de</strong> mémoire utilisée (topologie ou paramètres) est assurée par le signaltrois étatsramselect3 s .135


Chapitre III : circuits intégrés réalisés.La topologie d’un circuit est stockée dans la SRAM par un bit par adresse ce qui perm<strong>et</strong> <strong>de</strong>charger toutes les topologies <strong>de</strong> plusieurs circuits en parallèle, en eff<strong>et</strong>, l'entrée <strong>de</strong> topologiedu circuit Ui est connectée à la broche Di du bus <strong>de</strong> donnée <strong>de</strong> la SRAM. Il est ainsi possible<strong>de</strong> casca<strong>de</strong>r au maximum 16 circuits avec une mémoire <strong>de</strong> 16 bits (<strong>de</strong>ux boîtiers 8 bits).Cependant, la taille nécessaire pour le stockage <strong>de</strong>s paramètres serait alors <strong>de</strong> : 16 circuits x93 paramètres = 1488. Afin d'utiliser <strong>de</strong>s mémoires <strong>de</strong> 2 k oct<strong>et</strong>s (2 11 = 2048), nous nouslimitons à un maximum <strong>de</strong> 11 circuits, 11 circuits x 93 paramètres = 1023. Le <strong>de</strong>rnier bitd’adresse A10 perm<strong>et</strong> donc <strong>de</strong> commuter les zones mémoires <strong>de</strong> paramètre <strong>et</strong> <strong>de</strong> topologie :signalramselect3 s .Le compteur externe trois états utilisé (74hc590A) est un compteur 8 bits, il faudra encasca<strong>de</strong>r <strong>de</strong>ux pour parcourir les 1023 adresses nécessaires.L’utilisation d’un seul compteur 8 bits limiterait le nombre <strong>de</strong> circuits cascadables à2 8 adressesseulement 2. ( 2.75).93 paramètresLa position <strong>de</strong>s différentes données en SRAM est précisée par l'annexe B.5.4.Remarques sur la réalisation pratique.L'alimentation unique <strong>de</strong> 5 V nous contraint à choisir le potentiel le plus bas du circuit commemasse numérique, il n'est donc pas possible d'utiliser une alimentation négative. Les signaux<strong>analogique</strong>s que nous manipulons sont bipolaires, mais en raison <strong>de</strong> c<strong>et</strong>te contrainte, la masse<strong>analogique</strong> est décalée <strong>de</strong> 2,5V par rapport à la masse numérique.En conclusion les différentes alimentations sont les suivantes :numérique<strong>analogique</strong>5V5V2,5V0V0VTableau 3-9 : broches d'alimentations du circuit "fpca-r".Les parties numériques du circuit sont réalisées à partir <strong>de</strong> la bibliothèque CMOS du fon<strong>de</strong>ur.Pour les nœuds les plus chargés, nous avons cependant utilisé <strong>de</strong>s portes BiCMOS qui, àsurface égale, ont <strong>de</strong>s courants <strong>de</strong> sorties plus importants. Elles sont signalées sur le schéma<strong>de</strong> la figure 3-27.136


Chapitre III : circuits intégrés réalisés.Un certain nombre <strong>de</strong> précautions ont été prises pour le routage <strong>de</strong> ce circuit mixte,notamment aux vues <strong>de</strong>s problèmes <strong>de</strong> couplages apparus sur "annie" : Les alimentations 5V sont séparées. Les masses sont routées séparément <strong>et</strong> disposent <strong>de</strong>plots distincts mais sont reliées en un seul point du circuit, le 2,5V ne doit donc pas êtreutilisé comme référence <strong>de</strong> potentiel <strong>analogique</strong>. Il faudra utiliser un décalage externe pourdécaler <strong>de</strong> 2,5 V les potentiels <strong>de</strong> membranes si nécessaire. Deux tensions <strong>de</strong> polarisations sont générées en interne, elles sont connectées à <strong>de</strong>sbroches du circuit pour en assurer un éventuel découplage, <strong>et</strong>, surtout, pour pouvoir lesmesurer <strong>et</strong>, si nécessaire, les forcer à une valeur différente. La logique est physiquement séparée <strong>de</strong> la partie <strong>analogique</strong>, sauf pour le registre d<strong>et</strong>opologie qui est distribué au sein même <strong>de</strong>s conductances. Il n'a cependant plus d'activitépendant le fonctionnement du calculateur, <strong>et</strong> ne risque donc pas <strong>de</strong> causer <strong>de</strong>sperturbations. Le séquenceur est entouré d'un anneau <strong>de</strong> gar<strong>de</strong> <strong>de</strong>stiné à restreindre d'éventuelscouplages. Les pistes <strong>de</strong> signal <strong>et</strong> d'alimentations sont interdigitées avec <strong>de</strong>s pistes reliées au 0V <strong>et</strong> ausubstrat, toujours pour essayer <strong>de</strong> réduire les couplages.Les mesures réalisées sur ce circuit sont présentées au chapitre IV.137


138Chapitre III : circuits intégrés réalisés.


Chapitre IV : mise en œuvre <strong>et</strong> applicationsCHAPITRE IVMISE EN ŒUVRE ET APPLICATIONS.1. Introduction.....................................................................................................................1412. "Vortex" : banc <strong>de</strong> simulation <strong>analogique</strong>. .....................................................................1412.1. Principe. ......................................................................................................................1422.1.1. La carte mère.......................................................................................................1422.1.2. Carte fille "ernest"...............................................................................................1442.1.3. Logiciel. ..............................................................................................................1452.2. Premier exemple : modélisation <strong>de</strong> la boucle thalamique TC-nRt. ............................1462.2.1. Fonction <strong>de</strong> la boucle thalamique. ......................................................................1472.2.2. Modélisation <strong>et</strong> simulation <strong>de</strong> la boucle. ............................................................1482.3. Deuxième exemple : augmentation <strong>de</strong> la vitesse <strong>de</strong> calcul.........................................1523. Métho<strong>de</strong> <strong>de</strong>s réseaux hybri<strong>de</strong>s. .......................................................................................1563.1. Principe <strong>et</strong> historique. .................................................................................................1563.2. Interface artificiel-biologique......................................................................................1583.2.1. Métho<strong>de</strong>s classiques : microélectro<strong>de</strong>s intra <strong>et</strong> extracellulaire simples..............1593.2.2. Matrice <strong>de</strong> microélectro<strong>de</strong>s.................................................................................1613.2.3. Microélectro<strong>de</strong> active implantable......................................................................1623.2.4. Lien bidirectionnel au niveau du silicium...........................................................1633.3. Premier exemple : étu<strong>de</strong> in vitro <strong>de</strong> la boucle thalamique..........................................1643.4. Second exemple : étu<strong>de</strong> <strong>de</strong>s capacités <strong>de</strong> réorganisation du réseau cortical in vivo...1684. "Microneurone", outil didactique....................................................................................1704.1. Choix <strong>et</strong> principe <strong>de</strong> fonctionnement..........................................................................1714.1.1. Mise en route.......................................................................................................1734.1.2. Mo<strong>de</strong> <strong>de</strong> fonctionnement. ...................................................................................1734.1.2.1. Mo<strong>de</strong> normal.................................................................................................1734.1.2.2. Mo<strong>de</strong> esclave. ...............................................................................................1744.1.2.3. Logiciel. ........................................................................................................1754.2. Utilisation <strong>de</strong> "microneurone" pour le test <strong>de</strong> l'ASIC "susie".....................................176139


Chapitre IV : mise en œuvre <strong>et</strong> applications4.3. Exemples <strong>de</strong> modèles. ................................................................................................ 1794.4. "Microneurone" version 2.0. ...................................................................................... 1805. Futur calculateur <strong>analogique</strong> programmable. ................................................................. 1815.1. Conception du futur banc <strong>de</strong> simulation <strong>analogique</strong>. ................................................. 1815.1.1. Description du simulateur. ................................................................................. 1825.1.2. Organisation du bus <strong>analogique</strong>. ........................................................................ 1845.2. Prototype utilisant <strong>de</strong>ux circuits "fpca-r". .................................................................. 1865.3. Mesures électriques. ................................................................................................... 1875.3.1. Sigmoï<strong>de</strong>............................................................................................................. 1875.3.2. Paire entrecroisée <strong>et</strong> multiplieur "b<strong>et</strong>a-immune"................................................ 1885.3.3. Constante <strong>de</strong> temps <strong>de</strong>s intégrateurs. ................................................................. 1895.3.4. Temps <strong>de</strong> maintien <strong>de</strong>s mémoires <strong>analogique</strong>s. ................................................. 1905.4. Exemples <strong>de</strong> modèles. ................................................................................................ 1915.4.1. Un neurone à <strong>de</strong>ux conductances. ...................................................................... 1915.4.2. Un neurone à trois conductances........................................................................ 1925.4.3. Réalisation <strong>de</strong> synapses avec les <strong>de</strong>ux circuits................................................... 192140


Chapitre IV : mise en œuvre <strong>et</strong> applications1. INTRODUCTION.Ce chapitre présente les différents systèmes que nous avons mis au point, leur évolution <strong>et</strong>leurs applications. Ils sont conçus en collaboration avec leurs utilisateurs immédiats, leséquipes <strong>de</strong>s chercheurs en neurobiologie Gwendal Le Masson <strong>de</strong> l'institut <strong>de</strong> neurosciencesFrançois MAGENDIE (Unité INSERM E.9914) à Bor<strong>de</strong>aux <strong>et</strong> Thierry Bal <strong>de</strong> l'institut AlfredFESSARD à Gif sur Yv<strong>et</strong>te (UPR CNRS 2191).Au cours <strong>de</strong>s <strong>de</strong>ux précé<strong>de</strong>nts chapitres nous avons présenté différents circuits électroniques<strong>analogique</strong>s qui simulent l'activité électrophysiologique <strong>de</strong> <strong>neurones</strong> biologiques. Afin qu'ilsne restent pas <strong>de</strong> simples curiosités <strong>de</strong> laboratoire (d'électronique), il est nécessaire <strong>de</strong>concevoir un ensemble d'outils matériel <strong>et</strong> logiciel perm<strong>et</strong>tant leur exploitation : "Vortex" est un banc <strong>de</strong> simulation <strong>analogique</strong> issu <strong>de</strong>s travaux antérieurs <strong>de</strong> notre groupe,il est utilisé pour la réalisation <strong>de</strong> réseaux hybri<strong>de</strong>s. "Microneurone" est un simulateur portable que nous avons conçu pour utiliser les ASICs"susie" <strong>et</strong> "calvin", il est plus particulièrement <strong>de</strong>stiné à <strong>de</strong>s applications pédagogiques. Enfin, un simulateur basique est réalisé avec les circuits "fpca-r" perm<strong>et</strong>tant <strong>de</strong> lescaractériser mais aussi <strong>de</strong> démontrer leurs capacités pour la conception d'un futur banc <strong>de</strong>simulation <strong>analogique</strong>.2. "VORTEX" : BANC DE SIMULATION ANALOGIQUE.Le banc <strong>de</strong> simulation <strong>analogique</strong> "vortex" est un système modulaire développé pour recevoirles ASICs <strong>de</strong> calcul <strong>analogique</strong>. Il autorise notamment, la programmation par le biais d'unmicro-ordinateur <strong>de</strong>s paramètres <strong>de</strong> ces ASICs. Conçu par Denis Dupeyron[DUPEYRON 98], déjà présenté par Arnaud Laflaquière [LAFLAQUIERE 98], il anéanmoins sa place dans ce manuscrit car nous avons continué à le faire évoluer <strong>et</strong> à l'utiliser.Notre principale contribution a consisté à la mise au propre du système par la constructiond'un ensemble compl<strong>et</strong> <strong>et</strong> autonome (notamment grâce à l'apport d'alimentations intégrées)afin d'en faciliter l'utilisation hors d'un laboratoire d'électronique [DOUENCE 99].Concrètement nous avons reconstruit <strong>et</strong> mis en coffr<strong>et</strong> la carte mère, <strong>et</strong> nous avons mis à jourle logiciel perm<strong>et</strong>tant son pilotage ainsi que l'acquisition <strong>de</strong> donnée. Les photographies <strong>de</strong> lafigure 4-1 présentent le système dans son état actuel.141


Chapitre IV : mise en œuvre <strong>et</strong> applicationsFigure 4-1 : photographies du banc <strong>de</strong> simulation "vortex" <strong>analogique</strong> dans son état actuel,A) face avant, B) face arrière <strong>et</strong> C) vue d'ensemble avec <strong>de</strong>ux cartes "ernest".2.1.Principe.Ce système a été pensé pour être modulaire <strong>et</strong> évolutif. Il est composé d'une carte mère danslaquelle s'enfichent <strong>de</strong>s cartes filles. Ce sont elles qui reçoivent les différents ASICs <strong>de</strong> calcul<strong>analogique</strong>. Ainsi lors <strong>de</strong> la conception d'un nouveau circuit, il suffit <strong>de</strong> réaliser une nouvellecarte fille qui trouvera sa place dans le système existant.Bien que la version présentée dans ce manuscrit ait été développée pour recevoir les cartesfilles réalisées par Arnaud Laflaquière, elle reste conforme au protocole initialement défini parDenis Dupeyron. Elle comporte cependant quelques signaux supplémentaires qui perm<strong>et</strong>tront<strong>de</strong> répondre à <strong>de</strong> probables évolutions (par exemple l’utilisation <strong>de</strong> mémoires <strong>analogique</strong>s).2.1.1. La carte mère.Elle est essentiellement composée d'un bus fond <strong>de</strong> panier (8 connecteurs encartables <strong>de</strong> 50broches) mixte <strong>analogique</strong>/numérique <strong>et</strong> <strong>de</strong> l'électronique <strong>de</strong>s circuits d’alimentation. En eff<strong>et</strong>,les protocoles <strong>de</strong> communications sont entièrement gérés sur les cartes filles, ce qui perm<strong>et</strong> <strong>de</strong>garantir les possibilités d'évolution.La carte mère est pilotée par une carte d'entrée/sortie <strong>de</strong> type PCI1200 fabriquée par la sociétéNational Instrument. C'est une carte encartable au format PCI, donc compatible avec lesmicro-ordinateurs Apple <strong>et</strong> PC (le fabricant fournit les pilotes logiciels pour ces <strong>de</strong>ux types <strong>de</strong>machine). Elle comporte, entre autre, trois ports numériques <strong>de</strong> 8 bits, 8 lignes d'entrées<strong>analogique</strong>s qui sont multiplexées vers un convertisseur <strong>analogique</strong>-numérique <strong>et</strong> <strong>de</strong>ux sorties<strong>analogique</strong>s.142


Chapitre IV : mise en œuvre <strong>et</strong> applicationscarte I/Obus numériquebus <strong>analogique</strong>cartemèremicro-ordinateurbus PCIalimentations-5 / +5 Valimentationshuit connecteurs fond <strong>de</strong> panierFigure 4-2 : schéma <strong>de</strong> principe <strong>de</strong> la carte mère. Un micro-ordinateur équipé d'une carted'entrée/sortie perm<strong>et</strong> <strong>de</strong> piloter le bus série <strong>de</strong> la carte mère mais aussi <strong>de</strong> faire l'acquisition<strong>de</strong>s signaux <strong>de</strong> son port <strong>analogique</strong>.Le brochage du connecteur type HE10 situé en face arrière du simulateur <strong>analogique</strong> estcompatible avec c<strong>et</strong>te carte d'entrée/sortie (voir annexe D). Sur l'ensemble <strong>de</strong> ses ressources,quatre signaux numériques, 8 entrées <strong>analogique</strong>s <strong>et</strong> une sortie <strong>analogique</strong> sont employés,mais en fait seuls trois signaux numériques sont indispensables pour piloter le bus numérique<strong>et</strong> l’utilisation d’un autre type <strong>de</strong> carte d'entrée/sortie est donc parfaitement envisageable.Nous pouvons détailler les <strong>de</strong>ux bus qui composent la carte mère :Le bus numérique.AttnValidDataFigure 4-3 : signaux du bus numérique.C'est un bus série qui perm<strong>et</strong> d'envoyer les informations nécessaires à la programmation <strong>de</strong>scartes filles, il est indépendant du type <strong>de</strong> carte."Le bus numérique est synchrone <strong>et</strong> unidirectionnel. Il est constitué <strong>de</strong> 3 lignes nomméesATTN, VALID <strong>et</strong> DATA, toutes trois actives à l’état 1. Par son intermédiaire on peutprogrammer chacun <strong>de</strong>s paramètres <strong>de</strong>s cartes filles (...). Le début d’émission d’un messageest signalé par une impulsion sur la ligne ATTN. Le transfert <strong>de</strong>s données s’effectue en série143


Chapitre IV : mise en œuvre <strong>et</strong> applicationssur la ligne DATA, lorsque <strong>de</strong>s impulsions <strong>de</strong> la ligne VALID indiquent que les bits <strong>de</strong> donnéesont prêts " (extrait <strong>de</strong> la thèse <strong>de</strong> Denis Dupeyron [DUPEYRON 98]).Attention : afin <strong>de</strong> supprimer <strong>de</strong>s couplages sur la ligne <strong>de</strong> donnée DATA, les polarités <strong>de</strong>ssignaux ATTN <strong>et</strong> VALID ont été inversées par rapport à la <strong>de</strong>scription <strong>de</strong> Denis Dupeyron.Elles sont maintenant conforme au diagramme <strong>de</strong> la figure 4-3. Le bus <strong>analogique</strong>.Le bus <strong>analogique</strong> comporte un total <strong>de</strong> 5 x 8 lignes qui servent à interconnecter les différentsmodules (<strong>de</strong>s conductances ioniques par exemple) <strong>de</strong>s cartes filles <strong>et</strong> perm<strong>et</strong>tent <strong>de</strong> construire<strong>de</strong>s modèles <strong>de</strong> cellules complexes <strong>et</strong>/ou <strong>de</strong> réseau.Là encore les signaux <strong>et</strong> leur distribution sont conformes au protocole initial, seuls <strong>de</strong>uxsignaux complémentaires ont été ajoutés sur <strong>de</strong>s broches inutilisées.Les tensions sur ce bus correspon<strong>de</strong>nt donc aux potentiels membranaire <strong>de</strong>s <strong>neurones</strong>modélisés (ou <strong>de</strong> leurs compartiments), <strong>et</strong> le premier groupe (M0-M5) est reporté sur <strong>de</strong>sconnecteurs BNC en face avant <strong>et</strong> arrière, ainsi que sur le connecteur type HE10 en facearrière pour en faciliter la mesure.Les <strong>de</strong>ux signaux ajoutés sont <strong>de</strong>stinés à la programmation <strong>de</strong> mémoires <strong>analogique</strong>s :- Signal Vref : il est prévu pour fournir la tension <strong>de</strong> référence à programmer dans <strong>de</strong>smémoires <strong>analogique</strong>s.- Signal Vprog : il est prévu pour fournir la tension <strong>de</strong> programmation éventuellementnécessaire au fonctionnement <strong>de</strong>s mémoires <strong>analogique</strong>s. Un interrupteur situé sur la cartemère perm<strong>et</strong> <strong>de</strong> choisir entre <strong>de</strong>ux sources, un connecteur BNC situé en face arrière ou uncircuit à pompe <strong>de</strong> charge (type MAX662) sur la carte mère. Dans ce <strong>de</strong>rnier cas une tensionrégulée <strong>de</strong> 12 V (courant maximal <strong>de</strong> 30 mA) est disponible sur Vprog.2.1.2. Carte fille "ernest".Elle a été réalisée par Arnaud Laflaquière [LAFLAQUIERE 98], <strong>et</strong> nous ne présentons ici queces principales propriétés, pour plus <strong>de</strong> détail (notamment sur les fonctionnalités <strong>de</strong>s ASICsqu'elle utilise) voir aussi l'annexe E.144


Chapitre IV : mise en œuvre <strong>et</strong> applicationsFigure 4-4 : photographie d'une carte "ernest".Elle comporte cinq ASICs <strong>analogique</strong>s :- trois ASICs "ernest",- un ASIC "ernest junior",- un ASIC "casimir".Equipée <strong>de</strong> ces circuits, une carte "ernest" modélise un total <strong>de</strong> huit conductances ioniques <strong>et</strong><strong>de</strong> <strong>de</strong>ux membranes, chacune associée à son canal <strong>de</strong> fuite. Les paramètres <strong>de</strong> type constante<strong>de</strong> temps sont réglés en changeant manuellement les con<strong>de</strong>nsateurs d'intégration sur la carte,les 56 autres paramètres sont ajustés par le biais <strong>de</strong> 14 convertisseurs numérique-<strong>analogique</strong>(CNA) quatre voies. Ce sont ces convertisseurs qui sont programmés via le bus numérique <strong>de</strong>la carte mère, les données séries étant décodées par un circuit logique programmable (FPGAAltera) qui les redirige vers les CNA.Enfin, la topologie <strong>de</strong>s <strong>neurones</strong> <strong>et</strong> réseaux à simuler est réalisée manuellement à l'ai<strong>de</strong> <strong>de</strong>snombreux micro-interrupteurs présents sur la carte.Sur la figure 4-4 il est facile <strong>de</strong> reconnaître les cinq ASICs <strong>analogique</strong>s, ainsi que le FPGA quigère la programmation <strong>de</strong>s 14 convertisseurs numérique-<strong>analogique</strong>.2.1.3. Logiciel.Nous avons contribué à faire évoluer le logiciel qui pilote ce système par l'intermédiaire <strong>de</strong> lacarte d'entrée/sortie. Comme nous l'avons déjà signalé, trois signaux numériques sontsuffisants pour programmer une carte "ernest". Outre la gestion <strong>de</strong> ce bus numérique, la carted'entrée/sortie perm<strong>et</strong> aussi d'enregistrer l'activité du bus <strong>analogique</strong> grâce à ses voies145


Chapitre IV : mise en œuvre <strong>et</strong> applications<strong>analogique</strong>s. Le logiciel perm<strong>et</strong> donc <strong>de</strong> programmer les paramètres <strong>de</strong>s cartes <strong>et</strong> <strong>de</strong> les sauversur disque, il présente aussi une fenêtre perm<strong>et</strong>tant l'acquisition <strong>analogique</strong> à la manière d'unoscilloscope numérique (figure 4-5).A) B)C)Figure 4-5 : exemples <strong>de</strong> fenêtres du logiciel. A) choix <strong>de</strong> la carte <strong>et</strong> <strong>de</strong> l'ASIC dont onsouhaite éditer les paramètres, B)édition <strong>et</strong> modifications <strong>de</strong>s paramètres "électriques", C)acquisition <strong>de</strong> données grâce à la fonction oscilloscope.2.2.Premier exemple : modélisation <strong>de</strong> la boucle thalamique TC-nRt.Comme première illustration <strong>de</strong>s possibilités du banc "vortex", nous présentons <strong>de</strong>ssimulations <strong>de</strong> la boucle thalamomique <strong>de</strong> fur<strong>et</strong> ou <strong>de</strong> cochon d'In<strong>de</strong> étudiée par les équipes <strong>de</strong>neurobiologistes avec qui nous travaillons. L'implémentation électronique <strong>de</strong>s modèles <strong>de</strong><strong>neurones</strong> <strong>de</strong> c<strong>et</strong>te structure est une étape indispensable pour la réalisation <strong>de</strong>s réseaux hybri<strong>de</strong>squi seront présentés au paragraphe 3.Avant <strong>de</strong> présenter les enregistrements <strong>de</strong> nos <strong>neurones</strong> artificiels, donnons quelquesinformations sur la fonction <strong>de</strong> la boucle thalamique.146


Chapitre IV : mise en œuvre <strong>et</strong> applications2.2.1. Fonction <strong>de</strong> la boucle thalamique.EEG cortical3 scortex0,5 cmenregistrement cellule TCexcitatio nCellule nRtthalamusAxone <strong>de</strong>la rétine-+ibitioninhTranche <strong>de</strong> thalamusCellule TC0,5 mm 100 µmFigure 4-6 : à gauche le cortex d'un mammifère (fur<strong>et</strong>) avec ses voies visuelles (rétine).L'enregistrement <strong>de</strong> l'activité corticale (EEG) montre les fuseaux du sommeil. Au centre, l<strong>et</strong>halamus extrait sous forme d'une tranche survivant in vitro. Il comporte <strong>de</strong>ux populations <strong>de</strong><strong>neurones</strong>, TC <strong>et</strong> nRt, qui sont i<strong>de</strong>ntifiées comme étant le noyau générateur <strong>de</strong> ces fuseaux.(figure réalisée par T. Bal extraite <strong>de</strong> [LE MASSON 98b])."Que sait-on <strong>de</strong> son rôle? Au cours <strong>de</strong> l'éveil, un flot continuel d'informationssensorielles nous renseigne instant après instant sur l'état du mon<strong>de</strong> extérieur <strong>et</strong> <strong>de</strong>notre propre corps. On sait que le codage <strong>de</strong> ces informations est opéré par <strong>de</strong>s cellulesnerveuses sous la forme <strong>de</strong> potentiels d'action. Les signaux ainsi codés sont véhiculéspar <strong>de</strong>s voies séparées vers différentes aires du néocortex, spécialisées dans l<strong>et</strong>raitement d'une modalité sensorielle particulière. Le thalamus est la première structurecérébrale que rencontrent ces voies sensorielles en route vers le cortex. De fait, la<strong>de</strong>struction du thalamus nous rendrait immanquablement aveugles, sourds, <strong>et</strong>complètement isolés du mon<strong>de</strong> extérieur <strong>et</strong> <strong>de</strong> notre corps sur le plan sensoriel <strong>et</strong>moteur.C<strong>et</strong>te privation sensorielle ne rappelle-t-elle pas les caractéristiques <strong>de</strong> l'état <strong>de</strong>sommeil ? L'endormissement est en eff<strong>et</strong> associé à une diminution marquée <strong>de</strong> laperception sensorielle, qui va s'amplifiant au cours <strong>de</strong>s sta<strong>de</strong>s <strong>de</strong> sommeil successifs(classés <strong>de</strong> I à IV). C<strong>et</strong>te transition <strong>de</strong> la veille vers le sommeil est clairement visible surun électroencéphalogramme (EEG) <strong>et</strong> se caractérise par la transformationd'oscillations rapi<strong>de</strong>s (20-60 Hz), <strong>de</strong> p<strong>et</strong>ite amplitu<strong>de</strong>, en on<strong>de</strong>s plus lentes (1 à 14 Hz)<strong>et</strong> <strong>de</strong> gran<strong>de</strong> amplitu<strong>de</strong>. Les oscillations les plus caractéristiques <strong>de</strong>s premiers sta<strong>de</strong>s dusommeil s'appellent les fuseaux. Le sommeil à fuseaux (sta<strong>de</strong> II) représente chezl'homme sain environ 30 à 50 % du temps passé à dormir. Ces fuseaux sont produits147


Chapitre IV : mise en œuvre <strong>et</strong> applicationspar le thalamus <strong>et</strong> propagés vers le cortex où on les repère dans l'EEG sous la forme <strong>de</strong>bouffées d'oscillations <strong>de</strong> 10-14 Hz qui reviennent périodiquement toutes les dizaines <strong>de</strong>secon<strong>de</strong>s.Ces observations perm<strong>et</strong>tent d'élaborer <strong>de</strong>s hypothèses sur le rôle du thalamus : endiminuant la transmission <strong>de</strong>s informations sensorielles qui transitent vers le cortex,son activité oscillatoire serait prépondérante dans la chute <strong>de</strong> la perception conscienteassociée au sommeil. Pendant l'éveil au contraire, ces oscillations étant abolies, l<strong>et</strong>halamus autoriserait la transmission <strong>de</strong>s informations vers le cortex." (extrait <strong>de</strong>[LE MASSON 98b])La structure qui est à l'origine <strong>de</strong> c<strong>et</strong>te activité en fuseau a été i<strong>de</strong>ntifiée au sein du thalamus :elle se compose <strong>de</strong> <strong>de</strong>ux populations <strong>de</strong> <strong>neurones</strong>, les cellules relais TC (Thalamo-Corticales)<strong>et</strong> les cellules nRt (<strong>neurones</strong> Réticulés thalamiques) reliées entre elles par <strong>de</strong>s synapsesexcitatrices (TC vers nRt) ou inhibitrices (nRt vers TC).Thierry Bal a développé chez le fur<strong>et</strong> une préparation originale <strong>de</strong> tranches (400 md'épaisseur) <strong>de</strong> la partie du thalamus traitant les informations visuelles en provenance <strong>de</strong> larétine. Ce modèle expérimental possè<strong>de</strong> <strong>de</strong>ux particularités remarquables. Il conserve in vitrointacts <strong>et</strong> fonctionnels les circuits responsables <strong>de</strong>s rythmes générateurs du sommeil à on<strong>de</strong>slentes <strong>et</strong>, par ailleurs, les connexions synaptiques entre les axones d'origine rétinienne <strong>et</strong> les<strong>neurones</strong> du réseau sont conservées. La stimulation électrique <strong>de</strong> ces axones perm<strong>et</strong> <strong>de</strong>"mimer" l'entrée <strong>de</strong> signaux visuels dans le thalamus (figure 4-6).2.2.2. Modélisation <strong>et</strong> simulation <strong>de</strong> la boucle.Nous présentons donc maintenant les enregistrements obtenus à partir <strong>de</strong> modèles <strong>de</strong> <strong>neurones</strong>artificiels TC <strong>et</strong> nRt simulés sur notre banc <strong>de</strong> simulation <strong>analogique</strong> "vortex". Comme nousl'avons indiqué, nous nous intéressons en particulier aux boucles thalamiques <strong>de</strong> <strong>de</strong>ux espècesanimales : le fur<strong>et</strong> <strong>et</strong> le cochon d'In<strong>de</strong>.Les modèles implémentés sont <strong>de</strong>s versions simplifiées inspirées <strong>de</strong> plusieurs publications[BAL 96], [DESTEXHE 96], [MCCORMICK 97] <strong>et</strong> [STERIADE 97]. Ils simulentnéanmoins correctement la plupart <strong>de</strong>s comportements caractéristiques que l'on r<strong>et</strong>rouve sur<strong>de</strong>s enregistrements <strong>de</strong> cellules vivantes. Les enregistrements que nous présentons dans ceparagraphe ont étés obtenus avec la collaboration <strong>de</strong> G. Le Masson.148


Chapitre IV : mise en œuvre <strong>et</strong> applications Reconstruction <strong>de</strong> la cellule TC.Le modèle <strong>de</strong> ce neurone est constitué d'un compartiment unique qui comporte cinqconductances ioniques :CmemdVdtmem I I I I g (V E ) 0(4.1)NaKhI T est le courant "low threshold" calcium, I h est le courant "hyperpolarization-activatedcation", I K <strong>et</strong> I Na sont, respectivement, les courants sodium <strong>et</strong> potassium responsables <strong>de</strong> lagénération <strong>de</strong>s potentiels d'actions. Ces courants sont modélisés par <strong>de</strong>s équations répondantau formalisme <strong>de</strong> Hodgkin <strong>et</strong> Huxley (voir équations 1.4 à 1.7). Notons que I h ne comportepas <strong>de</strong> composante <strong>de</strong> dépendance calcique, ses propriétés que nous décrivons ci-<strong>de</strong>ssousproviennent donc uniquement <strong>de</strong> la constante <strong>de</strong> temps très lente (2,2 s) associée à une uniquevariable d'inactivation.Avec les enregistrements suivants, nous illustrons l'eff<strong>et</strong> <strong>de</strong> ces courants ioniques (figure 4-7).Tfuitememfuite200 mV50 msV mem Deux courants ioniques, I Na <strong>et</strong> I K sont àl'origine <strong>de</strong> la génération <strong>de</strong> potentielsd'actions (PA).L'injection d'un courant dépolarisant perm<strong>et</strong><strong>de</strong> traverser le seuil <strong>de</strong> déclenchement <strong>de</strong>s PApour le neurone normalement silencieux.+130 AI stim200 mVV memI stim100 ms-130 A La conductance calcique I T est à l'origined'une lente activité qui prend naissance sousle seuil d'activation <strong>de</strong>s PA.L'injection d'un courant hyperpolarisantmaintenu se traduit par la génération d'uneenveloppe oscillatoire lente surmontée <strong>de</strong>bouffées <strong>de</strong> PA lorsque le potentiel <strong>de</strong>membrane dépasse le seuil <strong>de</strong> déclenchement.-130 AV mem sans I hV mem avec I hI stim500 mV500 ms Nous observons la réponse à l'injectiond'un courant hyperpolarisant du modèlecompl<strong>et</strong> avec <strong>et</strong> sans conductance I h .Le courant I h à tendance à dépolariser lamembrane <strong>et</strong> agit en diminuant lentementl'amplitu<strong>de</strong> <strong>de</strong> l'enveloppe produite par I Tainsi que la largeur <strong>de</strong>s bouffées <strong>de</strong> PA qui lasurmonte.149


Chapitre IV : mise en œuvre <strong>et</strong> applications200 mV1 sA)-130 Alongue ADPV mem avec I hI stim200 mV1 sV mem sans I h Une autre façon <strong>de</strong> m<strong>et</strong>tre en évi<strong>de</strong>nce laprésence du courant I h est <strong>de</strong> provoquer unesérie <strong>de</strong> rebonds en appliquant <strong>de</strong>s impulsionshyperpolarisantes.Ces rebonds sont suivis d'une importante"after-<strong>de</strong>polarization" (ADP) qui démontre laprésence du courant I h à cinétique lente (A).Notons que pour ce modèle simplifié, lecourant I h ne comporte pas <strong>de</strong> mécanisme <strong>de</strong>dépendance calcique.La suppression <strong>de</strong> la conductance I h conduit àune réponse répétitive <strong>de</strong> la cellule auximpulsions <strong>et</strong> à l'absence d'ADP (B).I stimB)-130 AFigure 4-7 : reconstruction du neurone TC. Reconstruction <strong>de</strong> la cellule nRt.Le modèle <strong>de</strong> ce neurone est lui aussi constitué d'un compartiment unique <strong>et</strong> comporte troisconductances ioniques :CmemdVdtmem I I I g (V E ) 0(4.2)NaKI T est le courant "low threshold" calcium, I K <strong>et</strong> I Na sont, respectivement, les courants sodium <strong>et</strong>potassium responsables <strong>de</strong> la génération <strong>de</strong>s potentiels d'actions.Avec ces conductances ioniques, le neurone nRt présente <strong>de</strong>s propriétés similaires au neuroneTC. La différence majeure tient dans l'absence du courant I h , responsable <strong>de</strong> l'arrêt <strong>de</strong>soscillations pour la cellule TC.Tfuitememfuite200 mV100 ms200 mV50 msV memV memI stimA)130 AI stimB)-130 AFigure 4-8 : activités caractéristiques du neurone nRt. A) réponse à <strong>de</strong>s impulsionspolarisantes. B) réponse à <strong>de</strong>s impulsions hyperpolarisantes.150


Chapitre IV : mise en œuvre <strong>et</strong> applicationsNous r<strong>et</strong>rouvons donc les activités caractéristiques :<strong>de</strong>s impulsions <strong>de</strong> courant dépolarisant entraînent la traversée du seuil <strong>de</strong> déclenchement<strong>de</strong>s PA du neurone normalement silencieux (figure 4-8-A). Les <strong>de</strong>ux courants ioniques I Na<strong>et</strong> I K sont à l'origine <strong>de</strong> la génération <strong>de</strong> potentiels d'actions (PA).l'injection d'impulsions <strong>de</strong> courant hyperpolarisant se traduit par l'apparition d'un rebonddû à l'inactivation du courant I T . Le potentiel <strong>de</strong> membrane traverse le seuil <strong>de</strong>déclenchement <strong>de</strong>s PA <strong>et</strong> nous observons une "bouffée" <strong>de</strong> PA (figure 4-8-B). Notonsqu'en maintenant le courant hyperpolarisant, nous aurions obtenu une réponse oscillatoiresimilaire à celle observée pour le neurone TC (figure 4-7). Réseau compl<strong>et</strong>.Les <strong>de</strong>ux <strong>neurones</strong> sont reliés par <strong>de</strong>s synapses inhibitrice (nRt vers TC) <strong>et</strong> excitatrice (TCvers nRt).Synapse excitatrice <strong>de</strong> la cellule TC vers la cellule nRt (figure 4-9-A) : <strong>de</strong>s impulsionshyperpolarisantes appliquées à la cellule TC provoquent <strong>de</strong>s rebonds calciques surmontés <strong>de</strong>PA. Ces <strong>de</strong>rniers sont transmis par la synapse à la cellule nRt <strong>et</strong> les potentiels postsynaptiquesexcitateurs (PPSE) l'entraînent au-<strong>de</strong>ssus du seuil <strong>de</strong> déclenchement <strong>de</strong>s PA.Synapse inhibitrice <strong>de</strong> la cellule nRt vers la cellule TC (figure 4-9-B) : c<strong>et</strong>te fois <strong>de</strong>simpulsions dépolarisantes sont appliquées à la cellule nRt l'entraînant au-<strong>de</strong>ssus du seuil <strong>de</strong>déclenchement <strong>de</strong>s PA. C<strong>et</strong>te activité est alors transmise (potentiels postsynaptiquesinhibiteurs : PPSI) par la synapse inhibitrice au neurone TC provoquant hyperpolarisations <strong>et</strong>rebonds.I stim-130 A500 mVV mem TCStimulationTC-50 msTCV mem TCA)+nRt500 mV50 msV mem nRtB)nRtStimulation130 AV mem nRtI stimFigure 4-9 : eff<strong>et</strong>s <strong>de</strong>s synapses excitatrice <strong>et</strong> inhibitrice reliant les cellules TC <strong>et</strong> nRt. A)synapse excitatrice seule. B) synapse inhibitrice seule.151


Chapitre IV : mise en œuvre <strong>et</strong> applicationsI stimStimulation-130 ATC-V mem TC500 mV+nRt500 msV mem nRtFigure 4-10 : simulation du réseau TC/nRt compl<strong>et</strong>.Nous connectons maintenant les <strong>de</strong>ux synapses (figure 4-10). La stimulation <strong>de</strong> la cellule TCpar <strong>de</strong>s impulsions hyperpolarisantes provoquent l'apparition d'un rebond calcique. Grâce àl'excitation/inhibition réciproque <strong>de</strong>s <strong>de</strong>ux <strong>neurones</strong> la première bouffée <strong>de</strong> PA déclenchée parc<strong>et</strong>te stimulation provoque l'apparition d'une activité oscillatoire entr<strong>et</strong>enue. Ces oscillationssont ensuite arrêtées par le courant I h .2.3.Deuxième exemple : augmentation <strong>de</strong> la vitesse <strong>de</strong> calcul.Au chapitre II, nous avons évoqué la possibilité d'augmenter la vitesse <strong>de</strong> calcul <strong>de</strong> noscalculateurs <strong>analogique</strong>s.C<strong>et</strong>te propriété serait particulièrement intéressante pour, par exemple, faciliter la recherche<strong>de</strong>s paramètres d'un modèle. Nous avons déjà vu que l'obtention <strong>de</strong>s données expérimentalesnécessaires à la construction d'un modèle <strong>de</strong> Hodgkin <strong>et</strong> Huxley représente un travail long <strong>et</strong>délicat (chapitre I). C<strong>et</strong>te difficulté <strong>et</strong> l'imprécision <strong>de</strong>s mesures obtenues se traduit souventpar l'application d'un "processus d'essai-erreur consistant à essayer différentes valeurs pourun paramètre afin <strong>de</strong> se rapprocher au mieux du comportement biologique" [LE MASSON98a]. Que c<strong>et</strong>te recherche se fasse "manuellement" ou grâce à <strong>de</strong>s algorithmes d'optimisationsi le nombre <strong>de</strong> paramètres du modèle est trop important, elle implique toujours <strong>de</strong> réaliser ungrand nombre <strong>de</strong> simulations correspondant à chaque jeu <strong>de</strong> paramètres testé. Il est doncnécessaire <strong>de</strong> diminuer au maximum les temps <strong>de</strong> simulation. Nous avons déjà évoqué la152


Chapitre IV : mise en œuvre <strong>et</strong> applicationspropriété <strong>de</strong> notre calculateur <strong>analogique</strong> <strong>de</strong> résoudre en temps réel les équations <strong>de</strong> modèlescomplexes mais nous allons voir qu'il est encore possible d'améliorer la vitesse <strong>de</strong> calcul.Pour expliquer <strong>et</strong> illustrer c<strong>et</strong>te possibilité, nous considérons un neurone simple à <strong>de</strong>uxconductances ioniques décrit par l'équation ci-<strong>de</strong>ssous :CmemdVdtmem I I g (V E ) 0(4.3)NaKfuitememfuiteRappelons que les variables d'activations <strong>de</strong> I Na <strong>et</strong> I K sont solutions d'équations différentielles<strong>de</strong> la forme suivante :xdx(t) x (Vmem) x(t)(4.4)dtLa dépendance temporelle du modèle est entièrement décrite par ces <strong>de</strong>ux équations, <strong>et</strong> lamodification <strong>de</strong> la "vitesse <strong>de</strong> calcul", traduite mathématiquement par un changement <strong>de</strong>variable1t' t , n'implique que la transformation <strong>de</strong>s "variables" C mem (capacitémembranaire) <strong>et</strong> x (constantes <strong>de</strong> temps <strong>de</strong>s variables d'activations) selon les équations :'CmemCmem' xRCx <strong>et</strong> x (4.5) Pour un modèle tonique à <strong>de</strong>ux conductances, nous modifions donc les con<strong>de</strong>nsateursmembranaire C mem <strong>et</strong> <strong>de</strong> constantes <strong>de</strong> temps C x en les divisant par un rapport (figure 4-11).Les mesures présentées ont été obtenues par S. Le Masson <strong>et</strong> B. Foutry pour treize valeurs <strong>de</strong> comprises entre 0,05 <strong>et</strong> 320. Le temps réel correspondant à = 1 avec une fréquence <strong>de</strong>répétition <strong>de</strong>s PA <strong>de</strong> l'ordre <strong>de</strong> 30 Hz.153


Chapitre IV : mise en œuvre <strong>et</strong> applications0,4V mem (V)0,20,0-0,2-0,4-0,6t (s)-0,80,000 0,001 0,001 0,002 0,002 0,003 0,003 0,004Figure 4-11 : variation <strong>de</strong> la pério<strong>de</strong> <strong>de</strong>s potentiels d'actions pour diverses valeurs durapport <strong>de</strong> multiplication .La variation <strong>de</strong> la pério<strong>de</strong> <strong>de</strong>s potentiels d'actions (PA) correspond bien à une modificationeffective <strong>de</strong> la "vitesse <strong>de</strong> calcul". En mesurant la fréquence <strong>de</strong>s PA, nous vérifions qu'ellevarie bien linéairement avec la valeur du rapport <strong>de</strong> multiplication (figure 4-12).7000F PA (Hz)700F PA (Hz)60006005000500400040030003002000200A)100000 50 100 150 200 250 300 350B)10000 5 10 15 20Figure 4-12 : variation <strong>de</strong> la fréquence <strong>de</strong>s potentiels d'actions en fonction du rapport <strong>de</strong>multiplication <strong>de</strong>s con<strong>de</strong>nsateurs. La figure B) est un agrandissement <strong>de</strong> la figure A) pourles valeurs faibles <strong>de</strong> .Pour nous assurer que la forme <strong>de</strong>s PA est bien respectée malgré le changement <strong>de</strong> fréquence,nous reéchantillonnons les données <strong>de</strong>s mesures temporelles <strong>de</strong> la figure 4-11 en normalisantl'échelle <strong>de</strong>s temps. Nous pouvons ainsi mesurer l'erreur quadratique <strong>de</strong> la variationtemporelle <strong>de</strong> V mem en prenant l'acquisition correspondant à =1 comme référence(figure 4-13). Hormis pour les <strong>de</strong>ux <strong>de</strong>rnières valeurs (=160 <strong>et</strong> =320) l'erreur reste154


Chapitre IV : mise en œuvre <strong>et</strong> applicationsinférieure à 1 <strong>et</strong> les courbes déformées qui apparaissent clairement sur la représentationtemporelle normalisée (figure 4-13-A) correspon<strong>de</strong>nt à ces valeurs extrêmes.200 mVV memA)2,5erreur quadratique1,0erreur quadratique2,00,81,50,61,00,40,50,2B)0,00 50 100 150 200 250 300 350C)0,00 10 20 30 40 50Figure 4-13 : comparaison <strong>de</strong>s formes <strong>de</strong>s potentiels d'actions. A) Tracé <strong>de</strong>s différents PApour une échelle <strong>de</strong>s temps normalisée. B) Erreur quadratique en fonction du rapportappliqué aux con<strong>de</strong>nsateurs. La figure C) est un agrandissement <strong>de</strong> la figure B) pour lesvaleurs faibles <strong>de</strong> .En conclusion, conformément à la théorie, nous avons fait varier la vitesse <strong>de</strong> calcul pour unrapport variant entre 0,05 <strong>et</strong> 320 (rappelons que le temps réel correspondant à = 1). Pour<strong>de</strong>s valeurs <strong>de</strong> supérieures à 50 la fréquence <strong>de</strong> répétition <strong>de</strong>s PA s'écarte cependant <strong>de</strong> lathéorie <strong>et</strong> les enveloppes correspondantes présentent <strong>de</strong>s déformations non négligeables. C<strong>et</strong>tedéviation provient <strong>de</strong>s faibles valeurs <strong>de</strong> con<strong>de</strong>nsateurs nécessaires à l'obtention <strong>de</strong>s vitessesélevées. En eff<strong>et</strong> pour =320 la constante <strong>de</strong> temps d'activation <strong>de</strong> la conductance ionique I Naest obtenue avec un con<strong>de</strong>nsateur <strong>de</strong> 50 pF (tous les autres con<strong>de</strong>nsateurs ont <strong>de</strong>s valeurs aumoins dix fois supérieures) ce qui correspond à la capacité parasite du plot <strong>de</strong> câblage <strong>de</strong>155


Chapitre IV : mise en œuvre <strong>et</strong> applicationsl'ASIC. Pour nos circuits, 50 pF représente donc une limite inférieure qui correspond à uneconstante <strong>de</strong> temps minimale <strong>de</strong> 50 pF x 10 k = 0,5 s (voir annexe E pour les relationsd'étalonnage). Notons aussi que le système d'acquisition utilisé (celui du banc "vortex") a unefréquence d'échantillonnage limitée <strong>et</strong> les PA les plus rapi<strong>de</strong>s ne comportent pas assez <strong>de</strong>points <strong>de</strong> mesures, ce qui contribue à dégra<strong>de</strong>r la fonction d'erreur <strong>de</strong> la figure 4-11.Pour pouvoir encore accélérer la vitesse <strong>de</strong> calcul, on pourrait par exemple modifier la valeur<strong>de</strong> la résistance intégrée mais il faudrait aussi faire une étu<strong>de</strong> détaillée <strong>de</strong>s caractéristiquesfréquentielles <strong>de</strong>s opérateurs utilisés pour connaître les limites inhérentes aux circuits. Cemo<strong>de</strong> <strong>de</strong> fonctionnement ne faisant pas partie <strong>de</strong>s contraintes initiales, c<strong>et</strong>te caractérisation n'apas été réalisée systématiquement pour les circuits actuellement utilisés.3. METHODE DES RESEAUX HYBRIDES.3.1.Principe <strong>et</strong> historique.C'est la technique qui est à l'origine du travail présenté dans ce manuscrit. Son principe <strong>de</strong>base est extrêmement simple : il consiste à interconnecter <strong>de</strong>s <strong>neurones</strong> biologiques vivants <strong>et</strong><strong>de</strong>s <strong>neurones</strong> artificiels pour les faire interagir en temps réel.L'étu<strong>de</strong> d'un réseau <strong>de</strong> <strong>neurones</strong> biologiques reste une tâche longue <strong>et</strong> délicate. Ses propriétéssont liées à trois éléments principaux : les <strong>neurones</strong> qui le composent, les synapses quiassurent les connexions <strong>et</strong> la topologie <strong>de</strong> l'ensemble. L'espoir d'une compréhension globaleimplique sans doute d'évaluer chacun d'entre eux. Pour ce faire, l'expérimentateur dispose d'unnombre important <strong>de</strong> moyens <strong>de</strong> mesures directs ou indirects, d'une panoplie <strong>de</strong> métho<strong>de</strong>spharmacologiques perm<strong>et</strong>tant par exemple d'altérer le fonctionnement <strong>de</strong> certains canaux <strong>et</strong>ainsi d'en évaluer l'influence. Mais, finalement, ces contraintes expérimentales limitentsouvent l'étu<strong>de</strong> au niveau <strong>de</strong> la cellule individuelle <strong>et</strong> restent difficiles à appliquer à l'étu<strong>de</strong> <strong>de</strong>sréseaux <strong>et</strong> <strong>de</strong> leurs propriétés.Modélisation <strong>et</strong> simulation ont déjà permis d'améliorer la vision d'ensemble <strong>de</strong>s réseauxbiologiques mais la mise en œuvre <strong>de</strong> réseaux hybri<strong>de</strong>s présente une avancée supplémentairedans le domaine <strong>de</strong> l'expérimentation : le modèle simulé est maintenant connecté à <strong>de</strong>scellules vivantes. L'avantage majeur <strong>de</strong>s <strong>neurones</strong> artificiels est qu'ils sont entièrementajustables. La flexibilité qui apparaît alors dans le réseau hybri<strong>de</strong> perm<strong>et</strong> <strong>de</strong> mesurer <strong>et</strong> tester156


Chapitre IV : mise en œuvre <strong>et</strong> applications<strong>de</strong>s caractéristiques qui étaient auparavant inaccessibles. Plusieurs configurations sontimaginables, par exemple : Modification d'un neurone biologique par ajout <strong>de</strong> canaux ioniques artificiels. Ajout ou modification <strong>de</strong>s connexions synaptiques artificielles entre <strong>neurones</strong> d’un réseaubiologique. Remplacement d'un neurone ou d'un groupe <strong>de</strong> <strong>neurones</strong> volontairement supprimé oudétruit lors <strong>de</strong> la préparation, par un équivalent artificiel. Ajout à un réseau d'un neurone ou un groupe <strong>de</strong> <strong>neurones</strong> supplémentaires, ou aucontraire, intégration d'un neurone réel isolé dans un réseau artificielHistoriquement, le premier système hybri<strong>de</strong> interconnectant un dispositif électronique <strong>et</strong> <strong>de</strong>scellules biologiques est rapporté par [YAROM 91], la technique à cependant été généralisée <strong>et</strong>systématisée au sein d'une autre équipe, celle <strong>de</strong> Eve Mar<strong>de</strong>r a l'Université <strong>de</strong> Bran<strong>de</strong>is,Massachus<strong>et</strong>ts [MARDER 94].Dans un premier temps il s'agissait uniquement d'établir <strong>de</strong>s connexions entre <strong>neurones</strong> enréalisant <strong>de</strong>s synapses artificielles ou d'altérer le fonctionnement d'une cellule en lui ajoutantune ou plusieurs conductances. La connexion <strong>de</strong> cellules artificielles complètes, <strong>et</strong> donc laréalisation <strong>de</strong> réseaux hybri<strong>de</strong>s biologique-artificiel, a aussi été réalisée par c<strong>et</strong>te mêmeéquipe.C<strong>et</strong>te <strong>de</strong>rnière approche a été baptisée "technique <strong>de</strong>s réseaux hybri<strong>de</strong>s"[RENAUD-LE MASSON 93], tandis que la première, plus restrictive, été nommée "dynamicclamp" par référence à la technique classique <strong>de</strong> "voltage clamp" [SHARP 93].Gwendal Le Masson a ensuite continué à développer la métho<strong>de</strong> au sein du laboratoire LNPC<strong>de</strong> neurobiologie <strong>et</strong> physiologie comparées à Arcachon (CNRS URA 1126 alors dirigé par leProfesseur M. Moulins). Il utilisait un système à base <strong>de</strong> processeur spécifique (DSP : DigitalSignal Processing) pour pouvoir répondre à la puissance <strong>de</strong> calcul nécessaire à la simulation<strong>de</strong> la partie artificielle du réseau [LE MASSON 95], [LE MASSON 98a].Conjointement, notre équipe du laboratoire <strong>de</strong> microélectronique IXL a commencé à m<strong>et</strong>tre aupoint <strong>de</strong>s simulateurs <strong>analogique</strong>s intégrés perm<strong>et</strong>tant, entre autre, <strong>de</strong> résoudre les problèmes<strong>de</strong> puissance <strong>de</strong> calcul. Actuellement nous travaillons en collaboration avec l'institut <strong>de</strong>neurosciences François MAGENDIE (Unité INSERM E.9914) à Bor<strong>de</strong>aux <strong>et</strong> l'institut Alfred157


Chapitre IV : mise en œuvre <strong>et</strong> applicationsFESSARD (UPR CNRS 2191 Unité <strong>de</strong> Neuroscience intrégatives <strong>et</strong> computationnelles) à Gifsur Yv<strong>et</strong>te. Plusieurs étu<strong>de</strong>s utilisant les réseaux hybri<strong>de</strong>s ont été déjà été menées ou sont encours <strong>de</strong> réalisation [LAFLAQUIERE 97a], [LAFLAQUIERE 97b], [LE MASSON 98b],[LE MASSON 99b], [LE MASSON 00]. Nous décrivons <strong>de</strong>s exemples d'expériences dans lasuite <strong>de</strong> ce paragraphe.Mis à part les proj<strong>et</strong>s <strong>de</strong> ces différents groupes, il existe très peu d'exemples <strong>de</strong> réalisation <strong>de</strong>réseaux hybri<strong>de</strong>s.L'équipe du professeur Abarbanel (Université <strong>de</strong> San Diego, Californie) a présenté <strong>de</strong>s travauxutilisant pour la partie biologique <strong>de</strong>s ganglions stomatogastriques <strong>de</strong> crustacés, préparationssimilaires à celles utilisées par Mar<strong>de</strong>r ou Le Masson, <strong>et</strong>, pour la partie artificielle, soit unmodèle numérique [ELSON 98] soit un modèle <strong>analogique</strong> réalisé en composants discr<strong>et</strong>s[SZUCS 00].Steve Potter, <strong>de</strong> l'institut <strong>de</strong> technologie <strong>de</strong> Californie présente un proj<strong>et</strong> nommé animat (pour"artificial animal"). Il prévoit "l'interfaçage <strong>de</strong> cultures <strong>de</strong> <strong>neurones</strong> (corticaux <strong>de</strong> rat) à unmon<strong>de</strong> virtuel généré par ordinateur". L'enregistrement <strong>et</strong> la stimulation <strong>de</strong>vant ce faire parune matrice <strong>de</strong> microélectro<strong>de</strong>s (voir paragraphe 3.2.2) il semble probable que la partieartificielle <strong>de</strong> ce réseau n'utilisera pas <strong>de</strong> modèles biologiquement plausibles, mais plutôt <strong>de</strong>salgorithmes <strong>de</strong> traitement <strong>de</strong> données <strong>et</strong> <strong>de</strong> classification <strong>de</strong>s potentiels d'actions enregistrés[POTTER 97], [DEMARSE 00].3.2.Interface artificiel-biologique.Pour construire un réseau hybri<strong>de</strong>, il faut pouvoir mesurer en temps réel l'activité <strong>de</strong>s cellulesbiologiques pour la transm<strong>et</strong>tre aux <strong>neurones</strong> artificiels par le biais d'une synapse, <strong>et</strong>,éventuellement, en r<strong>et</strong>our réinjecter le courant synaptique d'une synapse réciproque. Notonsque les synapses sont aussi artificielles.Pour réaliser c<strong>et</strong>te interface nous avons, jusqu'à présent, utilisé <strong>de</strong>s microélectro<strong>de</strong>sintracellulaires habituellement utilisées en neurophysiologie pour l'enregistrement <strong>et</strong> lastimulation <strong>de</strong> cellules nerveuses. Nous présentons dans ce paragraphe les principes <strong>de</strong>fonctionnement <strong>de</strong> différents types d'électro<strong>de</strong>s. Nous allons voir que <strong>de</strong>s <strong>de</strong>ux métho<strong>de</strong>sclassiques à électro<strong>de</strong> simple, extracellulaire ou intracellulaire, seule c<strong>et</strong>te <strong>de</strong>rnière esteffectivement adaptée pour la réalisation <strong>de</strong> réseaux hybri<strong>de</strong>s utilisant le niveau <strong>de</strong> <strong>de</strong>scription158


Chapitre IV : mise en œuvre <strong>et</strong> applicationsque nous avons choisi pour nos modèles. Nous abordons aussi d'autres techniquesd'enregistrement dont les caractéristiques sont potentiellement intéressantes.3.2.1. Métho<strong>de</strong>s classiques : microélectro<strong>de</strong>s intra <strong>et</strong> extracellulaire simples.Electro<strong>de</strong> intracellulaire <strong>et</strong> "current clamp".Electro<strong>de</strong> Ag-AgClV p +V cmdIV pRA2+1A1+1++VpV cmdNeuroneSolution KClFigure 4-14 : "current clamp", principe d'une électro<strong>de</strong> d'enregistrement <strong>et</strong> <strong>de</strong> simulationintracellulaire dans le cas d'une préparation in vitro [AXON 93].La son<strong>de</strong> est une micropip<strong>et</strong>te en verre contenant en général une solution <strong>de</strong> KCl trèsconductrice <strong>et</strong> une électro<strong>de</strong> en argent chloruré.L'ensemble milieu intracellulaire, solution KCl <strong>et</strong> fil d'argent, est conducteur, doncisopotentiel. La différence <strong>de</strong> potentiel entre l'électro<strong>de</strong> d'argent <strong>et</strong> le bain contenant lapréparation, maintenu au potentiel <strong>de</strong> référence, correspond bien au potentieltransmembranaire. L'amplificateur A1 <strong>de</strong> gain unitaire perm<strong>et</strong> <strong>de</strong> référencer le potentielintracellulaire à celui du bain mais aussi d'assurer l'adaptation d'impédance avec l'appareil <strong>de</strong>mesure. Pour ces signaux, les différences <strong>de</strong> potentiels varient typiquement entre 50 <strong>et</strong> -70mV.La même son<strong>de</strong> perm<strong>et</strong> <strong>de</strong> réaliser une injection <strong>de</strong> courant dans la cellule. Le générateur <strong>de</strong>courant constitué par A2 <strong>et</strong> la résistance R perm<strong>et</strong> d'injecter <strong>de</strong>s électrons dans le fil d'argentoù se produit une réaction d'oxydo-réduction (équation 4.6) qui libère <strong>de</strong>s ions Cl - en excèsdans la micropip<strong>et</strong>te. Ils diffusent dans la cellule créant un courant entrant négatif. La réactionétant réversible, le dispositif perm<strong>et</strong> aussi <strong>de</strong> capter les ions Cl - <strong>et</strong> d'injecter <strong>de</strong>s ions K + donc<strong>de</strong> créer un courant entrant positif.Cl Ag AgCl e(4.6)159


Chapitre IV : mise en œuvre <strong>et</strong> applicationsCe type d'électro<strong>de</strong> associée au dispositif d'instrumentation que nous avons décrit perm<strong>et</strong>d'évaluer avec précision l'activité d'une cellule vivante en mesurant son potentieltransmembranaire mais aussi d'injecter un courant simulant celui d'une jonction synaptique.Comme ce sont exactement les gran<strong>de</strong>urs caractéristiques simulées par nos modèles, c<strong>et</strong>t<strong>et</strong>echnique est idéale pour la réalisation <strong>de</strong> réseaux hybri<strong>de</strong>s, <strong>et</strong> c'est ce type d'électro<strong>de</strong> qui estutilisé pour la mise en place <strong>de</strong>s expériences hybri<strong>de</strong>s. Electro<strong>de</strong> extracellulaire.C<strong>et</strong>te métho<strong>de</strong> d'enregistrement consiste à mesurer le potentiel électrique à proximité <strong>de</strong> lacellule à étudier, le milieu extracellulaire servant <strong>de</strong> référence. Les signaux proviennent <strong>de</strong>scourants ioniques transmembranaires qui bouclent au travers <strong>de</strong> ce milieu. Du fait <strong>de</strong>s faiblescourants <strong>et</strong> <strong>de</strong> la faible conductivité <strong>de</strong> ce milieu, les variations <strong>de</strong> potentiels sont elles-mêmestrès faibles, <strong>de</strong> l'ordre <strong>de</strong> 10 à 500 µV. L'observation <strong>de</strong> ces signaux implique nécessairementune amplification avec un dispositif à faible bruit. Notons que l'électro<strong>de</strong> peut être <strong>de</strong>différentes natures, micropip<strong>et</strong>te comme pour l'enregistrement intracellulaire, ou, par exemple,simple fil <strong>de</strong> platine isolé.Le sens <strong>de</strong> variation du signal dépend du sens du courant au travers <strong>de</strong> la membrane, mais saforme <strong>et</strong> son amplitu<strong>de</strong> ont peu <strong>de</strong> signification. Pratiquement, ce type d'enregistrement neperm<strong>et</strong> que <strong>de</strong> détecter la présence <strong>de</strong> potentiels d'actions, les variations <strong>de</strong> potentiels sous leseuil <strong>de</strong> déclenchement restant quasiment invisibles.La stimulation peut se faire par injection <strong>de</strong> courant ou par application d'une tension. Dans lecas d'un courant, c'est le flux ionique provenant <strong>de</strong> la réaction d'oxydoréduction pour lamicropip<strong>et</strong>te ou <strong>de</strong> l'hydrolyse <strong>de</strong> l'eau catalysée par l'électro<strong>de</strong> <strong>de</strong> platine, qui développe un<strong>et</strong>ension dans l'électrolyte. Dans les <strong>de</strong>ux cas la variation <strong>de</strong> tension à proximité <strong>de</strong> lamembrane plasmique se traduit par une perturbation qui provoque l'apparition d'un potentield'action. La stimulation n'est pas aussi réaliste que par l'injection <strong>de</strong> courant intracellulaire, <strong>de</strong>plus la forme du signal <strong>de</strong> stimulation perm<strong>et</strong>tant d'obtenir un potentiel d'action estdifficilement prévisible.En conclusion, il apparaît clairement que seule l'électro<strong>de</strong> intracellulaire est compatible avecle formalisme <strong>de</strong> Hodgkin-Huxley que nous utilisons. Ce niveau <strong>de</strong> modélisation, parconductances ioniques, perm<strong>et</strong> d'obtenir une évolution temporelle réaliste <strong>de</strong>s potentiels160


Chapitre IV : mise en œuvre <strong>et</strong> applicationsmembranaires <strong>et</strong> courants ioniques <strong>de</strong>s <strong>neurones</strong> <strong>et</strong> synapses artificiels que l'électro<strong>de</strong>extracellulaire ne perm<strong>et</strong> pas <strong>de</strong> "transférer".L'électro<strong>de</strong> intracellulaire présente cependant un inconvénient notable, les perforations <strong>de</strong> lamembrane qu'implique sa mise en place se traduisent par une dégradation rapi<strong>de</strong> <strong>de</strong>spropriétés du neurone empalé <strong>et</strong> limitent ainsi les pério<strong>de</strong>s <strong>de</strong> mesure. De plus, <strong>et</strong> c<strong>et</strong>te fois ledéfaut est commun aux <strong>de</strong>ux types d'électro<strong>de</strong>s, d'une part, leur volume est trop importantpour connecter plusieurs sites sans risquer <strong>de</strong> causer <strong>de</strong> dégâts aux tissus vivants environnants<strong>et</strong>, d'autre part, les micromanipulateurs situés en périphérie <strong>de</strong> la préparation sont forcémenten nombre limité. Matériellement, il est donc délicat <strong>de</strong> positionner plus <strong>de</strong> 2 ou 3microélectro<strong>de</strong>s dans une préparation.Compte tenu <strong>de</strong> ces limitations, plusieurs équipes <strong>de</strong> recherche développent <strong>de</strong>s techniquesalternatives visant, entre autre, à <strong>de</strong>nsifier le nombre <strong>de</strong> connexions, voir à intégrerl'instrumentation associée, elle aussi très volumineuse. La fin du paragraphe 3.2 est consacréeà la <strong>de</strong>scription <strong>de</strong>s travaux les plus caractéristiques dans ce domaine.3.2.2. Matrice <strong>de</strong> microélectro<strong>de</strong>s.Figure 4-15 : exemple <strong>de</strong> matrice ce microélectro<strong>de</strong>s. Elle est située au centre du cylindre<strong>de</strong>stiné à recevoir la préparation <strong>et</strong> son bain nutritionnel. (Photographie provenant du siteweb du distributeur Multichannel System [MEA])Un groupement universitaire Allemand (NMI à l'université <strong>de</strong> Tübingen, Université <strong>de</strong>Freiburg, Université <strong>de</strong> Köln, IPK à Gatersleben) propose <strong>de</strong>s matrices <strong>de</strong> contacts réaliséespar <strong>de</strong>s techniques <strong>de</strong> dépôt <strong>de</strong> couches minces qui agissent comme autant d'électro<strong>de</strong>sextracellulaires.Les produits qu'ils ont développés sont distribués par la société Multichannel Systems [MEA].161


Chapitre IV : mise en œuvre <strong>et</strong> applicationsLe tissu nerveux à étudier est obtenu soit par culture directe sur la matrice, soit par dépôtd'une tranche. Par exemple, une <strong>de</strong>s matrices comporte 60 électro<strong>de</strong>s <strong>de</strong> 10 m x 10 m quiperm<strong>et</strong>tent d'enregistrer ou <strong>de</strong> stimuler autant <strong>de</strong> points du réseau <strong>de</strong> neurone étudié. Cespoints <strong>de</strong> mesures sont espacés <strong>de</strong> 100 à 200 m.Notre groupe a fait l'acquisition d'une matrice <strong>de</strong> ce type, les neurophysiologistes <strong>de</strong> l'unitéINSERM E.9914 sont actuellement en train <strong>de</strong> l'évaluer <strong>et</strong> L. Alvado prépare un systèmed'acquisition adapté dans le cadre <strong>de</strong> ses travaux <strong>de</strong> thèse.Akin propose un autre type d'électro<strong>de</strong>s matricées [AKIN 94]. Ils utilisent <strong>de</strong>s techniques <strong>de</strong>micro-usinage sur silicium pour réaliser <strong>de</strong>s trous cylindriques plaqués à l'iridium au travers<strong>de</strong>squels les fibres nerveuses doivent repousser dans <strong>de</strong>s expériences in vivo. Les points <strong>de</strong>mesures sont espacés <strong>de</strong> 10 m <strong>et</strong> la connexion est assurée par une nappe souple en silicium,elle aussi micro-usinée. Ils ont ensuite développé un système <strong>de</strong> télémesure intégré <strong>et</strong>implantable à liaison inductive [AKIN 98]. Les signaux provenant <strong>de</strong> la matrice <strong>de</strong> contactsont amplifiés <strong>et</strong> numérisés, puis transmis par une liaison inductive qui assure aussi l'apporténergétique au dispositif.Ce type <strong>de</strong> matériel perm<strong>et</strong> <strong>de</strong> multiplier les points <strong>de</strong> stimulation <strong>et</strong> d'enregistrement, maisreste une métho<strong>de</strong> extracellulaire avec les difficultés évoquées précé<strong>de</strong>mment.3.2.3. Microélectro<strong>de</strong> active implantable.Figure 4-16 : schéma <strong>de</strong> principe <strong>et</strong> photographie d'une son<strong>de</strong> micro-usinée. (Documentsprovenant du site web <strong>de</strong> l'université du Michigan[CNCT]).162


Chapitre IV : mise en œuvre <strong>et</strong> applicationsLe CNCT (Center for Neural Communication Technology) à l'Université du Michigan adéveloppé une série d'électro<strong>de</strong>s micro-usinées [CNCT]. Une micropointe est usinée dans unsubstrat silicium, <strong>de</strong>s pistes sont ensuite déposées <strong>et</strong> plaquées or à l'emplacement <strong>de</strong>s sites aucontact avec le neurone, elles sont isolées par un dépôt d'oxy<strong>de</strong>. Le substrat <strong>de</strong> silicium perm<strong>et</strong>d'intégrer l'électronique servant au multiplexage <strong>de</strong>s différents sites <strong>et</strong> à leur enregistrement[JI 92] ou même <strong>de</strong> réaliser une liaison bidirectionnelle en autorisant l'enregistrement <strong>et</strong> lastimulation [KIM 96].La miniaturisation <strong>de</strong> l'ensemble <strong>de</strong> la son<strong>de</strong> perm<strong>et</strong> d'augmenter le nombre <strong>de</strong> pointsenregistrés ou stimulés <strong>et</strong> perm<strong>et</strong> d'envisager une implantation in vivo chronique.Des modèles basiques (c'est-à-dire <strong>de</strong>s électro<strong>de</strong>s nues, sans électronique associée) sontdistribués sous condition aux chercheurs qui en font la <strong>de</strong>man<strong>de</strong> [CNCT 99].3.2.4. Lien bidirectionnel au niveau du silicium.Pour conclure ce paragraphe, citons une approche originale développée par l'équipe duprofesseur P<strong>et</strong>er Fromherz <strong>de</strong> l'institut Max Planck <strong>de</strong> biochimie à Martinsried-München enAllemagne.La structure illustrée par la figure 4-17 leur perm<strong>et</strong> <strong>de</strong> réaliser une liaison silicium-neuronebidirectionnelle : L'électrolyte entre le silicium <strong>et</strong> le neurone forme la grille du transistor MOS, sesvariations <strong>de</strong> potentiel se traduisent par une modulation du courant dans le canal dutransistor [FROMHERZ 93]. A l'inverse, les variations du potentiel appliquées au site <strong>de</strong> stimulation déclenchent unpotentiel d'action par couplage capacitif [FROMHERZ 95].Neuronestimulationenregistrementoxy<strong>de</strong> minceP PPN+site <strong>de</strong> stimulationtransistor mossubstrat NFigure 4-17 : schéma <strong>de</strong> principe <strong>de</strong> l'interface silicium-neurone, d'après [STETT 97].163


Chapitre IV : mise en œuvre <strong>et</strong> applicationsLa liaison silicium-neurone est réalisée par <strong>de</strong>s techniques <strong>de</strong> microélectronique <strong>et</strong> il est ainsipossible d'obtenir <strong>de</strong>s <strong>de</strong>nsités très élevées. A l'inverse <strong>de</strong>s métho<strong>de</strong>s précé<strong>de</strong>ntes le bain n'estpas en contact avec du métal puisque l'oxy<strong>de</strong> recouvre l'ensemble <strong>de</strong> la surface, supprimantainsi tout risque d'empoisonnement.C<strong>et</strong>te métho<strong>de</strong> présente cependant le problème inhérent à l'enregistrement extracellulaire,c'est-à-dire la difficulté à lier la forme du potentiel enregistré à la tension transmembranaire.L'étu<strong>de</strong> théorique du circuit équivalent <strong>de</strong> la jonction silicium-neurone perm<strong>et</strong> d'étudier lesrelations entre les <strong>de</strong>ux gran<strong>de</strong>urs [FROMHERZ 99]. Notons que, dans certaines conditions<strong>de</strong> proximité, les auteurs obtiennent néanmoins <strong>de</strong>s enregistrements proches <strong>de</strong> ceux observésavec <strong>de</strong>s électro<strong>de</strong>s intracellulaires.3.3.Premier exemple : étu<strong>de</strong> in vitro <strong>de</strong> la boucle thalamique.Les premiers réseaux hybri<strong>de</strong>s ont été réalisés avec <strong>de</strong>s tissus d'invertébrés : <strong>de</strong>s ganglionsstomatogastriques provenant <strong>de</strong> langoustes. Ce réseau <strong>de</strong> <strong>neurones</strong>, responsable <strong>de</strong> lacontraction <strong>de</strong> l'appareil gastrique, présente l'avantage d'être structurellement relativementsimple <strong>et</strong> <strong>de</strong> présenter une activité rythmique caractéristique. Ces expériences ont enparticulier permis <strong>de</strong> tester <strong>et</strong> vali<strong>de</strong>r la métho<strong>de</strong> <strong>de</strong>s réseaux hybri<strong>de</strong>s [LE MASSON 95],[LE MASSON 98a].La métho<strong>de</strong> a ensuite été appliquée à l'étu<strong>de</strong>, chez <strong>de</strong>s mammifères, d'une structure cérébraleplus complexe, le thalamus [LE MASSON 98b], [LE MASSON 99a], [LE MASSON 99b].Pour présenter ces travaux, nous citons ci-<strong>de</strong>ssous <strong>de</strong>s extraits <strong>de</strong> données non publiées(Le Masson, Renaud-Le Masson <strong>et</strong> Bal [LE MASSON 00]). Les résultats scientifiquesobtenus au niveau neurobiologique dépassent largement le cadre <strong>de</strong> notre présentation <strong>et</strong> nesont donc pas présentés dans l'extrait que nous avons choisi. Notons que le neurone LGNcorrespond au neurone TC, les appellations variant suivant les espèces.Mesure <strong>de</strong> la fonction <strong>de</strong> transfert du thalamus par <strong>de</strong>s métho<strong>de</strong>s hybri<strong>de</strong>s.Les réseaux neuronaux du thalamus participent à l’élaboration <strong>de</strong> la perceptionsensorielle : ils ont pour fonction <strong>de</strong> traiter <strong>et</strong> <strong>de</strong> transférer les informations sensoriellesdu mon<strong>de</strong> extérieur vers les aires corticales primaires (Steria<strong>de</strong> <strong>et</strong> al., 1997). Au cours<strong>de</strong>s premiers sta<strong>de</strong>s du sommeil, ces réseaux <strong>de</strong>viennent le siège d'oscillationsthalamocorticales spontanées <strong>et</strong> synchronisées à 10 Hz, les fuseaux (spindle wave)164


Chapitre IV : mise en œuvre <strong>et</strong> applications(Stéria<strong>de</strong> <strong>et</strong> al., 1993; McCormick and Bal, 1997). Ces oscillations pourraientconditionner le filtrage <strong>de</strong>s informations sensorielles au cours du sommeil. Il existeraitd'autre part un lien direct entre les mécanismes cellulaires <strong>de</strong>s fuseaux du sommeil <strong>et</strong>ceux <strong>de</strong> l'absence p<strong>et</strong>it mal, une forme d'épilepsie généralisée qui atteint l'enfant <strong>et</strong>s’accompagne <strong>de</strong> pertes momentanées <strong>de</strong> la perception consciente (Malafosse <strong>et</strong> al.,1994; Snead, 1997; Gloor and Fariello, 1988; Avoli <strong>et</strong> al., 1990). Le thalamus pourraitainsi jouer un rôle prépondérant dans la diminution <strong>de</strong> la perception sensorielle associéeà la somnolence, à la transition veille-sommeil ou aux crises d’absence (Livingstone andHubel, 1981; Steria<strong>de</strong>, 1991; Steria<strong>de</strong> and Contreras, 1995). Pendant l’éveil, aucontraire, le thalamus autoriserait la transmission <strong>de</strong>s informations vers le cortex selon<strong>de</strong>s <strong>de</strong>grés <strong>de</strong> fidélité qui reflètent l’état <strong>de</strong> vigilance du cerveau. La simulation <strong>de</strong>microcircuits thalamiques canoniques par la technique <strong>de</strong>s réseaux hybri<strong>de</strong>s nous perm<strong>et</strong><strong>de</strong> tester ces hypothèses d’une façon originale (figure 1).Notre hypothèse <strong>de</strong> travail est que les variations observées dans la perception <strong>de</strong>sinformations sensorielles au sein du continuum allant du sommeil à l’état éveilléreflètent <strong>de</strong>s modifications locales dans les opérations d’intégration synaptique auniveau du relais thalamique. Les changements associés au niveau cellulairecorrespondraient au passage d’un mo<strong>de</strong> d’intégration synaptique lent, où les potentielsd’actions rétiniens sont transmis avec un faible taux <strong>de</strong> transfert par le filtre thalamique,à un mo<strong>de</strong> d’intégration rapi<strong>de</strong>, caractérisé par un taux d’échantillonnage élevé, où lespotentiels d’actions sont transmis avec fidélité (<strong>de</strong> "un pour un") vers le cortex primaire.(...) le transfert d’informations <strong>de</strong>s <strong>neurones</strong> rétiniens vers le néocortex est filtré auniveau thalamique au cours <strong>de</strong>s oscillations du réseau par le biais d’une forte réduction<strong>de</strong> la corrélation temporelle entre signaux rétiniens entrants <strong>et</strong> signaux thalamiquessortants. En revanche, dans un état "éveillé" du réseau, l’intégration <strong>de</strong>s signaux se faitplus rapi<strong>de</strong> <strong>et</strong> plus précise, <strong>et</strong> perm<strong>et</strong> un transfert fiabilisé <strong>de</strong>s informations sensoriellesvers le néocortex. L'utilisation d'un partenaire hybri<strong>de</strong> rend possible au niveau d'unneurone biologique le contrôle graduel <strong>de</strong> l'efficacité <strong>de</strong>s connexions, <strong>et</strong> l'influence <strong>de</strong> laconnectivité récurrente sur la fonction <strong>de</strong> transfert neuronale. Nous chercherons àdéterminer dans quelle mesure c<strong>et</strong>te translation entre différents mo<strong>de</strong>s d’intégrationsrepose à la fois sur l’état <strong>de</strong>s propriétés intrinsèques <strong>de</strong>s <strong>neurones</strong> LGN relais <strong>et</strong> sur laforce <strong>de</strong>s connexions synaptiques en provenance <strong>de</strong>s inter<strong>neurones</strong> inhibiteur nRt/PGN.165


-+-Chapitre IV : mise en œuvre <strong>et</strong> applicationsAI synAmplificateur d’instrumentation<strong>et</strong> stimulateurORV mARnRt/PGNLGN+Axone <strong>de</strong> la rétine0,5 mmNeurone artificielnumériqueNeurone artificiel<strong>analogique</strong>BLGNRéseau biologiqueCLGNRéseau hybri<strong>de</strong>++axonerétinienNeurone LGNDnRt/PGNPPSE réel+LGN-66mV-63mVE-61mVnRt/PGNmodéliséNeurone rétinienmodéliséLGN+ +-PPSE artificielFnRt/PGNmodélisé-71mV-56mV-67mV100 ms-+20 mVNeurone rétinienLGN modélisé1 1CourantsynaptiqueIsyn = 01nANeurone LGN223Neurone LGN réel-64mVNeurone nRt/PGNGABAergicmodélisé20 mVG100 ms100 msNeurone rétinienmodélisé100 msNeuroneLGN biologiqueNeurone nRt/PGNartificiel numériqueNeurone rétinienartificiel <strong>analogique</strong>1s20 mVFigure 1: la reconstruction d’un circuit rétino-thalamique hybri<strong>de</strong> fonctionnel.A. Schéma du dispositif hybri<strong>de</strong>. Le potentiel <strong>de</strong> membrane (V réel ) d’un neuronebiologique est recueilli par une microélectro<strong>de</strong> <strong>de</strong> verre au niveau du soma <strong>et</strong>, aprèsamplification, il perm<strong>et</strong> <strong>de</strong> calculer un courant synaptique i s =g s .(V modèle -E r ) avecg s = f(V réel ) tenant compte du potentiel présynaptique <strong>et</strong> du potentiel postsynaptique.Dans l’interaction inverse, le neurone réel est postsynaptique. Dans ce cas l’injection166


Chapitre IV : mise en œuvre <strong>et</strong> applicationsd’un courant synaptique artificiel i s =g s .(V réel -E r ) avec g s = f(V modèle ) se fait en mo<strong>de</strong>DCC (Discontinous Current Clamp) qui perm<strong>et</strong>, alternativement <strong>et</strong> à haute fréquence,<strong>de</strong> mesurer un potentiel <strong>et</strong> d’injecter un courant dans la cellule. L’activité <strong>de</strong>s <strong>neurones</strong><strong>et</strong> <strong>de</strong>s synapses artificielles est calculée par un ordinateur ou par un modèleélectronique <strong>analogique</strong>.B-C. Validation <strong>de</strong> la synapse artificielle rétino-thalamique. La stimulation électriquedu tractus optique dans une tranche <strong>de</strong> thalamus visuel du fur<strong>et</strong> produit un potentielpostsynaptique excitateur (real EPSP) par activation <strong>de</strong>s récepteur AMPA dans unecellule LGN (B). Une synapse artificielle calculée par un neurone <strong>analogique</strong> reproduit<strong>de</strong>s réponses AMPA similaires aux réponses biologiques, pour différents potentiels <strong>de</strong>membrane (C).D-E. Validation <strong>de</strong> la boucle synaptique artificielle intra-thalamique. La décharged’un neurone LGN réel produit un r<strong>et</strong>our synaptique inhibiteur (flèche) qui résulte <strong>de</strong> ladécharge <strong>de</strong>s <strong>neurones</strong> nRt/PGN (D). Un courant synaptique inhibiteur artificiel (E;flèche), basé sur <strong>de</strong>s conductances GABAA (96%) <strong>et</strong> GABAB (4%) reproduit la réponseinhibitrice. Le poids du r<strong>et</strong>our inhibiteur est progressivement augmenté (augmentation<strong>de</strong> la conductance GABA Gmax ) jusqu’à obtenir l’oscillation du circuit.F. Reconstitution d’un circuit rétino-thalamique hybri<strong>de</strong>. Courant synaptique (AMPA+ GABA) injecté (synaptic curent) dans une cellule LGN biologique (real TC cell) aucours <strong>de</strong> <strong>de</strong>ux cycle d’oscillation du réseau hybri<strong>de</strong>.G. Activité spontanée du circuit rétino-thalamique hybri<strong>de</strong>. Le patron d’activiténaturelle d’une cellule rétinienne est reproduit par une cellule rétinienne <strong>analogique</strong>.Ceci se traduit par un bombar<strong>de</strong>ment synaptique dans la cellule biologique ainsi quepar la genèse spontanée d’oscillations en fuseaux, typiques du sommeil.Références.Steria<strong>de</strong>, M., Jones, EG and McCormick DA. (EDS, 1997). Thalamus, Elsevier science.McCormick, DA. and Bal, T. (1997). Annual review of Neuroscience. 20, 185-215.Malafosse, A., Genton, P., Hirsch, E., Marescaux, C., Broglin, D. and Bernasconi, R.(1994). Idiopathic generalized epilepsies. London : John Libbey.Gloor, P. and Fariello, P.(1988). Trends in Neuroscience. 11, 63-68.Avoli, M., Gloor, P., Kostopoulos, G., Naqu<strong>et</strong>, R. (Eds, 1990). Generalized Epilepsy.Neurobiological Approaches. Birkhauser : Boston.Livingstone, M.S. and Hubel, D.H. (1981). Nature. 291, 554-561.Steria<strong>de</strong>, M. (1991). In Cerebral Cortex, vol. 9 Edited by P<strong>et</strong>ers A, Jones EG, NewYork : Plenum 279-357.Steria<strong>de</strong>, M. and Contreras, D. (1995). J Neurosci. 15, 623-42.167


Chapitre IV : mise en œuvre <strong>et</strong> applications3.4.Second exemple : étu<strong>de</strong> <strong>de</strong>s capacités <strong>de</strong> réorganisation du réseaucortical in vivo.Ce second exemple est un proj<strong>et</strong> complexe actuellement en cours d'étu<strong>de</strong>, il impliquedifférentes équipes <strong>de</strong> neurobiologistes : celles <strong>de</strong> Y. Fregnac <strong>et</strong> T. Bal à l'institutA. FESSARD <strong>et</strong> celle <strong>de</strong> G. Le Masson à l'institut MAGENDIE. Pour le présenter, nous citonsun extrait <strong>de</strong> proj<strong>et</strong> <strong>de</strong> l'unité <strong>de</strong> "Neuroscience intégratives <strong>et</strong> computationnelles" (1999)dirigée par Yves Frégnac :Étu<strong>de</strong> <strong>de</strong>s capacités <strong>de</strong> réorganisation du réseau cortical adulte in vivo.Le groupe <strong>de</strong> Yves Frégnac a mis au point <strong>de</strong>puis plusieurs années <strong>de</strong>s métho<strong>de</strong>sd'enregistrement in vivo intracellulaire <strong>de</strong>s <strong>neurones</strong> du cortex visuel primaire du chat, àl'ai<strong>de</strong> d'électro<strong>de</strong>s fines <strong>et</strong> <strong>de</strong> patch clamp. Le but <strong>de</strong> ce proj<strong>et</strong> concerne la mise en place<strong>de</strong> procédures d'apprentissage supervisé d'un réseau biologique par un ou plusieurs"professeurs hybri<strong>de</strong>s".168Les processus <strong>de</strong> plasticité corticale mis en jeu par ces apprentissages superviséspourraient être impliqués chez l'Homme dans <strong>de</strong>s situations <strong>de</strong> dé-afférentation liées auvieillissement. La dégénérescence maculaire liée à l'âge (DMLA) correspond à undysfonctionnement progressif <strong>de</strong>s photorécepteurs dans la zone maculaire <strong>de</strong> chaquerétine <strong>et</strong> représente la cause la plus importante <strong>de</strong> basse vision acquise dans les paysocci<strong>de</strong>ntaux. Dans le cas d'une atteinte simultanée <strong>de</strong>s <strong>de</strong>ux rétines, une partie du cortexvisuel se trouve dé-afférentée <strong>de</strong> l’entrée rétino-thalamique représentant l'axe du regard.La majorité <strong>de</strong>s suj<strong>et</strong>s humains dont les surfaces rétiniennes maculaires ne sont plusfonctionnelles, choisissent une nouvelle aire <strong>de</strong> fixation située en bordure <strong>de</strong> la zoneréceptrice en dégénérescence. C<strong>et</strong>te pathologie observée chez l'Homme peut être miseen parallèle avec <strong>de</strong>s modèles <strong>de</strong> réorganisation corticale induite par un scotomebilatéral par photo-coagulation laser <strong>de</strong>s photo-récepteurs rétiniens chez l’animal (Dasand Gilbert, 1995, Gilbert and Wiesel, 1992, Kaas, <strong>et</strong> al., 1990). L’eff<strong>et</strong> immédiat <strong>de</strong> lalésion rétinienne provoque l’inactivation fonctionnelle <strong>de</strong> la zone corticale quicorrespond à la projection thalamique <strong>de</strong> l'emplacement rétinien scotomisé. Puis unprocessus <strong>de</strong> réactivation se m<strong>et</strong> progressivement en place dans les semaines <strong>et</strong> moispostlésionnels, <strong>et</strong> les champs récepteurs <strong>de</strong>s <strong>neurones</strong> corticaux enregistrés dans la zone


Chapitre IV : mise en œuvre <strong>et</strong> applicationsprécé<strong>de</strong>mment inactivée réapparaissent progressivement en bordure <strong>de</strong> la zonescotomale dans le champ visuel.Notre hypothèse <strong>de</strong> travail est que les processus <strong>de</strong> réorganisation <strong>de</strong> la connectivitélatérale mis en évi<strong>de</strong>nce chez l’animal sont renforcés chez le suj<strong>et</strong> atteint <strong>de</strong> DMLA.Grâce à la technologie hybri<strong>de</strong> nous m<strong>et</strong>trons au point chez l'animal un certain nombre<strong>de</strong> protocoles d'apprentissage où <strong>de</strong>s <strong>neurones</strong> artificiels "superviseurs" dont les champsrécepteurs virtuels sont situés dans la région épargnée par le scotome amplifient ousuppriment la décharge <strong>de</strong> <strong>neurones</strong> biologiques qui ont perdu leurs afférents directsthalamiques (figure 1). L'intérêt <strong>de</strong> la technologie hybri<strong>de</strong> sera d'imposer unapprentissage dans un format temporel biologiquement réaliste <strong>et</strong> les synapsesartificielles seront dotées d'une voltage-dépendance caractéristique <strong>de</strong> la connectivitéhorizontale intracorticale (Hirsch and Gilbert, 1991).ABChamprécepteursous-liminaireNeuroneexcitateurartificielRétineChampminimal<strong>de</strong>déchargeNeurone relaisdu thalamusInterneuroneGABAergiqueartificielScotomeartificielExcitationiso-orientationinhibitioncross-orientationSynapse AMPA/NMDASynapse GABA ASynapsesartificiellesFigure 1 : Apprentissagehybri<strong>de</strong> supervisé.Le champ d'intégrationsynaptique est représenté en (A)par un champ minimum <strong>de</strong>décharge (exemple: cellulesimple à <strong>de</strong>ux zones ON "+" <strong>et</strong>OFF "-") <strong>et</strong> <strong>de</strong>s zonesd'activation sousliminaires quipeuvent former <strong>de</strong>s ilôtsd'activation spatialementséparés dans le champ visuel (enbleu). Pendant la procédure <strong>de</strong>scotome, le champ minimum <strong>de</strong>décharge est masqué (rectangleen pointillé) <strong>et</strong> une partie duchamp sousliminaire est choisieà priori comme l'emplacementdu champ récepteur <strong>de</strong>s<strong>neurones</strong> hybri<strong>de</strong>s excitateursiso-orientés (décharge <strong>de</strong> type"chattering", tracé bleu). Les <strong>neurones</strong> hybri<strong>de</strong>sinhibiteurs "fast spiking" (tracé rouge) seront activés pour une orientation <strong>de</strong>stimulation orthogonale à celle préférée par le neurone conditionné. Nous espéronsainsi, lors d'une stimulation continue <strong>et</strong> aléatoire du champ visuel avec <strong>de</strong>s stimuli iso<strong>et</strong> cross-orientés, induire par apprentissage hybri<strong>de</strong> un déplacement <strong>de</strong> la localisationrétinotopique du champ minimum <strong>de</strong> décharge du neurone conditionné.169


Chapitre IV : mise en œuvre <strong>et</strong> applicationsRéférences.Das, A. and Gilbert, C. D. (1995). Nature. 375, 780-784.Gilbert, C. D. and Wiesel, T. N. (1992). Nature. 356, 150-152.Kaas, J. H., <strong>et</strong> al. (1990). Science. 248, 229-231.Hirsch, J. A. and Gilbert, C. D. (1991). J. Neurosci. 11, 1800-1809.Ce proj<strong>et</strong> implique donc plusieurs groupe <strong>de</strong> recherche <strong>et</strong> représente un travail très important.Une <strong>de</strong>s premières étapes, en cours <strong>de</strong> réalisation, consiste à réaliser <strong>et</strong> étudier <strong>de</strong>senregistrements in-vivo pour définir le protocole expérimental <strong>de</strong> stimulation.4. "MICRONEURONE", OUTIL DIDACTIQUE.Figure 4-18 : photographie <strong>de</strong> "microneurone" version 1.0 avec un oscilloscope assurant lavisualisation.Les circuits conçus par Arnaud Laflaquière sont utilisés avec succès au sein du banc <strong>de</strong>simulation <strong>analogique</strong> "vortex". Que se soit pour <strong>de</strong> simples simulations ou dans le cadre <strong>de</strong>sréseaux hybri<strong>de</strong>s, la simulation <strong>analogique</strong> a prouvé son intérêt. Nous souhaitons tirer partie<strong>de</strong> ce travail <strong>et</strong> m<strong>et</strong>tre au point un simulateur utilisant le même type <strong>de</strong> circuits, mais dans uneconfiguration plus légère. Il est notamment souhaitable que l'utilisation d'un micro-ordinateur<strong>et</strong> d'une carte d'entrée/sortie ne soit pas indispensable.L'objectif <strong>de</strong> ce nouveau système autonome est <strong>de</strong> fournir un outil perm<strong>et</strong>tant l'exploration <strong>et</strong>la compréhension <strong>de</strong>s bases <strong>de</strong> la modélisation neuronale selon le formalisme <strong>de</strong> HodgkinHuxley. La nature <strong>analogique</strong> du calculateur perm<strong>et</strong> <strong>de</strong> se familiariser avec la réalité <strong>de</strong>s170


Chapitre IV : mise en œuvre <strong>et</strong> applicationssignaux biologiques, ce qui n'est pas le cas pour les simulateurs numériques. Il est ainsipossible d'utiliser le matériel d'enregistrement propre à l'électrophysiologie sans avoir àmanipuler <strong>de</strong> fragiles cellules vivantes.C'est dans c<strong>et</strong>te optique que nous avons développé l'ASIC "susie" présenté au chapitre III. Ilmodélise un neurone à quatre conductances <strong>et</strong> est <strong>de</strong>stiné à être inclus dans notre système,baptisé "microneurone". Avec ce simulateur, l’utilisateur dispose, sur <strong>de</strong>s connecteurs BNC,du potentiel membranaire, <strong>de</strong> tensions proportionnelles aux courants <strong>de</strong> chacune <strong>de</strong>s quatreconductances ioniques <strong>et</strong> d’une entrée commandée en tension pour la stimulation par injection<strong>de</strong> courant sur la membrane.Vingt paramètres du modèle sont programmables. Présentés sur un afficheur à cristauxliqui<strong>de</strong>s <strong>de</strong> 16 caractères, ils sont réglés grâce à un clavier à trois touches <strong>et</strong> un bouton rotatif.Leurs valeurs sont sauvegardées dans une mémoire non volatile. Enfin une liaison sérieasynchrone perm<strong>et</strong> un transfert entre <strong>de</strong>ux "micro<strong>neurones</strong>" ou entre un "microneurone" <strong>et</strong> unmicro-ordinateur (type PC ou Apple, via, respectivement, les ports RS232C <strong>et</strong> RS244).Un mo<strong>de</strong> esclave perm<strong>et</strong> un pilotage direct par un micro-ordinateur via le port série, dans cecas le système "microneurone" est en attente permanente <strong>de</strong> nouveaux paramètres <strong>et</strong> le clavierest verrouillé.La mise au point <strong>de</strong> "microneurone" a été démarrée par S. Potay dans le cadre <strong>de</strong> son stage <strong>de</strong>fin d'étu<strong>de</strong> pour l'IUT-A GEII à Bor<strong>de</strong>aux.4.1.Choix <strong>et</strong> principe <strong>de</strong> fonctionnement.Le modèle à quatre conductances simulé par "susie" comporte un total <strong>de</strong> 32 paramètres, 23sont <strong>de</strong>s tensions appliquées au circuit, 8 sont <strong>de</strong>s con<strong>de</strong>nsateurs correspondant aux différentesconstantes <strong>de</strong> temps <strong>et</strong> à la membrane, <strong>et</strong>, enfin, le <strong>de</strong>rnier est une résistance modélisant laconductance <strong>de</strong> fuite.Il s'agit <strong>de</strong> concevoir un système perm<strong>et</strong>tant à l'utilisateur d'ajuster ces paramètres, c'est-à-dire<strong>de</strong> programmer le modèle.Comme pour "ernest", les paramètres réglés par <strong>de</strong>s tensions sont générés par <strong>de</strong>sconvertisseurs numérique-<strong>analogique</strong>. Pour les constantes <strong>de</strong> temps, ajustées par <strong>de</strong>scon<strong>de</strong>nsateurs externes, nous avons introduit une nouvelle possibilité <strong>de</strong> programmation : <strong>de</strong>smultiplexeurs <strong>analogique</strong>s huit voies perm<strong>et</strong>tent <strong>de</strong> sélectionner un con<strong>de</strong>nsateur parmi huit.171


Chapitre IV : mise en œuvre <strong>et</strong> applicationsLe nombre <strong>de</strong> valeurs disponibles n'est certes pas très important, mais c'est une améliorationpar rapport à la substitution manuelle qui été utilisée sur la carte "ernest".Nous avons décidé que seul un nombre limité <strong>de</strong>s paramètres serait accessible à l'utilisateur,les autres étant fixés au niveau <strong>de</strong> la carte. Ce choix perm<strong>et</strong> <strong>de</strong> limiter le nombre <strong>de</strong>composants (convertisseurs <strong>et</strong> multiplexeurs) nécessaires ainsi que le nombre <strong>de</strong> paramètres àafficher. Le choix a été fait avec l'idée que parmi les quatre conductances <strong>de</strong> l'ASIC "susie"<strong>de</strong>ux servent à générer <strong>de</strong>s potentiels d'actions (Sodium Na <strong>et</strong> Potassium K) <strong>et</strong> que les <strong>de</strong>uxautres viennent les moduler (Calcium Ca <strong>et</strong> Potassium Calcium dépendant K(Ca)). Dans c<strong>et</strong>teoptique les tensions d'équilibre E ion , les pentes V pente <strong>et</strong> les trois constantes <strong>de</strong> temps <strong>de</strong>sconductances Na <strong>et</strong> K sont figées. De même la capacité <strong>de</strong> membrane C mem <strong>et</strong> la résistanceR fuite ne sont pas réglables. Les paramètres restants sont amplement suffisants pour modifier laforme <strong>de</strong>s potentiels d'actions. Si l'utilisateur souhaite étudier plus en détail les propriétés d'unneurone à <strong>de</strong>ux conductances, il peut déconnecter Na <strong>et</strong> K <strong>et</strong> utiliser Ca <strong>et</strong> K(Ca) qui restenttotalement paramétrables.clavierµC 68hc11cna 8 sortiesx 2mux 8/1x 4ASICSUSIER fuiteC mem4 XV memtensionsproportionnelles auxcourants <strong>de</strong> conductanceport sérieStimulationFigure 4-19 : schéma <strong>de</strong> principe du simulateur <strong>analogique</strong> "microneurone".La programmation <strong>de</strong>s CNA <strong>et</strong> multiplexeurs est effectuée par un microcontrôleur doté d'unclavier <strong>et</strong> d'un afficheur servant d'interface utilisateur. Nous avons choisi le microcontrôleur68HC711E9 <strong>de</strong> la société Motorola. Outre ses nombreuses entrées/sorties, nécessaires pour lespériphériques que sont clavier, afficheur, CNA <strong>et</strong> multiplexeurs, ce microcontrôleur présented'autres caractéristiques utiles à notre application : La mémoire <strong>de</strong> programme interne <strong>de</strong> 12 koct<strong>et</strong>s perm<strong>et</strong> <strong>de</strong> se passer d'un circuit <strong>de</strong>mémoire externe. Nous avons aussi utilisé son UART (Universal Asynchrone Receiver and Transmitter)interne pour réaliser une liaison série. Elle perm<strong>et</strong>tra d'éventuels transferts entre <strong>de</strong>uxsystèmes ou avec un micro-ordinateur.172


Chapitre IV : mise en œuvre <strong>et</strong> applications Ses 256 oct<strong>et</strong>s <strong>de</strong> mémoire EEPROM sont suffisants pour réaliser une sauvegar<strong>de</strong> nonvolatile<strong>de</strong>s paramètres.L'architecture <strong>de</strong> l'ensemble est schématisée par la figure 4-19 <strong>et</strong> une photographie <strong>de</strong> laréalisation pratique est présentée à la figure 4-20.Figure 4-20 : photographies <strong>de</strong>s <strong>de</strong>ux faces <strong>de</strong> la carte constituant "microneurone".4.1.1. Mise en route."Microneurone" ne comportant pas d'interrupteur, la mise en marche se fait dès la mise soustension lors <strong>de</strong> l'insertion du connecteur d'alimentation.L'alimentation se faisant par un transformateur externe, le boîtier "microneurone" est à masseisolée. Les connecteurs BNC <strong>et</strong> le capot du port série sont reliés à la masse du boîtier, lors <strong>de</strong>la connexion d'appareils externes, il faudra donc veiller à ce que leurs masses soientcommunes.4.1.2. Mo<strong>de</strong> <strong>de</strong> fonctionnement.Deux mo<strong>de</strong>s <strong>de</strong> fonctionnement sont disponibles, un mo<strong>de</strong> normal où les paramètres sontmodifiés par l'intermédiaire du clavier <strong>et</strong> un mo<strong>de</strong> esclave où le clavier est verrouillé <strong>et</strong> lescomman<strong>de</strong>s sont appliquées par l'intermédiaire du port série.4.1.2.1. Mo<strong>de</strong> normal.C'est le mo<strong>de</strong> par défaut lors <strong>de</strong> la mise sous tension du système.173


Chapitre IV : mise en œuvre <strong>et</strong> applications"Microneurone" peut utiliser, au choix, <strong>de</strong>ux types <strong>de</strong> tables <strong>de</strong> conversions intégrées auprogramme. Elles perm<strong>et</strong>tent l'affichage soit <strong>de</strong>s tensions <strong>de</strong> sortie <strong>de</strong>s CNA, c'est-à-dire lesvaleurs électriques, soit <strong>de</strong>s valeurs du modèle biologique correspondantes.L'utilisateur peut basculer d'un mo<strong>de</strong> à l'autre en appuyant simultanément sur <strong>de</strong>ux toucheslors <strong>de</strong> la mise sous tension <strong>de</strong> "microneurone" :- les touches Valid <strong>et</strong> chargent les tables "biologiques'- les touches Valid <strong>et</strong> chargent la table "électrique".La configuration choisie étant sauvegardée même lors <strong>de</strong> l'extinction du système, elle restevalable tant qu'un nouveau changement n'a pas été effectué.Les touches <strong>et</strong> perm<strong>et</strong>tent d'éditer un <strong>de</strong>s 20 paramètres réglables du modèle. Un boutonrotatif perm<strong>et</strong> <strong>de</strong> modifier la valeur du paramètre. Un caractère "*" à gauche <strong>de</strong> l'écran indiquela valeur active, pour vali<strong>de</strong>r une nouvelle valeur, il suffit <strong>de</strong> presser la touche Valid.Outre ces paramètres, il est possible <strong>de</strong> sélectionner <strong>de</strong>ux mo<strong>de</strong>s <strong>de</strong> transfert, en entrée(RECEIVE) ou en sortie (TRANSMIT). Ces mo<strong>de</strong>s <strong>de</strong> transfert perm<strong>et</strong>tent <strong>de</strong> téléchargerl'ensemble <strong>de</strong>s valeurs <strong>de</strong>s paramètres vers un autre "microneurone" (ou un micro-ordinateur)connecté au port série."1" "0" GmaxNa hoffNa moffNa GmaxK moffK GmaxCa moffCa msloCa TmCa hoffCa hsloCa ThCa GmaKCa mofKCa mslKCa TmKCa Cacst TCa Vleak IcompTableau 4-1 : trame du transfert série.La trame <strong>de</strong> transfert sur le port série se compose <strong>de</strong> 22 caractères qui co<strong>de</strong>nt, dans l'ordreindiqué par le tableau 4-1, le numéro <strong>de</strong> version (2 caractères ASCII, par exemple "10" pour laversion 1.0) <strong>et</strong> les 20 paramètres du modèle.4.1.2.2. Mo<strong>de</strong> esclave.Ce mo<strong>de</strong> est sélectionné par appui simultané lors <strong>de</strong> la mise sous tension <strong>de</strong>s touches <strong>et</strong> .L'afficheur indique alors "SLAVE MODE" <strong>et</strong> le clavier est verrouillé.La communication série asynchrone est réglée avec les paramètres suivants : vitesse 9600 bauds. format <strong>de</strong> trame : 1 bit start, 8 bits données, 1 bit stop, pas <strong>de</strong> parité, pas <strong>de</strong> contrôle <strong>de</strong>flux.174


Chapitre IV : mise en œuvre <strong>et</strong> applicationsLe micro-ordinateur est relié au système "microneurone" par un câble <strong>de</strong> type "null-mo<strong>de</strong>m".La programmation se fait par l'envoi <strong>de</strong> caractères <strong>de</strong> contrôle selon le protocole suivant : "0" : le système renvoie une chaîne <strong>de</strong> caractères terminée par $ qui i<strong>de</strong>ntifie sa version.("microneurone version 1.0$", par exemple). "1" : le système renvoie une trame <strong>de</strong> paramètres (voir tableau 4-1). "2" : le système attend <strong>de</strong> recevoir une trame <strong>de</strong> paramètres (voir tableau 4-1), le tempsentre chaque caractère ne doit pas dépasser environ 8 s. Si les <strong>de</strong>ux premiers caractères necorrespon<strong>de</strong>nt pas au type <strong>de</strong> matériel, le message "BAD VERSION" est affiché. De plussi le temps d'attente entre chaque caractère dépasse 8 s environ, l'opération est annulée <strong>et</strong>l'afficheur indique "TIME OUT".A la réception <strong>de</strong> la comman<strong>de</strong> "2" le système inscrit un bit indiquant le début <strong>de</strong> transferten EEPROM. C<strong>et</strong>te opération durant <strong>de</strong> l'ordre <strong>de</strong> 20 ms, il faut attendre ce délai avantd'envoyer le reste <strong>de</strong> la trame. Dans le cas contraire, l'UART du microcontrôleur nedisposant pas <strong>de</strong> FIFO, les données reçues seraient perdues. Notons que le délai doit resterinférieur à 8 s. "3"n : le système renvoie la valeur du n ième paramètre. L'ordre <strong>de</strong>s paramètre étant celui <strong>de</strong>la trame série (voir tableau 4-1). "4"n : le système attend <strong>de</strong> recevoir la valeur du n ième paramètre. L'ordre <strong>de</strong>s paramètresétant celui <strong>de</strong> la trame série (voir tableau 4-1).4.1.2.3. Logiciel.Figure 4-21 : saisie d'écran du logiciel <strong>de</strong> gestion <strong>de</strong> "microneurone". Les cellules griséescorrespon<strong>de</strong>nt aux paramètres figés.175


Chapitre IV : mise en œuvre <strong>et</strong> applicationsDeux logiciels, respectivement pour micro-ordinateurs Apple <strong>et</strong> PC, ont été développés (laversion PC a été écrite par L. Alvado). Ils perm<strong>et</strong>tent <strong>de</strong> saisir <strong>et</strong> d'enregistrer les 20paramètres du simulateur <strong>et</strong> <strong>de</strong> les transférer par la liaison série.La figure 4-21 présente la fenêtre <strong>de</strong> dialogue du logiciel version Apple, nous y r<strong>et</strong>rouvons leschamps perm<strong>et</strong>tant d'éditer les différents paramètres <strong>et</strong> les "boutons" <strong>de</strong> comman<strong>de</strong>s pour lesfonctions que nous venons d'indiquer.4.2.Utilisation <strong>de</strong> "microneurone" pour le test <strong>de</strong> l'ASIC "susie".Nous nous sommes rapi<strong>de</strong>ment rendu compte qu'un problème était survenu lors <strong>de</strong> laréalisation du circuit "susie" : son "plot" <strong>de</strong> masse (point milieu <strong>de</strong>s alimentations -5/+5 V)comporte une résistance <strong>de</strong> protection série <strong>de</strong> 200 Ohms. L'utilisation involontaire <strong>de</strong> ce plotprotégé a <strong>de</strong>s conséquences catastrophiques sur le fonctionnement <strong>de</strong> l'ASIC. Laconsommation électrique sur c<strong>et</strong>te alimentation n'est pas constante <strong>et</strong> la masse se "déplace" enfonction <strong>de</strong> l'activité <strong>de</strong> l'ASIC, modifie sa polarisation <strong>et</strong> donc son fonctionnement. En eff<strong>et</strong>,le circuit est polarisé directement par <strong>de</strong>s diviseurs MOS <strong>et</strong> n'utilise pas <strong>de</strong> référence interne.Le sens <strong>de</strong> variation du courant <strong>de</strong> polarisation dépend du type <strong>de</strong> diviseur <strong>et</strong> <strong>de</strong> miroirconsidéré, PMOS ou NMOS. Il en résulte une rétroaction positive ou négative suivant latopologie <strong>de</strong> chaque opérateur. Comme il n'est pas possible simplement <strong>de</strong> compenser ceproblème, nous avons choisi <strong>de</strong> faire fabriquer un nouvel ASIC, "calvin", que nous avonsdécrit au chapitre III <strong>et</strong> qui n'a pas encore été livré par le fon<strong>de</strong>ur.Bien qu'il ne soit pas nécessaire <strong>de</strong> caractériser l'eff<strong>et</strong> <strong>de</strong> la résistance parasite du plotd'alimentation, nous pouvons néanmoins vérifier le bon fonctionnement <strong>de</strong> notre système"microneurone" employé comme dispositif <strong>de</strong> test.Pour automatiser les mesures, nous avons utilisé un micro-ordinateur doté d'une carted'acquisition. Il contrôle "microneurone" par le biais <strong>de</strong> son port série <strong>et</strong> mesure, grâce à lacarte d'acquisition, les courants <strong>de</strong> sortie <strong>de</strong>s conductances ioniques convertis en tensions <strong>et</strong>disponibles sur les connecteurs <strong>de</strong> "microneurone" (voir figure 4-19).En faisant varier à tour <strong>de</strong> rôle les paramètres Vseuil <strong>de</strong>s variables d'états <strong>et</strong> en gardant lesautres paramètres fixes, nous pouvons relever les fonctions <strong>de</strong> transfert <strong>de</strong>s sigmoï<strong>de</strong>s qui lesconstituent <strong>et</strong> ainsi les caractériser.La figure 4-22 présente les résultats <strong>de</strong> telles mesures pour différentes valeurs du paramètreVpente. Les étages <strong>de</strong> sortie <strong>et</strong> les étages <strong>de</strong> multiplication entraînent une variation du courant176


Chapitre IV : mise en œuvre <strong>et</strong> applications<strong>de</strong> masse importante qui produit les déformations observées sur les courbes : distorsion parrapport à la forme sigmoïdale, décalage du point <strong>de</strong> <strong>de</strong>mi-activation. Les fonctionsd'activations <strong>de</strong> I Ca <strong>et</strong> I KCa sont élevées, respectivement, à la puissance 3 <strong>et</strong> 4 alors que lafonction d'inactivation <strong>de</strong> I Ca ne comporte pas d'exposant. Les variations <strong>de</strong> courants <strong>de</strong> massesont proportionnelles au nombre d'étage <strong>de</strong> multiplication utilisé, les eff<strong>et</strong>s sont donc moinsimportants pour la fonction d'inactivation.Un exemple possible d'exploitation <strong>de</strong> ces résultats serait <strong>de</strong> pratiquer sur ces données uneanalyse <strong>de</strong> régression avec une fonction sigmoïdale afin d'extraire le paramètre Pente <strong>et</strong> d'envérifier l'évolution en fonction <strong>de</strong> la tension <strong>de</strong> comman<strong>de</strong> Vpente. Dans notre cas lefonctionnement est trop altéré pour réaliser c<strong>et</strong>te exploitation.140120100I KCa (A)180160140120I Ca (A)8010060804020V seuil (mV)604020V seuil (mV)00A)-1000 -500 0 500 1000B)-1000 -500 0 500 1000C)180I Ca (A)16014012010080604020V seuil (mV)0-1000 -500 0 500 1000Figure 4-22 : sigmoï<strong>de</strong>s <strong>de</strong>s conductances ioniques Ca <strong>et</strong> KCa. A) activation <strong>de</strong> Ca, B)activation <strong>de</strong> KCa <strong>et</strong> C) inactivation <strong>de</strong> KCa.Un autre exemple <strong>de</strong> caractérisation est le relevé du courant maximal en fonction <strong>de</strong>sparamètres V gmax <strong>de</strong> chaque conductance (figure 4-23). Les courbes relevées correspon<strong>de</strong>nt àla fonction <strong>de</strong> transfert d'une paire différentielle MOS (voir paragraphe 2.3.4 <strong>et</strong> 2.3.5), <strong>et</strong> nousobservons bien un gain <strong>de</strong> l'ordre <strong>de</strong> 10 entre les <strong>de</strong>ux groupes <strong>de</strong> conductances ioniques I Na ,I K <strong>et</strong> I Ca I KCa . Sans analyser les eff<strong>et</strong>s <strong>de</strong> la résistance du plot <strong>de</strong> masse sur les variations entre177


Chapitre IV : mise en œuvre <strong>et</strong> applicationsles différentes conductances, nous pouvons constater que la tendance observée est conformeaux simulations théoriques. Les conductances ioniques I Na <strong>et</strong> I Ca ont <strong>de</strong>s expressions pluscomplexes que I K <strong>et</strong> I KCa (équations 3.1 à 3.4). Leurs implémentations électroniquescomportent donc plus d'opérateurs élémentaires ce qui se traduit par une plus gran<strong>de</strong>fluctuation <strong>de</strong> polarisation qui a pour eff<strong>et</strong> d'augmenter le courant <strong>de</strong> sortie.Na900g ion (S)800700K600500400300Ca200100V gmax (mV)KCa0-1000 -500 0 500 1000Figure 4-23 : évolution du courant <strong>de</strong> sortie <strong>de</strong>s quatre conductances ioniques pourdifférentes valeurs <strong>de</strong>s tensions <strong>de</strong> comman<strong>de</strong>s V gmax .En conclusion, "microneurone" perm<strong>et</strong> donc d'obtenir les mesures nécessaires à l'étalonnage<strong>de</strong>s ASICs <strong>de</strong> calcul <strong>analogique</strong>. Il peut aussi, a priori, être utilisé pour réaliser <strong>de</strong>sexpériences <strong>de</strong> "voltage clamp" avec du matériel <strong>de</strong> neurophysiologie, remplaçantavantageusement les <strong>neurones</strong> vivants, par exemple, pour l'apprentissage <strong>de</strong> l'utilisation <strong>de</strong> c<strong>et</strong>ype <strong>de</strong> matériel. Compte tenu du défaut <strong>de</strong> l'ASIC "susie" nous n'avons pas réalisé cesmanipulations, mais elles font partie intégrante <strong>de</strong>s objectifs éducatifs <strong>de</strong> "microneurone" <strong>et</strong>ont déjà été entreprises avec succès par notre groupe avec d'autres calculateurs <strong>analogique</strong>s(voir [DUPEYRON 98]).Les distorsions que produit la présence du plot <strong>de</strong> masse protégé sont sans douteincompatibles avec une simulation précise <strong>et</strong> c'est pour c<strong>et</strong>te raison que nous avons préparé unASIC <strong>de</strong> remplacement. Cependant, ce défaut n'empêche pas d'observer l'activité <strong>de</strong> modèlesrelativement "robustes" dont le comportement dynamique est faiblement perturbé. Ellesillustrent les (futures) possibilités <strong>de</strong> "microneurone" quand il sera équipé <strong>de</strong> son nouvel ASIC"calvin".178


Chapitre IV : mise en œuvre <strong>et</strong> applications4.3.Exemples <strong>de</strong> modèles.200 mV10 msV mem (mV)20 A10 msI K0I NaA)B)Figure 4-24 : A) activité tonique d'un modèle à <strong>de</strong>ux conductances <strong>et</strong> B) visualisation <strong>de</strong>scourants ioniques.Le premier exemple est un modèle simple à <strong>de</strong>ux conductances qui répond à l'équation :CmemdVdtmem I I g (V E ) 0(4.7)NaK"microneurone" perm<strong>et</strong> d'observer la variation du potentiel membranaire (figure 4-24-A) maisaussi <strong>de</strong>s courants ioniques (figure 4-24-B).fuitememfuiteA)50 A 500 mVV memI TB)V mem500 ms50 A 500 mVI T500 msFigure 4-25 : cellule à trois conductances ioniques.Le second exemple comporte une conductance ionique additionnelle I T :CmemdVdtmem I I I g (V E ) 0(4.8)NaKTfuitememfuiteNous avons déjà présenté les propriétés <strong>de</strong> la conductance calcique I T (voir paragraphe 2.2.2).Associée au canal <strong>de</strong> fuite, elle supporte une oscillation lente à seuil <strong>de</strong> déclenchement bas(figure 4-25 A). Si nous ajoutons les <strong>de</strong>ux courants I Na <strong>et</strong> I K , nous observons l'apparition <strong>de</strong>"bouffées" <strong>de</strong> potentiels d'actions rapi<strong>de</strong>s qui coiffent c<strong>et</strong>te enveloppe (figure 4-25-B).179


Chapitre IV : mise en œuvre <strong>et</strong> applications4.4."Microneurone" version 2.0.L'ASIC <strong>de</strong> remplacement "calvin" sera utilisé avec "microneurone" grâce à une carteperm<strong>et</strong>tant d'adapter son boîtier à 52 broches au support à 44 broches correspondant au circuit"susie". Cependant, seule la fabrication d'une nouvelle version <strong>de</strong> simulateur perm<strong>et</strong>trad'utiliser sa conductance synaptique, <strong>et</strong> ainsi d'ouvrir "microneurone" vers les systèmeshybri<strong>de</strong>s.Nous proposons le schéma ci-<strong>de</strong>ssous :clavierport sérieµC 68hc11cna 8 sortiesx 4mux 8/1x 8ASICCALVINR fuiteC mem10 x V memVisualisation<strong>de</strong>s courants<strong>de</strong> conductanceport usbcan 8 voies8StimulationV mempostFigure 4-26 : schéma <strong>de</strong> principe du simulateur "microneurone" 2.0.Le système reste organisé autour d'un microcontrôleur <strong>de</strong> type 68HC711E9 <strong>et</strong>, outrel'utilisation du nouvel ASIC "calvin", les modifications par rapport à la version 1.0 sont lessuivantes : Tous les paramètres sont ajustables électriquement ce qui se traduit par l'utilisation <strong>de</strong> 4convertisseurs <strong>et</strong> 8 multiplexeurs. Pour la liaison avec le microordinateur, le port USB (Universal Serial Bus) se substitue auport série. Ce <strong>de</strong>rnier est néanmoins conservé pour faciliter la mise au point du logiciel <strong>de</strong>pilotage du port USB (circuit utilisé : PDIUSBD12 <strong>de</strong> la société <strong>de</strong> Philips). Le taux <strong>de</strong> transfert élevé du port USB (1 Mo/s au maximum) autorise l'acquisition tempsréel <strong>de</strong>s signaux du calculateur. Nous avons donc sélectionné un convertisseur <strong>analogique</strong>numérique (AD7891 <strong>de</strong> la société Analog Device) pour assurer la conversion numérique.La carte du prototype est en cours <strong>de</strong> routage en attendant le r<strong>et</strong>our du circuit "calvin" dont lafabrication a été r<strong>et</strong>ardée par le fon<strong>de</strong>ur. Pour résumer, ce nouveau système <strong>et</strong> son nouvelASIC comportent trois atouts majeurs par rapport à la version précé<strong>de</strong>nte :180


Chapitre IV : mise en œuvre <strong>et</strong> applicationsLa présence d'une synapse artificielle sert la réalisation d'un réseau hybri<strong>de</strong> élémentaireunidirectionnel. Concrètement il est possible <strong>de</strong> se servir du système comme d'unstimulateur.L'emploi du bus USB garantit la pérennité <strong>de</strong> l'utilisation du système (les nouveaux microordinateursne sont plus équipés <strong>de</strong> port série) mais surtout perm<strong>et</strong> <strong>de</strong> réaliser l'acquisitiondirecte <strong>de</strong> données pour les tensions membranaires <strong>et</strong> courants ioniques modélisés sansavoir besoin <strong>de</strong> se servir d'un oscilloscope ou d'une carte d'acquisition.Enfin, tous les paramètres sont maintenant accessibles <strong>et</strong> programmables ce qui augmentela flexibilité <strong>de</strong> programmation du système.5. FUTUR CALCULATEUR ANALOGIQUE PROGRAMMABLE.Nous avons mis au point une nouvelle bibliothèque d'opérateurs arithmétiques <strong>analogique</strong>s(chapitre II) <strong>et</strong> conçu un ASIC, "fpca-r", basé sur c<strong>et</strong>te <strong>de</strong>rnière (chapitre III). Nous allonsmaintenant proposer la réalisation d'un simulateur <strong>analogique</strong> exploitant ses capacités.Nous décrivons dans ce paragraphe le proj<strong>et</strong> <strong>de</strong> nouveau banc <strong>de</strong> simulation <strong>de</strong>stiné à la miseen œuvre <strong>de</strong>s expériences <strong>de</strong> réseaux hybri<strong>de</strong>s. Il comporte, outre le simulateur, un certainnombre d'instruments périphériques qui nous semblent indispensables.Avant <strong>de</strong> réaliser un système aussi complexe, nous avons construit un simulateur"élémentaire" qui n'utilise que <strong>de</strong>ux circuits "fpca-r" <strong>et</strong> a permis <strong>de</strong> vali<strong>de</strong>r nos choix <strong>de</strong>conception. D'autre part, nous proposons une <strong>de</strong>scription d'un système compl<strong>et</strong> pour unefuture réalisation par notre groupe.5.1.Conception du futur banc <strong>de</strong> simulation <strong>analogique</strong>.Le principe <strong>de</strong> construction respecte celui proposé par la figure 3-30, notamment en ce quiconcerne le chaînage <strong>de</strong>s différents ASICs "fpca_r". Dans ce paragraphe, nous insistonsparticulièrement sur <strong>de</strong>ux points qui nous paraissent importants à considérer pour laconception <strong>de</strong> ce nouveau simulateur. Nous laissons les détails concernant la mise en œuvre<strong>de</strong>s circuits "fpca-r" pour le paragraphe 5.2 où nous présentons notre prototype. Les idées quenous souhaitons développer sont les suivantes : L'expérience a montré que <strong>de</strong>s instruments périphériques étaient indispensables à la miseen œuvre <strong>et</strong> l'exploitation <strong>de</strong>s expériences <strong>de</strong> réseaux hybri<strong>de</strong>s. Il parait judicieux <strong>de</strong> lesintégrer au simulateur dés le départ.181


Chapitre IV : mise en œuvre <strong>et</strong> applicationsL'organisation <strong>de</strong>s bus <strong>analogique</strong>s <strong>de</strong>s ASICs fpca-r doit être choisie avec soin afin <strong>de</strong>pouvoir réaliser <strong>de</strong>s réseaux <strong>neurones</strong> fortement interconnectés.5.1.1. Description du simulateur.Le nombre <strong>de</strong> paramètres mis en jeu est incompatible avec la conception d'un systèmeautonome, il est nécessaire d'utiliser un micro-ordinateur pour réaliser la saisie <strong>et</strong> lasauvegar<strong>de</strong> <strong>de</strong>s modèles. Il a pour fonction minimale le chargement <strong>de</strong> la SRAM <strong>de</strong>paramètres <strong>et</strong> le démarrage <strong>de</strong>s cycles <strong>de</strong> rafraîchissement.Afin <strong>de</strong> garantir la flexibilité d'utilisation, il n'est pas souhaitable d'utiliser une carted'entrée/sortie spécifique pour effectuer les transferts entre simulateur <strong>et</strong> micro-ordinateur. Ilnous faut choisir entre les différents ports plus ou moins standard qui sont disponibles : série,parallèle, SCSI, USB, IEE1394, ISA, PCI... Nous proposons l'utilisation du port USB qui estbien adapté par ses taux <strong>de</strong> transfert <strong>et</strong> sa compatibilité multi-plateformes (PC <strong>et</strong> Apple).Nous allons maintenant nous attacher à détailler les périphériques que nous souhaitons inclureau simulateur, ils sont aussi pilotés, si nécessaire, par le biais <strong>de</strong> l'interface USB (circuitproposé : PDIUSBD12 <strong>de</strong> la société <strong>de</strong> Philips).Les ASICs fonctionnent avec <strong>de</strong>s alimentations 0/+5 V <strong>et</strong> un point milieu à 2,5 V, lespotentiels <strong>de</strong> membrane simulés sont décalés <strong>de</strong> 2,5 V par rapport à la terre du banchybri<strong>de</strong>. Il est donc nécessaire <strong>de</strong> prévoir <strong>de</strong>s étages d'interfaces assurant un décalage <strong>de</strong>2,5 V pour pouvoir connecter <strong>de</strong>s instruments extérieurs, en particulier les amplificateurs<strong>de</strong>s microélectro<strong>de</strong>s. Nous pourrons aussi ajouter <strong>de</strong>s filtres passe-bas <strong>de</strong>stinés à éliminerles couplages hautes fréquences <strong>de</strong>s parties numériques.Nous proposons <strong>de</strong> réaliser un générateur arbitraire <strong>de</strong> fonction qui perm<strong>et</strong>, au choix, <strong>de</strong>produire <strong>de</strong>s impulsions carrées fréquemment utilisées pour la stimulation <strong>de</strong>s membranesou bien une enveloppe quelconque reproduisant par exemple l'activité pré-enregistrée d'un182


A10Alimentations : +12 V, +5 V <strong>analogique</strong>, +5 V numérique, 2,5 V, -5VcompteurSRAMCNAVrefnext8cpten3sramselect3ssin icon<strong>de</strong>nsateurs d'intégrationclkres<strong>et</strong>start1FPCA 1 FPCA 2 FPCA 3 FPCA 4 FPCA 5 FPCA 6 FPCA 7 FPCA 8microcontroleurBus <strong>analogique</strong>multiplexeur183PORTSERIEPORTUSBBus numériqueCAN instrumentationacquisition numériqueCNAcomparateursdétection <strong>de</strong> PA+-+-timerscompteurSRAMCNAgénérateur arbitrairesortie synchroTTLstimulationexternepasse-bas+2,5décalagesortie <strong>analogique</strong>Figure 4-27 : schéma <strong>de</strong> principe d'un simulateur utilisant les circuits fpca-r. Le détail du chaînage <strong>de</strong>s ASICS n'est pas représenté, seulsles signaux <strong>de</strong> contrôle sont annotés.+-Chapitre IV : mise en œuvre <strong>et</strong> applications


Chapitre IV : mise en œuvre <strong>et</strong> applicationsneurone biologique. Ce générateur doit disposer d'une sortie <strong>de</strong> contrôle <strong>et</strong> d'une sortieTTL assurant la synchronisation avec <strong>de</strong>s instruments externes.Un convertisseur <strong>analogique</strong>-numérique convertit les potentiels <strong>de</strong> membranes en donnéesnumériques transférées via le port USB. Le circuit choisi (Analog Device AD7891)dispose <strong>de</strong> huit entrées <strong>analogique</strong>s multiplexées. C<strong>et</strong>te approche remplaceavantageusement un système d'acquisition externe, oscilloscope numérique <strong>et</strong> carted'interface par exemple, <strong>et</strong> perm<strong>et</strong> <strong>de</strong> stocker <strong>et</strong> d'analyser les mesures rapi<strong>de</strong>ment.L'exploitation <strong>de</strong>s résultats commence souvent par l'extraction <strong>de</strong> propriétés temporelles<strong>de</strong>s potentiels d'actions (PA) : fréquence moyenne, dispersion <strong>de</strong> fréquence, corrélation <strong>de</strong><strong>de</strong>ux signaux... Pour ce type <strong>de</strong> mesures, l'enregistrement compl<strong>et</strong> <strong>de</strong> l'évolutiontemporelle n'est pas nécessaire, il suffit <strong>de</strong> détecter l'occurrence <strong>de</strong>s PA. Un comparateur àniveau réglable peut servir à c<strong>et</strong>te tâche, un "timer" assurant ensuite la mesure du tempsqui est ensuite transmise au micro-ordinateur. C<strong>et</strong>te approche limite fortement les taux d<strong>et</strong>ransfert <strong>et</strong> allége la charge <strong>de</strong> travail du micro-ordinateur. Deux voies sont nécessaires sinous souhaitons étudier les corrélations existant entre <strong>de</strong>ux signaux.La figure 4-27 présente le schéma compl<strong>et</strong> d'un système comportant ces différentspériphériques. Comme pour "microneurone" il est bâti autour d'un microcontrôleur qui estindispensable pour la gestion du port USB. Le port série est aussi conservé pour être utilisépendant les phases <strong>de</strong> développement. Outre la gestion du port USB, le microcontrôleurgénère les horloges, pilote les différents périphériques <strong>et</strong> assure la gestion <strong>de</strong>s séquenceurs <strong>de</strong>sASICs "fpca-r". Suivant le type <strong>de</strong> microcontrôleur il est possible d'utiliser les "timers"intégrés nécessaires à la détection <strong>de</strong> PA.5.1.2. Organisation du bus <strong>analogique</strong>.La flexibilité d'usage du système dépend maintenant <strong>de</strong>s choix <strong>de</strong> l'organisation du bus<strong>analogique</strong> <strong>et</strong> du raccor<strong>de</strong>ment <strong>de</strong>s différents ASICs <strong>et</strong> périphériques.Pour huit circuits ASICs "fpca-r" nous proposons l'organisation présentée par la figure 4-28.Rappelons que chaque ASIC dispose <strong>de</strong> huit broches d'entrée/sortie <strong>analogique</strong> <strong>et</strong> perm<strong>et</strong>d'assembler huit <strong>neurones</strong> "basiques" à <strong>de</strong>ux conductances ou <strong>de</strong>ux, plus compl<strong>et</strong>s, à quatre.184


+-+-Chapitre IV : mise en œuvre <strong>et</strong> applicationsEn imaginant les ASICs disposés aux somm<strong>et</strong>s d'un cube, nous relions chacun d'entre eux àses trois voisins immédiats par <strong>de</strong>ux lignes <strong>analogique</strong>s. Nous disposons ainsi <strong>de</strong> 24con<strong>de</strong>nsateurs perm<strong>et</strong>tant <strong>de</strong> réaliser autant <strong>de</strong> <strong>neurones</strong> ou compartiments.stimulationscommun1commun2interrupteurs I1comparateurstimerscompteurSRAMCNACAN1I2CNAlignes du bus <strong>analogique</strong>lignes du bus <strong>analogique</strong> connectées à une entréedu CAN d'acquisitiondétection <strong>de</strong> PAgénérateur arbitrairesortie synchroTTLstimulationexterneFigure 4-28 : organisation du bus <strong>analogique</strong> pour huit circuits fpca-r.Pour chaque ASIC, il reste <strong>de</strong>ux broches encore inutilisées <strong>et</strong> nous les connectons à <strong>de</strong>uxlignes communes. Il est ainsi possible <strong>de</strong> réaliser une double connexion synaptique entre <strong>de</strong>uxcircuits quelconques. D'autre part ces <strong>de</strong>ux lignes servent d'entrée au dispositif <strong>de</strong> détection <strong>de</strong>potentiel d'action.La stimulation est commune elle aussi, les huit entrées correspondantes sont reliées entre elle,<strong>et</strong> les multiplexeurs internes sélectionnent les membranes stimulées.Enfin les huit voies d'entrées du dispositif d'acquisition numérique sont connectées sur leslignes formants quatre arêtes du cube (voir figure 4-28). Disposées <strong>de</strong> la sorte, il est possibled'accé<strong>de</strong>r à tous les circuits <strong>et</strong>, au maximum, <strong>de</strong> connecter <strong>de</strong>ux voies simultanément pour uncircuit donné.Notons la présence <strong>de</strong>s interrupteurs inverseurs I1. Ils assurent la connexion du stimulateur surla ligne commun1 <strong>et</strong> l'insertion d'une résistance sur la ligne commun2 qui est aussi connectéeà une <strong>de</strong>s entrées du convertisseur d'acquisition. C<strong>et</strong>te configuration est <strong>de</strong>stinée au test <strong>et</strong> àl'étalonnage <strong>de</strong>s ASICs. Le générateur <strong>de</strong> stimulation sert, à tour <strong>de</strong> rôle, d'entrée pour lesdifférentes conductances ioniques <strong>et</strong> la sortie correspondante est connectée sur la résistance, <strong>et</strong>perm<strong>et</strong> ainsi <strong>de</strong> mesurer le courant ionique.185


Chapitre IV : mise en œuvre <strong>et</strong> applications5.2.Prototype utilisant <strong>de</strong>ux circuits "fpca-r".Figure 4-29 : photographie du prototype <strong>de</strong> simulateur utilisant les ASICs "fpca-r", il estpiloté par une carte d'entrée/sortie type PCI1200.Le prototype (figure 4-29) comporte <strong>de</strong>ux ASICs perm<strong>et</strong>tant donc <strong>de</strong> tester la fonctionnalité<strong>de</strong> chaînage (chapitre III, paragraphe 5.3). Deux mémoires SRAM perm<strong>et</strong>tent <strong>de</strong> stocker lesmots <strong>de</strong> 12 bits qui sont présentés au convertisseur numérique <strong>analogique</strong> (CNA), ses adressesétant générées par un compteur externe.La carte comporte d'autre part trois régulateurs <strong>de</strong> tensions perm<strong>et</strong>tant <strong>de</strong> fournir lesdifférentes tensions d'alimentations +5 V numérique, +5V <strong>analogique</strong>, 2.5 V <strong>analogique</strong> àpartir d'une alimentation unique <strong>de</strong> 12 V nécessaire au convertisseur.Figure 4-30 : fenêtres du logiciel servant à piloter la carte <strong>de</strong> test. A) fenêtre <strong>de</strong> comman<strong>de</strong>perm<strong>et</strong>tant <strong>de</strong> comman<strong>de</strong>r l'écriture dans la SRAM, <strong>de</strong> contrôler le séquenceur <strong>et</strong> d'ouvrir lesfenêtres d'édition <strong>de</strong> paramètres <strong>de</strong> type B) ou C).186


Chapitre IV : mise en œuvre <strong>et</strong> applicationsLe logiciel perm<strong>et</strong> <strong>de</strong> saisir les valeurs électriques <strong>de</strong>s 93 x 2 = 186 paramètres <strong>et</strong> <strong>de</strong>s25 x 2 = 50 mots <strong>de</strong> topologie <strong>de</strong>s <strong>de</strong>ux circuits. Ces données peuvent être sauvegardées sur ledisque dur.Les reproductions <strong>de</strong>s fenêtres <strong>de</strong> programmation (figure 4-30) illustrent le mo<strong>de</strong> <strong>de</strong> saisie <strong>de</strong>ces paramètres : l'opérateur choisit la tension que délivrera le CNA pour chacun d'entre eux.5.3.Mesures électriques.Nous avons pu vérifier que le séquenceur <strong>et</strong> le principe <strong>de</strong> chaînage du circuit "fpca-r" sontparfaitement fonctionnels, ce que nous illustrons avec les implémentations <strong>de</strong> modèles duparagraphe 5.4. Le multiplexage qui perm<strong>et</strong> le réglage <strong>de</strong> la topologie fonctionne aussi commesouhaité. Nous allons maintenant évaluer les différents opérateurs à partir <strong>de</strong> mesures réaliséessur <strong>de</strong>s conductances ioniques.5.3.1. Sigmoï<strong>de</strong>.En connectant une résistance <strong>de</strong> 100 k sur une <strong>de</strong>s lignes du bus <strong>analogique</strong>, nous mesuronsle courant <strong>de</strong> sortie <strong>de</strong>s conductances, ce qui nous perm<strong>et</strong> <strong>de</strong> vérifier leurs caractéristiques.Nous étudions une conductance synaptique en appliquant une rampe <strong>de</strong> tension sur l'entréeprésynaptique <strong>et</strong> en maintenant une tension postsynaptique constante. Nous obtenons ainsi lescaractéristiques sigmoïdales <strong>de</strong> la fonction d'activation (figure 4-31-A).2,0I sig (A)3,51,81,6Vpente (V) :1.31.93,0Pente maximale normalisée, 1/4PenteSimulationsMesures1,42.22.32,52.351,22,01,00,81,50,61,00,40,2V mem (V)0,5A)0,01,0 1,5 2,0 2,5 3,0 3,5 4,0B)Vpente (V)0,01,2 1,4 1,6 1,8 2,0 2,2 2,4Figure 4-31 : A ) mesure <strong>de</strong> la caractéristique sigmoïdale <strong>de</strong> l'activation synaptique pourdifférentes valeurs du paramètre V pente . B) pente maximale <strong>de</strong> la sigmoï<strong>de</strong> en fonction duparamètre V pente extraite par interpolation <strong>de</strong>s mesures.De ces mesures nous pouvons extraire les variations <strong>de</strong> la pente maximale <strong>de</strong>s sigmoï<strong>de</strong>s enfonction <strong>de</strong> la tension <strong>de</strong> comman<strong>de</strong> Vpente. Ces paramètres sont obtenus en pratiquant uneanalyse <strong>de</strong> régression avec une fonction sigmoïdale sur ces mesures (logiciel Kaleidagraph).187


Chapitre IV : mise en œuvre <strong>et</strong> applicationsLa figure 4-31-B montre la concordance entre les valeurs extraites <strong>de</strong>s mesures <strong>et</strong> la courb<strong>et</strong>héorique (chapitre II paragraphe 4.3).5.3.2. Paire entrecroisée <strong>et</strong> multiplieur "b<strong>et</strong>a-immune".La conductance <strong>de</strong> stimulation est composée d'un multiplieur "b<strong>et</strong>a-immune" <strong>et</strong> <strong>de</strong> <strong>de</strong>ux pairesentrecroisées (voir chapitre II, paragraphe 4.2.2). En appliquant une rampe sur l'entrée <strong>de</strong>stimulation V stim <strong>et</strong> en faisant varier le paramètre V Gstim nous mesurons donc lescaractéristiques <strong>de</strong> transfert composites <strong>de</strong>s paires <strong>et</strong> du multiplieur (figure 4-32).1000800600400200Iout (nA)V Gstim = 3,7VV Gstim = 3,35VV Gstim = 3 VV Gstim = 2.7 V00,0 0,5 1,0 1,5 2,0 2,5 3,0 3,5 4,0 4,5 5,0-200V stim (V)-400-600-800-1000Figure 4-32 : caractéristiques <strong>de</strong> transfert composites d'une paire entrecroisée <strong>et</strong> d'unmultiplieur "b<strong>et</strong>a-immune" pour la conductance <strong>de</strong> stimulation.La saturation du courant <strong>de</strong> sortie observée sur la figure 4-32 correspond à la fonction d<strong>et</strong>ransfert <strong>de</strong> la paire entrecroisée qui assure la conversion tension-courant <strong>de</strong> l'entréedifférentielle (V stim -2,5).1200Imax (nA)1000800600400200V Gstim (V)02,3 2,5 2,7 2,9 3,1 3,3 3,5 3,7 3,9Figure 4-33 : <strong>de</strong>mi-caractéristique <strong>de</strong> transfert d'une paire entrecroisée obtenue en utilisantla conductance <strong>de</strong> stimulation.188


Chapitre IV : mise en œuvre <strong>et</strong> applicationsIl est aussi possible d'extraire la partie positive <strong>de</strong> la fonction <strong>de</strong> transfert <strong>de</strong> la secon<strong>de</strong> paireentrecroisée qui est associée à l'entrée unipolaire. Il nous suffit <strong>de</strong> tracer la valeur du courant<strong>de</strong> saturation en fonction <strong>de</strong> l'entrée en tension V Gstim (figure 4-33).5.3.3. Constante <strong>de</strong> temps <strong>de</strong>s intégrateurs.Toujours en utilisant une conductance synaptique, nous mesurons la réponse indicielle du blocintégrateur en appliquant un échelon sur l'entrée présynaptique <strong>et</strong> en maintenant constante latension postsynaptique. Les mesures sont répétées pour une capacité du con<strong>de</strong>nsateurd'intégration fixe <strong>et</strong> différentes valeurs pour la tension <strong>de</strong> comman<strong>de</strong> V tau <strong>de</strong> l'atténuateur A(figure 4-34-A).2,5V R100k (V)5Tau (ms)Simulations2,454,54Mesures3,52,432,52,3521,5A)2,3t (ms)2,250 1 2 3 4 5 6 7 8 9 10B)1Vtau (V)0,502 2,2 2,4 2,6 2,8 3 3,2 3,4 3,6Figure 4-34 : A) réponse indicielle du bloc intégrateur pour différentes valeurs du paramètre<strong>de</strong> l'atténuateur V tau <strong>et</strong> B) Constante <strong>de</strong> temps correspondante obtenue par interpolation <strong>de</strong>smesures. Le con<strong>de</strong>nsateur externe a une valeur <strong>de</strong> 10 nF.Les temps <strong>de</strong> montée, <strong>et</strong> donc les constantes <strong>de</strong> temps d'intégration, sont obtenus en pratiquantune analyse <strong>de</strong> régression pour ces mesures avec une fonction exponentielle (figure 4-34-B).La valeur <strong>de</strong> constante <strong>de</strong> temps nominale mesurée (facteur d'atténuation A=1 obtenu pourV tau = 2,5 V) est <strong>de</strong> 1,47 ms alors que la valeur théorique est <strong>de</strong> 1,17 ms. L'erreur relative <strong>de</strong>25 % est principalement due à la précision <strong>de</strong> la valeur du con<strong>de</strong>nsateur d'intégration (30%typique avec les con<strong>de</strong>nsateurs CMS utilisés).D'autre part nous constatons la concordance <strong>de</strong>s mesures avec la fonction <strong>de</strong> transfertthéorique <strong>de</strong> l'atténuateur A <strong>et</strong> donc la possibilité d'ajuster électriquement la constante d<strong>et</strong>emps.189


Chapitre IV : mise en œuvre <strong>et</strong> applications5.3.4. Temps <strong>de</strong> maintien <strong>de</strong>s mémoires <strong>analogique</strong>s.500 mVt = 0 mint = 5 mint = 10 mint = 15 mint = 20 min20 mst = 25 minFigure 4-35 : illustration du temps <strong>de</strong> maintien : l'activité d'un modèle tonique à <strong>de</strong>uxconductances est enregistrée toutes les cinq minutes après l'arrêt du rafraîchissement <strong>de</strong>scon<strong>de</strong>nsateurs <strong>de</strong> mémorisation.Nous avons prévu un cellule <strong>de</strong> test pour évaluer le fonctionnement du stockage <strong>analogique</strong>(chapitre III paragraphe 5.2.1). Deux points nous intéressent particulièrement, lesperturbations <strong>de</strong> la valeur stockées dues aux problèmes d'injection <strong>de</strong> charge <strong>et</strong> le temps <strong>de</strong>maintien sur le con<strong>de</strong>nsateur <strong>de</strong> mémorisation.Nous appliquons un signal <strong>de</strong> comman<strong>de</strong> carré à l'entrée <strong>de</strong> test interentest qui contrôlel'interrupteur sélectionné par le registre à décalage INTER <strong>et</strong> nous observons les variations d<strong>et</strong>ension aux bornes du con<strong>de</strong>nsateur <strong>de</strong> stockage par le biais <strong>de</strong> l'étage suiveur. L'injection <strong>de</strong>charge est difficilement observable mais produit <strong>de</strong>s variations <strong>de</strong> la tension mémoriséeinférieures à 15 mV.En appliquant une tension initiale au con<strong>de</strong>nsateur connecté à l'étage suiveur puis en ouvrantson interrupteur <strong>de</strong> rafraîchissement, nous souhaitons observer sa décharge <strong>et</strong> ainsi vérifier l<strong>et</strong>emps <strong>de</strong> maintien. Il s'avère qu'aucune variation n'est mesurable pendant la pério<strong>de</strong>d'enregistrement <strong>de</strong> plusieurs secon<strong>de</strong>s d'un oscilloscope numérique. Nous choisissons uneautre approche : un modèle tonique à <strong>de</strong>ux conductances est simulé par l'ASIC <strong>et</strong> après lamise en mémoire <strong>de</strong>s paramètres <strong>de</strong> ce "neurone", le rafraîchissement est arrêté. Il reste àobserver l'évolution <strong>de</strong> l'activité <strong>de</strong> la tension membranaire (figure 4-35). Nous observons qu'ilfaut plus <strong>de</strong> 20 minutes pour obtenir l'arrêt total <strong>de</strong>s oscillations. Avant c<strong>et</strong>te limite leur190


Chapitre IV : mise en œuvre <strong>et</strong> applicationsamplitu<strong>de</strong> décroît régulièrement. Le nombre <strong>de</strong> paramètres d'un tel modèle est trop importantpour pouvoir en tirer une conclusion précise sur le temps <strong>de</strong> maintien, mais il apparaîtcependant qu'il est beaucoup plus important que prévu, sans doute <strong>de</strong> plusieurs secon<strong>de</strong>s, voirminutes.Ces mesures sont intéressantes car elles suggèrent une possibilité pour limiter les couplagesqui apparaissent pendant la boucle <strong>de</strong> rafraîchissement : plutôt que <strong>de</strong> réaliser c<strong>et</strong>te tâche encontinu, il suffit <strong>de</strong> parcourir la boucle entière à intervalles <strong>de</strong> temps réguliers qui soientcompatibles avec la pério<strong>de</strong> <strong>de</strong> maintien observée. Entre les cycles <strong>de</strong> rafraîchissement aucuneactivité numérique ne serait nécessaire <strong>et</strong> le calculateur <strong>analogique</strong> ne risquerait donc aucuneperturbation.5.4.Exemples <strong>de</strong> modèles.Afin d'illustrer les possibilités du calculateur <strong>analogique</strong> constitué par notre prototype, nousavons implémenté quelques modèles simples.5.4.1. Un neurone à <strong>de</strong>ux conductances.T PA (ms)0 A37320,33 A270,66 A2217A)1,1 AB)I stim (A)120,0 0,2 0,4 0,6 0,8 1,0 1,2Figure 4-36 : variation <strong>de</strong> la pério<strong>de</strong> <strong>de</strong>s PA d'un neurone à <strong>de</strong>ux conductances en fonctiondu courant <strong>de</strong> stimulation constant injecté sur la membrane.Ce premier exemple est un neurone "simple" qui possè<strong>de</strong> <strong>de</strong>ux conductances ioniques I Na <strong>et</strong>I K . L'équation décrivant l'évolution temporelle <strong>de</strong> la tension <strong>de</strong> membrane pour ce neurone estdonc la suivante :CmemdVdtmem I I g (V E ) 0(4.9)NaKL'activité observée (figure 4-36-A) correspond bien à celle d'un neurone biologique.L'injection d'un courant constant se traduit par une variation typique <strong>de</strong> la pério<strong>de</strong> <strong>de</strong>répétition T PA <strong>de</strong>s potentiels d'actions (figure 4-36-B).fuitememfuite191


Chapitre IV : mise en œuvre <strong>et</strong> applications5.4.2. Un neurone à trois conductances.200 mV500 ms200 mV200 msA)330 nAB)330 nAFigure 4-37 : une cellule à trois conductances. A) une impulsion <strong>de</strong> courant dépolarisantprovoque l'apparition <strong>de</strong> PA. B) une impulsion hyperpolarisante se traduit par un rebond <strong>et</strong> ledéclenchement d'une activité oscillatoire lente coiffée d'une bouffée <strong>de</strong> PA.Nous reproduisons une cellule à trois conductances, inspirée <strong>de</strong> la cellule TC du paragraphe2.2.2. Nous r<strong>et</strong>rouvons bien les mêmes réponses caractéristiques à <strong>de</strong>s impulsionsdépolarisante (figure 4-37-A) ou hyperpolarisante (figure 4-37-B).5.4.3. Réalisation <strong>de</strong> synapses avec les <strong>de</strong>ux circuits.A)80 Hz55 HzB)80 HzC)55 Hz20 msFigure 4-38 : A) <strong>de</strong>ux <strong>neurones</strong> toniques oscillent à <strong>de</strong>s fréquences distinctes. Quand les<strong>neurones</strong> sont reliés par une synapse excitatrice B)le plus rapi<strong>de</strong> peut synchroniser le pluslent, C) le plus lent synchronise le plus rapi<strong>de</strong> mais provoque une déformation <strong>de</strong> ses PA.C<strong>et</strong>te fois chaque circuit du prototype comporte un neurone à <strong>de</strong>ux conductances similaire àcelui du paragraphe 5.3.1. Les courants <strong>de</strong> stimulations sont ajustés afin que chacun d'entreeux oscille à <strong>de</strong>s fréquences proches mais distinctes, 80 Hz <strong>et</strong> 55 Hz (figure 4-38-A).192


Chapitre IV : mise en œuvre <strong>et</strong> applicationsC<strong>et</strong>te configuration perm<strong>et</strong> d'illustrer l'utilisation du principe <strong>de</strong> chaînage conçu pour partagerconvertisseur <strong>et</strong> SRAM externes.Nous connectons ensuite une synapse excitatrice entre ces cellules, suivant le sens <strong>de</strong>projection nous observons bien la synchronisation <strong>de</strong>s activités <strong>de</strong>s <strong>de</strong>ux <strong>neurones</strong>, la celluleprésynaptique entraînant la postsynaptique à sa fréquence propre (figures 4-38 B <strong>et</strong> C). Onnotera que, quand la plus lente impose la fréquence, cela se traduit par une distorsion <strong>de</strong>l'enveloppe <strong>de</strong>s potentiels d'actions <strong>de</strong> la plus rapi<strong>de</strong>.Ce prototype démontre donc les capacités <strong>de</strong>s ASICs "fpca-r" <strong>et</strong> les premiers résultats obtenussont suffisamment concluants pour déci<strong>de</strong>r <strong>de</strong> la mise au point du simulateur dont nous avonsproposé un synoptique au paragraphe 5.1.193


194Chapitre IV : mise en œuvre <strong>et</strong> applications


Conclusions <strong>et</strong> perspectives.CONCLUSIONS ET PERSPECTIVES.L'objectif <strong>de</strong>s travaux présentés dans ce manuscrit est <strong>de</strong> réaliser <strong>de</strong>s calculateurs <strong>analogique</strong>sbasés sur <strong>de</strong>s modèles neurophysiologiques <strong>de</strong> type Hodgkin <strong>et</strong> Huxley. Ces simulateurs sontconstruits à partir d'ASICs <strong>analogique</strong>s spécifiquement conçus pour résoudre ces équations.Ils perm<strong>et</strong>tent ainsi la simulation réaliste en temps réel <strong>et</strong> continu <strong>de</strong> l'activitéélectrophysiologique <strong>de</strong> <strong>neurones</strong> biologiques. Leur application première est la réalisation <strong>de</strong>réseaux hybri<strong>de</strong>s, où <strong>neurones</strong> biologiques <strong>et</strong> artificiels interagissent.En nous appuyant sur les acquis du groupe (notamment sur la bibliothèque <strong>analogique</strong>développée lors <strong>de</strong> travaux antérieurs), nous avons finalisé <strong>et</strong> développé <strong>de</strong>ux systèmes."Vortex" est un banc <strong>de</strong> simulation compl<strong>et</strong> <strong>et</strong> modulable particulièrement <strong>de</strong>stiné à la miseen œuvre d'expériences <strong>de</strong> réseaux hybri<strong>de</strong>s."Microneurone" est plus simple, il ne comporte que quatre conductances, <strong>et</strong> a un objectif pluspédagogique. Notons que le circuit au cœur <strong>de</strong> ce système, "susie", présente un défaut, qu'unnouvel ASIC a été fabriqué pour le remplacer <strong>et</strong> qu'une nouvelle version <strong>de</strong> "microneurone"est en préparation. Elle perm<strong>et</strong>tra la réalisation <strong>de</strong> réseaux hybri<strong>de</strong>s simples.Le principe <strong>de</strong> résolution <strong>analogique</strong>, r<strong>et</strong>enu <strong>de</strong>puis longtemps par notre groupe, a prouvé sonefficacité comme l'illustrent exemples <strong>et</strong> proj<strong>et</strong>s d'expériences hybri<strong>de</strong>s présentés dans cemanuscrit. Le manque <strong>de</strong> souplesse dans la programmabilité <strong>de</strong>s modèles reste néanmoins uninconvénient majeur <strong>de</strong> c<strong>et</strong>te approche, en comparaison avec <strong>de</strong>s métho<strong>de</strong>s numériques. Dansle but <strong>de</strong> limiter ce handicap, nous avons étudié diverses possibilités <strong>de</strong> mémorisation<strong>analogique</strong> <strong>et</strong> réalisé <strong>de</strong>ux circuits <strong>de</strong> tests. Nous avons r<strong>et</strong>enu le principe <strong>de</strong> type"échantillonneur-bloqueur" <strong>et</strong> conçu une nouvelle bibliothèque <strong>analogique</strong> servant <strong>de</strong> base àun nouveau circuit, "fpca-r". Outre les mémoires <strong>analogique</strong>s intégrées, c<strong>et</strong> ASIC comporteun dispositif assurant la programmation <strong>de</strong>s connexions entre les éléments du réseau <strong>de</strong><strong>neurones</strong> implémenté. Nous avons ensuite construit un prototype supportant "fpca-r". Lesrésultats obtenus sont satisfaisants <strong>et</strong> nous proposons le synoptique d'un futur banc <strong>de</strong> calcul<strong>analogique</strong> comportant, notamment, <strong>de</strong>s instruments annexes indispensables auxneurobiologistes.195


Conclusions <strong>et</strong> perspectives.Les techniques développées par notre groupe, <strong>et</strong> présentées dans ce manuscrit, ont montré leurmaturité. Elles ont permis <strong>de</strong> construire <strong>de</strong>s puissants outils pour les neurobiologistes <strong>et</strong> ilsdoivent maintenant continuer <strong>de</strong> les exploiter. Il reste cependant encore beaucoup <strong>de</strong> travaildans bien <strong>de</strong>s domaines prouvant que ce thème <strong>de</strong> recherche pluridisciplinaire doit être menésur la continuité.La construction du nouveau banc <strong>de</strong> calcul <strong>analogique</strong> <strong>et</strong>, donc, l'exploitation <strong>de</strong>s résultats quenous avons présentés n'en représente qu'une infime partie. Nous pourrions aussi citer, parexemple, l'exploration <strong>de</strong> nouvelles techniques <strong>de</strong> connectivité avec le vivant avec l'utilisation<strong>de</strong>s matrices <strong>de</strong> microélectro<strong>de</strong>s. C<strong>et</strong>te approche perm<strong>et</strong> <strong>de</strong> multiplier les points <strong>de</strong> connexionsalors que les électro<strong>de</strong>s intracellulaires utilisées jusqu'alors étaient fortement limitées sur ceplan. La nature extracellulaire <strong>de</strong>s matrices implique néanmoins plusieurs changements. D'unepart, le grand nombre <strong>de</strong> voies <strong>et</strong> la faible amplitu<strong>de</strong> <strong>de</strong>s signaux recueillis nécessitent laconception d'un système d'acquisition adapté pour le traitement en temps réel. D'autre part, lessignaux neuronaux <strong>et</strong> le mo<strong>de</strong> <strong>de</strong> stimulation <strong>de</strong> c<strong>et</strong>te technique extracellulaire ne sont plusadaptés avec la <strong>de</strong>scription précise <strong>et</strong> complexe <strong>de</strong> Hodgkin <strong>et</strong> Huxley que nous avonsutilisée. Il est donc nécessaire <strong>de</strong> choisir un autre formalisme <strong>et</strong> <strong>de</strong> définir un nouveau supportpour la partie artificielle <strong>de</strong>s réseaux hybri<strong>de</strong>s utilisant <strong>de</strong>s matrices <strong>de</strong> microélectro<strong>de</strong>s...Que nous exploitions les résultats déjà obtenus ou que nous développions <strong>de</strong> nouvellestechniques, le but ultime <strong>de</strong> ce thème <strong>de</strong> recherche partagé avec <strong>de</strong>s laboratoires <strong>de</strong>neurophysiologie, est la mise en œuvre <strong>de</strong> nouvelles expériences qui perm<strong>et</strong>tront d'allertoujours plus loin dans la compréhension du cerveau.196


Références bibliographiques.REFERENCES BIBLIOGRAPHIQUES.128 références.Les références à <strong>de</strong>s pages web dans c<strong>et</strong>te bibliographie étaient vali<strong>de</strong>s en décembre 2000 à ladate <strong>de</strong> présentation <strong>de</strong> c<strong>et</strong>te thèse.Certaines publications <strong>et</strong> thèses <strong>de</strong> notre équipe sont disponibles sur notre site web :http://www.ixl.u-bor<strong>de</strong>aux.fr/equipes_ixl/conception/neurone/francais/Welcome.html[ABUSLAND 93] ABUSLAND A, LANDE Tor Sverre. Local generation and storage ofreference voltages in CMOS technology using UV-light, Proc. 11th European Conf.Circuit Theory and Design (ECCTD 93), 1993. Vol I. p 281-286.[AKIN 94] AKIN Tayfun, NAJAFI Khalil, SMOKE Richard H, BRADLEY Robert M.A micromachined silicon sieve electro<strong>de</strong> for nerve regenerration applications. IEEEtransactions on biomedical engineering, 1994. Vol 41, n°4. p 305-313.[AKIN 98] AKIN Tayfun, NAJAFI Khalil, BRADLEY Robert M. A wireless implantablemultichannel digital neural recording system for a micromachined sieve electro<strong>de</strong>.IEEE journal of solid-state circuits, 1998. Vol 33, n°1. p 109-118.[ALVADO 99] ALVADO Ludovic. Intégration sur silicium <strong>de</strong> modéles <strong>analogique</strong>s <strong>de</strong><strong>neurones</strong> biologiques en exploitant les propriétés du transistor MOS en régime <strong>de</strong> faibleinversion. Rapport <strong>de</strong> DEA <strong>de</strong> microélectronique, Université <strong>de</strong> Bor<strong>de</strong>aux 1, 1999.[AMS] Austria Mikro System. http://www.ams.co.at.[ANDERSON 97] ANDERSON R M, KERR D R. Evi<strong>de</strong>nce for surface asperity mechanismof conductivity in oxy<strong>de</strong> grown on polycrystalline silicon. Journal of applied physics,1997. Vol 48, n°11. p 4834-4836.[AXON 93] Axon Instruments, Inc. The Axon Gui<strong>de</strong>. Version électronique disponible àhttp://www.axon.com.[BAL 96] BAL Thierry, MCCORMICK David A. What stops synchronizedthalamocortical oscillations ?. Neuron, 1996. Vol 17. p 297-308.[BUCHAN 97a] BUCHAN L William, MURRAY Alan F, REEKIE H Martin. Floatinggate emories for pulse-stream neural n<strong>et</strong>works. Electronics l<strong>et</strong>ters, 1997. Vol 33, n°5.p 397-399.197


Références bibliographiques.[BUCHAN 97b] BUCHAN L William, MURRAY Alan F, REEKIE H Martin. StandardCMOS floating gate memories for non-volatile weight storage in analogue VLSI neuraln<strong>et</strong>work. Proceedings of the institute of mathematics and computer science 15th worldcongress, 1997. Vol 6. p 511-516.[CADENCE] Ca<strong>de</strong>nce. http://www.ca<strong>de</strong>nce.com.[CARLEY 89] CARLEY L Richard. Trimming analog circuits using floating-gate analogMOS memory. IEEE journal of solid-state circuits, 1989. Vol 24, n°6. p 1569-1574.[CAUWENBERGHS 96] CAUWENBERGHS Gert. Analog VLSI long-term dynamicstorage. IEEE International Symposium on <strong>Circuits</strong> and Systems, 1996. Vol. 3.p 334-337[CHAI 96] CHAI Yong-Yoong, JOHNSON Louis G. A 2x2 analog memory implementedwith a special layout injector. IEEE journal of solid-state circuits, 1996. Vol 31, n°6.p 856-859.[CHEN 96] CHEN M. J, HO J. S, HUANG T. H. Depen<strong>de</strong>nce of Current Match on Back-Gate Bias in Weakly Inverted MOS Transistors and Its Mo<strong>de</strong>ling. IEEE journal of solidstatecircuits, 1996. Vol 31, n°2. p 259-262.[CMP] Circuit Multi Proj<strong>et</strong>. http://cmp.imag.fr/.[CNCT 99] Passive Multichannel Recording and Stimulating Electro<strong>de</strong> Arrays. A Catalog ofAvailable Designs, 1999. http://www.engin.umich.edu/facility/cnct/.[CNCT] Center for Neural Communication Technology, University of Michigan.http://www.engin.umich.edu/facility/cnct/.[CNFM] Comité National <strong>de</strong> Formation en Microélectronique. http://www.cnfm.fr/.[CRONIN 87] CRONIN Jane. Mathematical aspects of Hodgkin-Huxley neural theory.Cambridge : university press, 1987. 261p. ISBN 0-521-33482-9.[DE SALVO 98] DE SALVO B, GHIBAUDO G, PANANAKAKIS G, GUILLAUMOTB, CANDELIER P. Data r<strong>et</strong>ention time-to-failure of non-volatile memories.Proceedings of ESSDERC, 1998. p 228-231.[DEGUELLE 98] DEGUELLE W H G. Limitations on the integration of analog filters forfrequencies below 10Hz. IEEE journal of solid-state circuits, 1998. p 131-134.[DEMARSE 00] DEMARSE T, WAGENAAR D, BLAU A, POTTER S. InterfacingNeuronal Cultures to a Computer Generated Virtual World. MEA 2000 MEETING,Allemagne 2000. "abstract" disponible à http://www.nmi.<strong>de</strong>/<strong>de</strong>/news/MEA-Me<strong>et</strong>ing%202000/abstracts%202000/abstracts.htm.198


Références bibliographiques.[DESTEXHE 94] DESTEXHE Alain, MAINEN Zachary F, SEJNOWSKI Terrence J.Synthesis of mo<strong>de</strong>ls for excitable membranes, synaptic transmission andneuromodulation using a common kin<strong>et</strong>ic formalism. Journal of computationalneuroscience, 1994. Vol 1. p 195-231.[DESTEXHE 96] DESTEXHE Alain, BAL Thierry, MCCORMICK David A,SEJNOWSKI Terrence J. Ionic mechanisms un<strong>de</strong>rlying synchronized oscillations andpropagating waves in a mo<strong>de</strong>l of ferr<strong>et</strong> thalamic slices. Journal of neurophysiology,1996. Vol 76, n°3. p 2049-2070.[DIORIO 95] DIORIO Chris, MAHAJAN Sunit, HASLER Paul, MINCH Bradley A,MEAD Carver A. A high resolution non-volatile analog memory cell. Proceedings ofIEEE international symposium on circuits and systems, 1995. Vol 3. p 2233-2236.[DIORIO 96] DIORIO Chris, HASLER Paul, MINCH Bradley A, MEAD Carver A.A single-transistor silicon synapse. IEEE transactions on electron <strong>de</strong>vices, 1996.Vol 43, n°11.[DOUENCE 99] DOUENCE V, LAFLAQUIERE A, LE MASSON S, BAL T, LEMASSON G. Analog electronics system for simulating biological neurons. Proceedingsof IWANN99 in Lecture Notes in Computer Science, 1999. Vol 1606, p187-197.[DOUGLAS 95] DOUGLAS Rodney, MAHOHALD Misha, MEAD Carver.Neuromorphic analogue VLSI. Annu. Rev. Neurosci. 1995. Vol 18. p255-281.[DUPEYRON 98] DUPEYRON Denis. Contribution à l'intégration sur silicium <strong>de</strong> modèles<strong>analogique</strong>s <strong>de</strong> <strong>neurones</strong> biologiques. Thèse en électronique : Université <strong>de</strong> Bor<strong>de</strong>aux1, 1998. Thèse <strong>de</strong> doctorat n°1967.[DUPUIE 90] DUPUIE Scott T, ISMAIL Mohammed. Analog IC <strong>de</strong>sign : a current-mo<strong>de</strong>approach. London, P<strong>et</strong>er Peregrinus Ltd, 1990. 646 p. ISBN 0 86341 215 7. Chapitre 5,High Freqency CMOS transconductors.[EDGLEY] EDGLEY Mark. What is Caenorhabditis elegans and why work on it? Anintroduction for those unfamiliar with 'The Worm'.http://www.biotech.missouri.edu/Dauer-World/Wormintro.html.[ELLIS 82] ELLIS P K. Fowler-Nordheim emission from non-planar surfaces. IEEEelectron <strong>de</strong>vice l<strong>et</strong>ters, 1982. Vol 3, n°11. p 330-332.[ELSON 98] ELSON Robert C, SELVERSTON Allen I, HUERTA Ramon, RULKOVNikolai F, RABINOVICH Mikhail I, ABARBANEL Henry D I. Synchronous199


Références bibliographiques.Behavior of Two Coupled Biological Neurons, 1998 Physical review l<strong>et</strong>ters Vol 81,n° 25.[FORTI 94] FORTI F, WRIGHT M. E. Measurement of MOS Current Mismatch in theWeak Inversion Region. IEEE journal of solid-state circuits, 1994. Vol 29, n°2.p 138-141.[FOTY 96] FOTY Daniel P. Mosf<strong>et</strong> Mo<strong>de</strong>ling With Spice : Principles and Practice. UpperSaddle River : Prentice Hall, 1996. 653 p. Series in Innovative Technology.ISBN 0132279355.[FROMHERZ 93] FROMHERZ P<strong>et</strong>er, MÜLLER Carsten, WEIS Rolf. Neuron Transistor: Electrical Transfer Function Measured by the Patch-Clamp Technique. PhysicalReview L<strong>et</strong>ters, 1993. Vol 71, n°24. p 4079-4082.[FROMHERZ 95] FROMHERZ P<strong>et</strong>er, STETT Alfred. Silicon-Neuron Junction :Capacitive Stimulation of an Individual Neuron on a Silicon Chip. Physical ReviewL<strong>et</strong>ters, 1995. Vol 75, n°8. p 1670-1673.[FROMHERZ 99] FROMHERZ P<strong>et</strong>er. Extracellular recording with transistors and thedistribution of ionic conductances in a cell membrane. Eur. Biophys. J. 1999.p 254-258.[GENESIS] http://www.bbb.caltech.edu/GENESIS/genesis.html.[GILBERT 90] GILBERTBarrie. Analog IC <strong>de</strong>sign : a current-mo<strong>de</strong> approach. London,P<strong>et</strong>er Peregrinus Ltd, 1990. 646 p. ISBN 0 86341 215 7. Chapitre 2, current-mo<strong>de</strong>circuits from a translinear viewpoint : a tutorial.[GRAY 95] GRAY Paul R, MEYER Robert G. Analog integrated circuits - third edition.New-York : John Wiley & Sons, 1995. 816 p. ISBN 0471574953.[HAMMOND 90] HAMMOND Constance, TRITSCH Danièle. Neurobiologie cellulaire.Paris : Doin éditeur, 1990. 631p. ISBN 2-7040-0623-7.[HAN 98] HAN Gunhee, SANCHEZ-SINENCIO Edgar. CMOS transconductancemultiplier : a tutorial. IEEE transactions on circuits and systems II, 1998. Vol 45, n°12.p 1550-1563.[HARRISON 98] HARRISON Reid R, HASLER Paul, MINCH Bradley A. Floating-gateCMOS Analog memory cell array. Proceedings of IEEE international symposium oncircuits and systems, 1998. Vol 2. p 204-207.[HASLER 95] HASLER Paul, DIORIO Chris, MINCH Bradley A, MEAD Carver A.Single transistor learning synapse with long term storage. Proceedings of ISCAS, 1995.200


Références bibliographiques.[HASLER 96] HASLER Paul, MINCH Bradley A, DIORIO Chris, MEAD Carver A. Anautozeroing amplifier using PFET hot-electron injection. Proceedings of ISCAS, 1996.[HASLER] HASLER Paul. Impact Ionization and Hot-Electron Injection in MOSFETsDerived Consistently from Boltzman Transport Chapter 2, PH. D. These disponible àhttp://www.pcmp.caltech.edu/anaprose/paul/.[HINDMARSH 82] HINDMARSH J L, ROSE R M. A mo<strong>de</strong>l of the nerve impulse usingtwo first-or<strong>de</strong>r differential equations. Nature, 1982. Vol 296. p 162-164.[HODGKIN 52a] HODGKIN A L, HUXLEY A F. A quantitative <strong>de</strong>scription of membranecurrent and its application to conduction and excitation nerve. Journal of physiology,1952. Vol 117. p 500/544.[HOLLER 89] HOLLER Mark, TAM Simon, CASTRO Hernan, BENSON Ronald. Anelectrically trainable artificial neural n<strong>et</strong>work (ETANN) with 10240 "floating gates"synapses. IJCNN Int, Joint, Conf, Neural N<strong>et</strong>work, 1989. Vol 2. p 191-196.[ISD] Integrated System Design. http://www.isd.com.[ISMAIL 94] ISMAIL Mohammed, FIEZ Terri (Editor). Analog vlsi : Signal andInformation Processing New York, McGraw Hill Inc, 1994. 741 p. ISBN 0070323860[ITO 94] ITO Masao. La plasticité <strong>de</strong>s synapses. La recherche, 1994. Vol 25, n°267.p 778-785.[JI 92] JI Jin, WISE Kensall D. An implantable CMOS Circuit Interface for multiplexedMicroelectro<strong>de</strong> recording arrays. IEEE journal of solid-state circuits, 1992. Vol 27,n°3. p 433-443.[JOHANSSON 98] JOHANSSON Henrik O, SVENSSON Christer. Time resolution ofNMOS sampling switches used on low-swing signals. IEEE journal of solid-statecircuits, 1998. Vol 33, n°2. p 237-244.[KAHNG 67] KAHNG D, SZE S M. A floating gate and its application to memory <strong>de</strong>vices.Bell Syst. Tech. J, 1967. Vol 46, n°1283.[KERNS 91] KERNS Douglas A, TANNER John E, SIVILOTTI Massimo A. CMOS UVwritablenon-volatile analog storage. Advanced research on VLSI; Proccedings of the1991 University of Califronia/Santa Cruz conference, 1991. p 245-261.[KIM 96] KIM Changhyun, WISE Kensall D. A 64-site multishank CMOS low-profileneural stimulating probe. IEEE journal of solid-state circuits, 1996. Vol 31, n°9.p 1230-1238.201


Références bibliographiques.[KOCH 88] KOCH Christof, SEGEV Idan. M<strong>et</strong>hods in neuronal mo<strong>de</strong>ling. 2 nd editionCambridge, MA : MIT Press, 1988. Koch and Segev, editors. 526p.ISBN 0-262-11133-0.[KOCH 99] KOCH Christof. Biophysics of Computation : Information Processing in SingleNeurons. New York : Oxford University Press, 1999. 562p. ISBN 0195104919.[KOESTLER 59] KOESTLER A. Les somnambules Calmann-Levy, 1959.[KRUGER 96] KRUGER W Fritz, HASLER Paul, MINCH Bradley A, KOCH Christof.An adaptative WTA using floating gate technology. Proceedings of NIPS, 1996.[LAFLAQUIERE 97a] LAFLAQUIERE Arnaud, LE MASSON Sylvie, DUPEYRONDenis, LE MASSON Gwendal. Analog circuits emulating biological neurons in realtimeexperiments. Proceedings of IEEE EMBS, 1997.[LAFLAQUIERE 97b] LAFLAQUIERE Arnaud, LE MASSON Sylvie, DOM Jean-Paul,LE MASSON Gwendal. Accurate analog VLSI mo<strong>de</strong>l of calcium-<strong>de</strong>pen<strong>de</strong>nt burstingneurons. Proceedings of ICNN, 1997. Vol II. p 882-887.[LAFLAQUIERE 98] LAFLAQUIERE Arnaud. Neurones artificiels sur silicium :conception <strong>analogique</strong> <strong>et</strong> construction <strong>de</strong> réseaux hybri<strong>de</strong>s. Thèse en électronique :Université <strong>de</strong> Bor<strong>de</strong>aux 1, 1998. Thèse <strong>de</strong> doctorat n°1965.[LANDE 96] LANDE Tor Sverre, RANJBAR Hassan, ISMAIL Mohammed, BERGYngvar. An analog floating-gate memory in a standard digital technology. Proceedingsof microneuro, 1996. p 271-276.[LAZZARO 94] LAZZARO John, WAWRZYNEK John, KRAMER Alan. Systemstechnologies for silicon auditory mo<strong>de</strong>ls. IEEE micro, 1994. Vol 14, n°3. p 7-15.[LE MASSON 95] LE MASSON Gwendal, LE MASSON Sylvie, MOULINS Maurice.From conductances to neural n<strong>et</strong>work properties : analysis of simple circuits using thehybrid n<strong>et</strong>work m<strong>et</strong>hod, 1995 Prog. Biophys. molec. Biol. Vol 64, n°2/3. p 201-220.[LE MASSON 98a] LE MASSON Gwendal. Stabilité fonctionnelle <strong>de</strong>s réseaux <strong>de</strong> <strong>neurones</strong>: étu<strong>de</strong> expérimentale <strong>et</strong> théorique dans le cas d'un réseau simple. Thèse enneurosciences <strong>et</strong> pharmacologie : Université Bor<strong>de</strong>aux 1, 1998. Thèse <strong>de</strong> doctoratn°1801.[LE MASSON 98b] LE MASSON Gwendal, LAFLAQUIERE Arnaud, BAL Thierry, LEMASSON Sylvie. Dialogues entre <strong>neurones</strong> biologiques <strong>et</strong> artificiels. La Recherche,1998. n°314. p. 34-37.202


Références bibliographiques.[LE MASSON 99a] LE MASSON S, LAFLAQUIERE A, BAL T, LE MASSON G.Analog circuits for mo<strong>de</strong>ling biological n<strong>et</strong>works : <strong>de</strong>sign and applications. IEEEtransactions on biomedical engineering, 1999 Vol 46, n°6. p 638-645.[LE MASSON 99b] LE MASSON Gwendal, LE MASSON Sylvie, BARBE E, BALThierry. Exploring thalamic signal filtering associated with sleep using hybridn<strong>et</strong>works Soci<strong>et</strong>y for Neuroscience, 29th annual me<strong>et</strong>ing, Miami Beach, Florida, Nov.1999 Poster.[LE MASSON 00] LE MASSON Gwendal, LE MASSON Sylvie, BAL Thierry. Sensoryinformation processing through the thalamus : insight from canonical circuitreconstruction using hybrid n<strong>et</strong>work techniques Article en préparation, septembre 2000.[LENZLINGER 69] LENZLINGER M, SNOW E H. Fowler-Nordheim tunneling intothermally grown sio2. Journal of applied physics, 1969. Vol 40, n°1. p 278-283.[LIM 91] LIM P<strong>et</strong>er J, WOOLEY Bruce A. A high-speed sample-and-hold technique usinga miller hold capacitance. IEEE journal of solid-state circuits, 1991. Vol 26, n°4.p 643-651.[LINARES-BARRANCO 91] LINARES-BARRANCO Bernabé, SANCHEZ-SINENCIOEdgar, RODRIGUEZ-VAZQUEZ Angel, HUERTAS José L. A CMOSimplementation of fitzhugh-Nagumo neuron mo<strong>de</strong>l. IEEE journal of solid-state circuits,1991. Vol 26. p 956-965.[LLINAS 88] LLINAS Rodolfo R. The intrinsic electrophysiological properties ofmammalian neurons : insights into central nervous system function. Science, 1988.Vol 242. p 1654-1663.[MAAS 99] MAASS Wolfgang, BISHOP Christopher M. Pulsed neural n<strong>et</strong>works.Cambridge, Ma : MIT Press, 1999. 377p. ISBN 0-262-13350-4.[MAGEE 98] MAGEE JC, HOFFMAN D, COLBERT C, JOHNSTON D. Electrical andcalcium signalling in <strong>de</strong>ndrites of hippocampal pyramidal neurons. Annual Rev.Physiol. 1998. Vol 60. p 327-346.[MAHER 89] MAHER Mary Ann C, DEWEERTH Stephen P, MAHOWALD Misha A.Implementing neural architectures using analog VLSI circuits. IEEE transactions oncircuits and systems, 1989. Vol 36, n°5. p 643-652.[MAHOWALD 91] MAHOWALD Misha A, DOUGLAS Rodney J. A silicon neuron.Nature, 1991. Vol 354. p 515-518.203


Références bibliographiques.[MANN 88] MANN James R, GILBERT Sheldon. An Analog Self-Organizing NeuralN<strong>et</strong>work Chip. Proceedings of NIPS'88, Denver, CO. p 739-747.[MANN 90] MANN J R. Floating gate circuits in MOSIS. MIT technical report, 1990.[MARDER 94] MARDER Eve, ABBOTT L F, O'NEIL Michael B, LE MASSON Sylvie,SHARP Andrew A. Biological simulators : computer modification of neuronalconductances and formation of novel n<strong>et</strong>works. Enabling technologies for culturedneural n<strong>et</strong>work. San Diego : Aca<strong>de</strong>mic Press, 1994.[MATHIEU 90] MATHIEU H. Physique <strong>de</strong>s semiconducteurs <strong>et</strong> <strong>de</strong>s composantsélectroniques - 2e édition. Paris : Masson, 1990. ISBN 2-225-82200-X.[McCORMICK 97] MCCORMICK David A, BAL Thierry. Sleep and arousal :thalamocortical mechanisms. Annu. Rev. Neuroscience, 1997. Vol 20. p 185-215.[MEA] Multichannel Systems. http://www.multichannelsystems.com.[MEAD 89] MEAD Carver. Analog VLSI and Neural Systems. Addison-Wesley, 1989. 371p.ISBN 0-201-05992-4.[MEUNIER 92] MEUNIER Clau<strong>de</strong>. Two and three dimensional reductions of the Hodgkin-Huxley system : separation of time scales and bifurcation schemes. Biologicalcybern<strong>et</strong>ics, 1992. p 461-468.[MONTALVO 97] MONTALVO Antonio J, GYURCSIK Ronald S, PAULOS John J. Ananalog VLSI neural n<strong>et</strong>work with on-chip perturbation learning. IEEE journal of solidstatecircuits, 1997. Vol 32, n°4. p 535-543.[MURRAY 89] MURRAY Alan F. Pulse arithm<strong>et</strong>ic in VLSI neural n<strong>et</strong>works. IEEE micro,1989. p 64-74.[MURRAY 98] MURRAY Alan F, BUCHAN L William. A user's gui<strong>de</strong> to non-volatile,on-chip analogue memory. Electronics & communication engineering journal, 1998.Vol 10, n°2. p 53-63.[MURRAY 99] MURRAY Alan F, WOODBURN Robin. The prospects for analogueneural VLSI. Internationnal Journal of Neural Systems, 1999.[NEURON] http://www.neuron.yale.edu/ <strong>et</strong> http://neuron.duke.edu/.[NOULLET 95] NOULLET Jean-Louis, TOURNIER Eric, FERREIRA Ana. Analognon-volatile memory cells for use in asics. First Ibero American MicroelectronicsConference X'SBMicro I'IberMicro, Canela (Brazil), 1995.204


Références bibliographiques.[OHSAKI 94] OHSAKI Katsuhiko, ASAMOTO Noriaki, TAKAGAKI Shunichi. A singlepoly EEPROM cell structure for use in standard CMOS processes. IEEE journal ofsolid-state circuits, 1994. Vol 29, n°3. p 311-316.[PARK 98] PARK Young-Bog, SCHRODER Di<strong>et</strong>er K. Degradation of thin tunnel gateoxi<strong>de</strong> un<strong>de</strong>r constant stress for a flash eeprom. IEEE transactions on electron <strong>de</strong>vices,1998. Vol 45, n°6. p 1361-1368.[PATEL 97] PATEL G N, DEWEERTH Stephen P. Analogue VLSI Morris-Lecar neuron.Electronics l<strong>et</strong>ters, 1997. Vol 33, n°12. p 997-998.[PAVASOVIC 94] PAVASOVIC A, ANDREOU A. G, WESTGATE C. R.Characterization of Subthreshold MOS Mismatch in Transistors for VLSI Systems.Analog Integrated <strong>Circuits</strong> and Signal Processing, 1994. p 75-85.[PEASE 93] PEASE Robert A. Troubleshooting analog circuits. Boston : Butterwoth-Heinemann, 1993. 217p. ISBN 0-7506-9499-8.[POTTER 97] POTTER S M, FRASER S E, PINE J. Animat in a P<strong>et</strong>ri Dish: CulturedNeural N<strong>et</strong>works for Studying Neural Computation. Proc. 4th Joint Symposium onNeural Computation, 1997. p167-174.[PRINCE 91] PRINCE B<strong>et</strong>ty. Semiconductor memories – 2nd edition . Chichester : JohnWiley & sons, 1991. 802p. ISBN 0-471-92465-2.[QUB] The QuB Suite : Single Channel Kin<strong>et</strong>ic Analysis Ma<strong>de</strong> Easy. Tutorial 1999http://www.qub.buffalo.edu.[RENAUD-LE MASSON 93] RENAUD-LE MASSON S, LE MASSON G, MARDER E,ABBOTT LF. Hybrid circuits of interacting computer mo<strong>de</strong>l and biological neurons.Neural Information Processing Systems 5, San Mateo, California, Morgan KaufmannPublishers, 1993. p813-819.[RIEKE 96] RIEKE Fred, WARLAND David, VAN STEVENINCK Rob De Ruyter,BIALEK William. Spikes : Exploring the Neural Co<strong>de</strong>. MIT press, 1996. 395 p.Computational Neuroscience Series. ISBN 0262181746.[ROSSETO 91] ROSSETTO Olivier. Un système intégré neuromimétique <strong>analogique</strong>modulaire. Thèse en électronique : Institut national polytechnique <strong>de</strong> Grenoble, 1991.[SALEFRAN 98] SALEFRAN Marie-Nathalie. Gestion <strong>de</strong>s paramètres d'un ASIC<strong>analogique</strong> modélisant un neurone biologique. Rapport <strong>de</strong> DEA <strong>de</strong> microélectronique,Université <strong>de</strong> Bor<strong>de</strong>aux 1, 1998.205


Références bibliographiques.[SARPESHKAR 96] SARPESHKAR Rahul, LYON Richard F, MEAD Carver A.Nonvolatile correction of q-offs<strong>et</strong>s and instabilities in cochlear filters. IEEE conferenceon circuits and systems, 1996.[SATYANARAYANA 92] SATYANARAYANA S, TSIVIDIS Y P, GRAF H. P. AReconfigurable VLSI Neural N<strong>et</strong>work. IEEE journal of solid-state circuits, 1992.Vol 27, n°1. p 67-81.[SEDRA 90] SEDRA A<strong>de</strong>l S, ROBERTS Gordon W. Analog IC <strong>de</strong>sign : a current-mo<strong>de</strong>approach. London, P<strong>et</strong>er Peregrinus Ltd, 1990. 646 p. ISBN 0 86341 215 7. Chapitre 3,Current conveyor theory and practice.[SHARP 93] SHARP Andrew A, O'NEIL Michael B, ABBOTT L F, MARDER Eve. Thedynamic clamp : artificial conductances in biological neurrons. Trends inneurosciences, 1993. Vol 16, n°10. p 389-394.[STERIADE 97] STERIADE M, JONES E. G. McCORMICK D. A. Thalamus.Amsterdam : Elsevier science, 1997. Vol II, chapitre 7, Synchronized oscillations inthalamic n<strong>et</strong>works : insights from mo<strong>de</strong>ling studies. p 331-371.[STETT 97] STETT Alfred, MÜLLER Bernt, FROMHERZ P<strong>et</strong>er. Two-way siliconneuroninterface by electrical induction. Physical Review E, 1997. Vol 55, n°2.p 1779-1782.[STEYAERT 91] STEYAERT M, KINGET P<strong>et</strong>er, SANSEN Willy M C, VAN DERSPIEGEL Jan. Full integration of extremely large time constants in CMOS.Electronics L<strong>et</strong>ters, 1991. Vol 27, n°10. p 790-791.[SZE 81] SZE S M. Physics od semiconducto <strong>de</strong>vices - 2nd edition. John Wiley & Sons,1981. 880 p. ISBN 0471056618.[SZUCS 00] SZUCS Attila, VARONA Pablo, VOLKOVSKII Alexan<strong>de</strong>r R,ABARBANEL Henry D I, RABINOVICH Mikhail I, SELVERSTON Allen I.Interacting biological and electronic neurons generate realistic oscillatory rhythmsComputational neuroscience NeuroReport, 2000. Vol 11 No 3.[TOUMAZOU 90] TOUMAZOU C, LIDGAY FG, HAIGH DG (Ed). Analog IC <strong>de</strong>sign :a current-mo<strong>de</strong> approach. London, P<strong>et</strong>er Peregrinus Ltd, 1990. 646 p.ISBN 0 86341 215 7[TSIVIDIS 94] TSIVIDIS Y P, SUYAMA K. MOSFET Mo<strong>de</strong>ling for Analog Circuit CAD :Problems and Prospects. IEEE journal of solid-state circuits, 1994. Vol 29, n°3.p 210-215.206


Références bibliographiques.[TSIVIDIS 99] TSIVIDIS Yannis. Operation and mo<strong>de</strong>ling of the MOS transistor -secon<strong>de</strong>dition. New York, McGrall-Hill, 1999. 620 p. ISBN 0-07-065523-5.[VAN DER SPIEGEL 92] VAN DER SPIEGEL Jan, MUELLER Paul, BLACKMANDavid, CHANCE P<strong>et</strong>er, DONHAM Christopher, ETIENNE-CUMMINGS Ralph,KINGET P<strong>et</strong>er. An analog neural computer with modular architecture for real-timedynamic computations. IEEE journal of solid-state circuits, 1992. Vol 27, n°1. p 82-92.[VITTOZ 77] VITTOZ Eric A, FELLRATH J. CMOS Analog Integrated <strong>Circuits</strong> Based onWeak Inversion Operation. IEEE journal of solid-state circuits, 1977. Vol SC-12, n°3.p 224-231.[VITTOZ 85] VITTOZ Eric A. The Design of High-Performance Analog <strong>Circuits</strong> on DigitalCMOS Chips. IEEE journal of solid-state circuits, 1985. Vol 20, n°3. p 657-665.[WEGMANN 87] WEGMANN George, VITTOZ Eric A, RAHALI Fouad. Chargeinjection in analog MOS switches. IEEE journal of solid-state circuits, 1987. Vol 22,n°6. p 1091-1097.[WIEGERINK 89] WIEGERINK Remco J, SEEVINCK Evert, DE JAGER Wim. Offs<strong>et</strong>cancelling circuit. IEEE journal of solid-state circuits, 1989. Vol 24, n°03. p 651-658.[WILSON 85] WILSON William B, MASSOUD Hisham Z, SWANSON Eric J,GEORGE Rh<strong>et</strong>t T, FAIR Richard B. Measurement and mo<strong>de</strong>ling of chargefeedthrough in n-channel MOS analog switches. IEEE journal of solid-state circuits,1985. Vol 20, n°6. p 1206-1213.[YAROM 91] YAROM Y. Rhythmogenesis in a hybrid system interconnecting an olivaryneuron to an analog n<strong>et</strong>work of coupled oscillators. Neuroscience, 1991. n°44.p263-275.207


208Références bibliographiques.


AnnexesANNEXESAnnexe A : procédé <strong>de</strong> fabrication <strong>de</strong> la technologie AMS BiCMOS 0,8 µm.......................211Annexe B : organisation <strong>de</strong> la RAM <strong>de</strong> données pour le circuit "fpca-r"...............................213Annexe C : brochages <strong>de</strong>s différents ASICS. .........................................................................215Annexe D : brochages <strong>de</strong>s connecteurs du banc <strong>de</strong> simulation <strong>analogique</strong>............................217Annexe E : carte "ERNEST". .................................................................................................219209


210Annexes


Annexe A : procédé <strong>de</strong> fabrication <strong>de</strong> la technologie AMS BiCMOS 0,8 µmANNEXE APROCEDE DE FABRICATION DE LA TECHNOLOGIE AMSBICMOS 0,8 µMLa société AMS a développé le procédé technologique BiCMOS 0,8 m à partir <strong>de</strong> sonprocédé CMOS 0,8 m. La modification tient à l'ajout d'un certain nombre d'étapestechnologiques perm<strong>et</strong>tant la réalisation d'éléments nécessaires à l'électronique <strong>analogique</strong>,transistors bipolaires <strong>et</strong> con<strong>de</strong>nsateurs linéaires à armatures en polysilicium.La vue en coupe schématique ci-<strong>de</strong>ssous illustre les éléments <strong>de</strong> c<strong>et</strong>te technologie en faisantapparaître ses différentes couches.Substrat Pcollecteur base ém<strong>et</strong>teur source grille drain source grille drainBPSGP+ N- poly2 poly1N+ N- P+ P-N+puits Npuits Pcouche enterrée N+couche enterrée Poxy<strong>de</strong> <strong>de</strong> champNPN vertical NMOS PMOS con<strong>de</strong>nsateurpoly2poly1puits NFigure A-1 : vue en coupe schématique pour le procédé technologique AMS BiCMOS 0,8 m.Les différentes étapes technologiques sont les suivantes :1. Diffusion <strong>de</strong>s couches enterrées N <strong>et</strong> P dans un substrat P. Ces couches enterréesperm<strong>et</strong>tent <strong>de</strong> diminuer les résistances d'accès <strong>de</strong> collecteur <strong>de</strong>s transistors bipolairesNPN, <strong>et</strong> <strong>de</strong> limiter les risques <strong>de</strong> latch-up dus aux thyristors parasites <strong>de</strong>s transistors MOSen dégradant le gain <strong>de</strong>s transistors bipolaires parasites verticaux.2. Croissance <strong>de</strong> la couche épitaxiale N où seront implantées les structures actives.3. Formation <strong>de</strong>s puits N <strong>et</strong> P.4. Isolation <strong>de</strong>s éléments par oxy<strong>de</strong> <strong>de</strong> champ.5. Formation <strong>de</strong>s collecteurs.6. Oxy<strong>de</strong> <strong>de</strong> grille.7. Dépôt du silicium polycristallin (Poly 1) qui est utilisé pour les grilles <strong>de</strong>s transistorsMOS <strong>et</strong> les transistors PNP latéraux, les résistances <strong>de</strong> faible valeur <strong>et</strong> les capacitésPoly 1 / Poly2.211


Annexe A : procédé <strong>de</strong> fabrication <strong>de</strong> la technologie AMS BiCMOS 0,8 µm8. Implantation <strong>de</strong>s zones LDD (Lightly Doped Drain) <strong>de</strong>s drains <strong>et</strong> sources qui réduisent lechamp électrique à proximité du drain.9. Implantation <strong>de</strong> type N + , pour former les drains/sources <strong>de</strong>s transistors NMOS, les bases<strong>de</strong>s PNP <strong>et</strong> les contacts <strong>de</strong> polarisation <strong>de</strong>s puits N.10. Implantation P + , pour les drains/sources <strong>de</strong>s transistors PMOS, les bases <strong>de</strong>s transistorsNPN, les collecteurs <strong>et</strong> ém<strong>et</strong>teurs <strong>de</strong>s PNP, les résistances <strong>de</strong> type P <strong>et</strong> les contacts <strong>de</strong>polarisation du substrat.11. Implantation P - , utilisée essentiellement pour la base intrinsèque <strong>de</strong>s transistors NPN.12. Dépôt du polysilicium Poly2 utilisé pour les résistances <strong>de</strong> moyenne <strong>et</strong> gran<strong>de</strong> valeurs, lescapacités Poly 1 / Poly2 ainsi que dans les ém<strong>et</strong>teurs <strong>de</strong>s transistors NPN.13. Isolation BPSG (Boro Phospho Silicate Glass).14. Ouvertures dans l'oxy<strong>de</strong> <strong>de</strong>s prises <strong>de</strong> contacts.15. Dépôt du métal 1, pour le premier niveau <strong>de</strong> métallisation.16. Ouverture <strong>de</strong>s vias, pour les contacts entre le métal 1 <strong>et</strong> le métal 2, qui sont en fait <strong>de</strong>souvertures dans le matériau isolant entre les <strong>de</strong>ux métallisations.17. Dépôt du métal 2, perm<strong>et</strong>tant un <strong>de</strong>uxième niveau <strong>de</strong> connexions.18. La passivation, par un nitrure <strong>de</strong> silicium recouvre toute la surface <strong>de</strong> la puce hormis lesplots.Compte tenu <strong>de</strong>s différentes étapes technologiques, ce procédé nécessite un minimum <strong>de</strong> 16masques.212


Annexe B : organisation <strong>de</strong> la RAM <strong>de</strong> données pour le circuit fpca-rANNEXE BORGANISATION DE LA RAM DE DONNEES POUR LECIRCUIT "FPCA-R".Ordre <strong>de</strong>s données <strong>de</strong> paramètres :Na E equiNa G mNa Tau hNa Tau mNa V pentehNa V pentemNa V seuilhNa V seuilmNa1 para-18 para-17 para-13 para-16 para-32 para-14 para-33 para-152 para-12 para-20 para-24 para-21 para-26 para-23 para-25 para-22K E equiK G mK Tau nK V pentenK V seuilnK1 para-31 para-30 para-29 para-27 para-282 para-34 para-35 para-36 para-38 para-37Ca E equiCa G mCa Tau hCa Tau mCa V pentehCa V pentemCa V seuilhCa V seuilmCa1 para-61 para-60 para-56 para-59 para-75 para-57 para-55 para-582 para-53 para-54 para-65 para-62 para-67 para-64 para-66 para-63KCa E equiKCa G mKCa K Tau bKCa Tau nKCa V pentenKCa V seuilnKCa1 para-47 para-46 para-44 para-43 para-45 para-41 para-422 para-39 para-40 para-49 para-50 para-48 para-52 para-51Fuite E Fuite G Fuite1 para-2 para-12 para-4 para-33 para-8 para-94 para-6 para-7Synapse E equiSyn G mSyn Tau nSyn V pentenSyn V seuilnSyn1 para-70 para-71 para-72 para-74 para-732 para-69 para-88 para-87 para-85 para-863 para-79 para-78 para-77 para-68 para-764 para-80 para-81 para-82 para-90 para-89I Comp Comp G Comp1 para-0 para-192 para-84 para-833 para-10 para-114 para-91 para-92I StimG Stim1 para-5213


Annexe B : organisation <strong>de</strong> la RAM <strong>de</strong> données pour le circuit fpca-rOrdre <strong>de</strong>s données <strong>de</strong> topologie :1 Synapse 4 Vpre 13 Synapse 2 Vpre2 Synapse 4 Vpost 14 Synapse 2 Vpost3 Compensation 4 15 Compensation 24 Synapse 3 Vpre 16 Synapse 1 Vpre5 Synapse 3 Vpost 17 Synapse 1 Vpost6 Conductance Ca 2 18 Conductance Ca17 Conductance K(Ca)2 19 Conductance K(Ca)18 Conductance K2 20 Conductance K19 Conductance Na2 21 Conductance Na110 Conductance fuite2 22 Conductance fuite211 Conductance fuite3 23 Conductance fuite112 Compensation 3 24 Compensation 125 StimulationLa donnée <strong>de</strong> topologie numéro 1 est la première présentée à l'entrée du registre à décalage,après chargement compl<strong>et</strong>, elle se r<strong>et</strong>rouve dans la <strong>de</strong>rnière cellule.Chaque donnée est codée sur trois bits, la numérotation du bus <strong>analogique</strong> correspond àl'arrangement où le bit <strong>de</strong> poids faible (LSB) est chargé en premier.214


Annexe C : brochages <strong>de</strong>s différents ASICsANNEXE CBROCHAGES DES DIFFERENTS ASICS."Ernest" : boîtier JLCC44.0 V 7 39 +5 VCaconst 838 Ca_vmemKCa_CT 937 Ca_ofmKCa_regcapa 1036 Ca_slmKCa_gm 1135 Ca_cmCa_gmS_reg12133433Ca_ofhCa_slhCa_regcapa 1432 Ca_chCa_CT 1531 Ca_eq-5 V ERNEST1630 Ca_vmulNC 1729 NCNCNCNC[Ca]_inKCa_out10KCa_out1Ca_out10Ca_out1-1Ca_out1-2NCNC1819202122444323242542262728NCNCNC[Ca]_capaKCa_ofmKCa_slmKCa_cmKCa_eqKCa_vmemNC41NC654340210 Vsyn_slmsyn_cmsyn_vmulsyn_eqIT_gmsyn_gm-5 VNCsyn_out1syn_out10IT_out10IT_out1NCNCsyn_ofmsyn_vmemIT_ofhIT_vmemNC+5 V625IT_slh524IT_ch782322IT_ofmIT_slm921IT_cm1020IT_eq1119IT_vmul121314151617184321282726"Ernest Junior" : boîtier JLCC28.ERNEST JUNIOR"Casimir" : boîtier DIP16.0VX1Y1Z1X2Y2Z2123456716151413121110-5VZ4Y4X4Z3Y3X3+5V 8 CASIMIR 9 NCstim_inKCa_ofm0 VKCa_slmKCa_cmCa_capaCa_constKCa_gmKCa_eqKCa_out1KCa_out2Ca_slhCa_ofhK_ofmK_slmK_cmK_gmK_eqK_out2K_out110 VNa_gmstim_res7 39NaStimComp_outcomp_in838Na_out2comp_res9375 VCa_gm1036Na_eqCa_out2Ca_out1Ca_eq111213353433Na_cmNa_slmNa_ofmCa_cm1432Na_chCa_slmCa_ofm15163130Na_slhNa_ofhCa_ch1729vmem18192021222324252627286543214443424140"Susie" : boitier JLCC44.SUSIE215


Annexe C : brochages <strong>de</strong>s différents ASICsCa_chCa_ofmCa_slmCa_slhCa_ofhK_ofmK_slmK_cmK_gmK_eqK_out2K_out1+5 V"Calvin" : boitier JLCC52.Ca_cmCa_eqCa_out1Ca_out2Ca_gmSyn_ofmSyn_slmSyn_cmSyn_gmSyn_eqvmem_postSyn_outcomp_res891011121314151617181920212223242552CALVIN26272829513050Na_gmvmemNa_ofhNa_slhNa_chNa_ofmNa_slmNa_cmNa_eqNa_out1Na_out2StimComp_out0 V-5 Vcomp_instim_resstim_inKCa_ofmKCa_cmKCa_slmCa_capaKCa_gmCa_constKCa_eqKCa_out1KCa_out2765432314932484733146454443424140393837363534Na_cmCa_capaK_cmVmem10 VStim_inStim_resComp_resI_outVprog1Vprog2VlectVoutVleak1 282 273 264255 246 237 228 219 2010 1911 1812ANNIE1713 1614 15Na_ch0VNextCptenRes<strong>et</strong>ClkStart1Start2RaztestDectest-TestswitchCpttest5VVrefCtest"Annie" : boîtier DIP28."Babar" : boîtier DIP28.VbootmVtunnelmVmembrCKmINaKCNamCNahVrefcompMuxOutcompOutalex10VValmVoffs<strong>et</strong>1 282 273 264255 246 237 228 219 2010 1911 1812 17BABAR13 1614 15OutmReadbm5VEnmuxbRbW-BUpDownb-AgndA2A1A0InitClockVmediumVhightaunnSyn2taunSyn1tauhCa1taumCa1BCapa1taunK[Ca]1taunK1tauhNa1taumNa1stimin(0V num ) gnddclk(0V ana) gnda85251504946(5V ana) vdda945res<strong>et</strong>bias21044start1memb[7]memb[6]memb[5]memb[4]1112131443424140start2nextramselect3Scpten3Smemb[3]1539tsinmemb[2]1638sclktest*memb[1]1737interentest*memb[0]1836tsout*bias1(2.5v ana) vddd1920FPCA-R3534vdd (5V num)taunnSyn4taunSyn3tauhCa2taumCa2BCapa2taunK[Ca]2taunK2tauhNa2taumNa2vrefpara[76]*2122232425262728293031323376543214847"Fpca-r" : boîtier JLCC52.216


Annexe D : brochages <strong>de</strong>s connecteurs du banc <strong>de</strong> simulation <strong>analogique</strong>ANNEXE DBROCHAGES DES CONNECTEURS DU BANC DESIMULATION ANALOGIQUE.côté face arrièreM0 1 26 M0_2 M0 ACH0 1 26 ACH1 M1M1 2 27 M1_2 M2 ACH2 2 27 ACH3 M3M2 3 28 M2_2 M4 ACH4 3 28 ACH5 M5M3 4 29 M3_2 M6 ACH6 4 29 ACH7 M7M4 5 30 M0_3 GND AIGND 5 30 DAC0M5 6 31 M1_3 GND AGND 6 31 DAC1M6 7 32 M2_3 GND DGND1 7 32 PA0 AttnM7 8 33 M3_3 Valid PA1 8 33 PA2 DataM0_1 9 34 M4_3 Shdn PA3 9 34 PA4M1_1 10 35 M5_3 PA5 10 35 PA6M2_1 11 36 M6_3 PA7 11 36 PB0 DigoutM3_1 12 37 M7_3 PB1 12 37 PB2M4_1 13 38 M0_4 PB3 13 38 PB4M5_1 14 39 M1_4 PB5 14 39 PB6M6_1 15 40 M2_4 PB7 15 40 PC0Attn 16 41 M3_4 PC1 16 41 PC2Valid 17 42 +5 V PC3 17 42 PC4Data 18 43 0 V PC5 18 43 PC6Vprog 19 44 -5 V PC7 19 44 EXTRIGVref 20 45 Digout EXTUP 20 45 EXTCONVM7_1 21 46 M4_4 Clk2 OUTBO 21 46 GATB0M4_2 22 47 M5_4 OUTB1 22 47 GATB1M5_2 23 48 M6_4 CLKB1 23 48 OUTB2M6_2 24 49 M7_4 GATB2 24 49 CLKB2M7_2 25 50 Clk2 +5 V 25 50 DGND GNDcôté face avantConnecteur fond <strong>de</strong> panierVortex, vue <strong>de</strong> <strong>de</strong>ssusConnecteur PCI1200, type HE10.217


218Annexe D : brochages <strong>de</strong>s connecteurs du banc <strong>de</strong> simulation <strong>analogique</strong>


Annexe E : carte "ernest"ANNEXE ECARTE "ERNEST".C<strong>et</strong>te carte ainsi que les circuits <strong>analogique</strong>s qu'elle comporte ont été réalisés par ArnaudLaflaquière. Nous avons inclus ce document en annexe pour fournir les caractéristiquesindispensables à son utilisation avec le simulateur "vortex".Equations modélisées par les différents ASICs : "Ernest" comporte <strong>de</strong>ux conductances C 1 <strong>et</strong> C 2 qui répon<strong>de</strong>nt aux équations ci-<strong>de</strong>ssous :13ICgm h (V E )1 C 1memC1 [Ca]regC CT1 C 11expS14ICgn (V E )2 C 2memC2 CTC[Ca]2 regC 21expSDe plus, la forme asymptotique <strong>de</strong> l’activation <strong>de</strong> la conductance C 2 comporte un termeimplémentant la calcium dépendance :mC 2[Ca]1 K [Ca] Vmem V1exp PenteLes concentrations calciques [Ca], [Ca] regC1 <strong>et</strong> [Ca] regC2 sont calculées indépendammentpar intégration du courant I C1 avec <strong>de</strong>s constantes <strong>de</strong> temps différentes."Ernest Junior" est plus simple, il ne comporte que <strong>de</strong>ux conductances C 1 <strong>et</strong> C 2 sans lestermes <strong>de</strong> régulation <strong>et</strong> <strong>de</strong> dépendance calcique :I 3C g m h (V E )1 C memC 11I C g n (V E )2 C memC 22seuilLe circuit "casimir" est une quadruple source <strong>de</strong> courant commandée en tension, le gaintension/courant est fixé par une résistance externe. Deux sources sont utilisées parmembrane, l’une pour le courant <strong>de</strong> compensation (I comp ), l’autre pour un courant <strong>de</strong>stimulation (I stim ). I stim est contrôlé par une tension externe <strong>de</strong> stimulation.219


IIIIIIII220Ernest JuniorErnest 1Ernest 2Ernest 3V mempostV mempréV mempostV mempré10xI10xIV mempostV mempré10xIV memV mempostV mempréV memV mempréV mempostV memC1 C2 C1 C2 C1 C2 C1 C2IT Synapse Ca KCa Ca KCa Ca KCa10xI10xI10xI10xI10xIcompensation1stimulation1compensation2stimulation2Synapse électriqueMembrane 1Membrane2Bus <strong>analogique</strong> [0:7]CONNECTEUR FOND DE PANIERgroupe <strong>de</strong> 8 interrupteurs simplesinterrupteur inverseurinterrupteur simpleFigure E-1 : schéma fonctionnel <strong>de</strong> la carte "ernest".Vue synoptique du schéma électrique <strong>de</strong> la carte Ernest. Les 3 interrupteurs<strong>de</strong> rebouclage du courant calcique vers les conductances K(Ca) ne serventpas au réglage <strong>de</strong> la topologie <strong>et</strong> ne sont pas représentés.Annexe E : carte "ernest"


U27U28U29U30U31U32U33U34U35U36U37mem2Stim1Stim20 1LSBMSBAdresse CarteAOP CASIMIRGfuite2ALTERA ERNEST 1ERNEST 2 ERNEST 3ERNESTJUNIORτC 2 m + τC 1 m + τC 1 h 3 +onComp1Stim1Synapse élecnon connectéComp2Stim2Gfuite1 Gsynapse élec.Cmem1Cmem2offChoix memmem1 mem2mem1C1 C2C1 C2τC 2 m 4 + τC 2 reg +τC 2 m 4 + τC 2 reg + τC 2 m 4 + τC 2 reg +C1 C2τC 1 h + τC 1 reg +τC 1 hτC 1 m 3 + τ[Ca ++ ] +τC 1 m 3 + τ[Ca ++ ] ++ τC 1 reg + τC 1 h+ τC 1 reg +τC 1 m 3τ [Ca++ ]+ +IC2off on10IC2IC110IC1IC110IC1IC210IC2IC110IC1IC210IC2IC110IC1IC210IC2memE3mem1 mem2RegE1RegE2RegE3sélection sélection sélection sélection sélectionERNEST1 ERNEST2 JUNIOR C1 MEMBRANE JUNIOR C20.......................7 0.......................7 0.......................7 0.......................7 0.......................7U38U40U39221Ernest 1Ernest 3Ernest 2Ernest juniorFigure E-2 : plan d'implantation <strong>de</strong> la carte "ernest".Annexe E : carte "ernest"


Annexe E : carte "ernest"Les figures E-1 <strong>et</strong> E-2 présentent, respectivement, le schéma fonctionnel <strong>de</strong> la carte "ernest"<strong>et</strong> le plan d'implantation <strong>de</strong>s différents composants. Ces figures détaillent ainsi la repartition<strong>de</strong>s interrupteurs qui servent à la réalisation <strong>de</strong> la topologie du modèle.Relations d'étalonnage obtenues par simulation : Facteurs <strong>de</strong> conversion entre modèles biologiques <strong>et</strong> <strong>analogique</strong>s :modèle biologique modèle électrique gaincourants 1 nA 1 A x 1000tensions 100 mV 1 V x 10conductances 1 mS 100 mS x 100capacités 1 nF 100 nF x 100gion.140120g ion (S)sortie I12001000g ion (S)sortie 10I10080604080060040020Vgmax (V)200Vgmax (V)A)0-3 -2 -1 0 1 2 3B)0-3 -2 -1 0 1 2 3Figure E-3 : valeurs maximales giondu courant <strong>de</strong>s conductances en fonction <strong>de</strong> la tension<strong>de</strong> réglage pour A) sortie I <strong>et</strong> B) sortie 10I.Sigmoï<strong>de</strong>.20Pente maximale 1/4Pente1816paramètre Pente (mV)180160141401212010100880660440A)2V pente (V)0-1,1 -0,9 -0,7 -0,5 -0,3 -0,1 0,1 0,3 0,5B)20V pente (V)0-1,1 -0,6 -0,1 0,4 0,9Figure E-4 : évolution en fonction <strong>de</strong> la tension <strong>de</strong> réglage V pente du circuit <strong>de</strong> sigmoï<strong>de</strong> <strong>de</strong> A)la valeur du paramètre Pente <strong>et</strong> B) <strong>de</strong> la pente arithmétique <strong>de</strong> la sigmoï<strong>de</strong>1f V , la pente est maximale pour V mem V mem V seuilpente = 1V <strong>et</strong> minimale pour4.PenteV pente = -1 V.222


Annexe E : carte "ernest"C<strong>et</strong>te fonction comporte <strong>de</strong>ux paramètres, V seuil <strong>et</strong> Pente. V seuil est la tension directementappliquée au circuit <strong>et</strong> Pente est lié à la tension V pente appliquée au circuit.Constantes <strong>de</strong> temps <strong>de</strong>s cinétiques.Elles sont réglées par un con<strong>de</strong>nsateur externe <strong>et</strong> une résistance intégrée. La valeur <strong>de</strong> larésistance est fixée à R = 10 k, le con<strong>de</strong>nsateur C est interchangeable. La valeur <strong>de</strong> laconstante <strong>de</strong> temps est alors =RC.Paramètres <strong>de</strong> calcium dépendance Cacst.1000Cacst (A)900800700600500400300200100Vcacst (V)0-1,5 -1 -0,5 0 0,5 1 1,5Figure E-3 : réglage <strong>de</strong> la constante Cacst en fonction <strong>de</strong> la tension <strong>de</strong> réglage.Cacst intervient dans la modulation du courant K(Ca) par le rapport2.37 à 2.40).XX Cacst(équationsPour V Cacst = -1,5 Cacst


Annexe E : carte "ernest"Les fonctions sigmoïdales <strong>de</strong> régulation sont obtenues par la même métho<strong>de</strong> que lessigmoï<strong>de</strong>s <strong>de</strong>s fonctions d'activations. Le paramètre S se comporte donc comme le paramètrePente, <strong>et</strong> les paramètres CT comme Vseuil.La régulation est désactivée pour V S = -2 V (pente maximale), CT C1 = 2V <strong>et</strong> CT C1 = -2V.Correspondance <strong>et</strong> localisation <strong>de</strong>s paramètres.CircuitMembraneErnest JrErnest 1Réf.circuitU27U28U29U30U31U32U33# CNA sortie du paramètre Circuit Réf. # CNA sortie du paramètreCNAcircuitCNA0000 00 Efuite2 Ernest 2 U34 0111 00 seuil 1 m0000 01 Efuite1 0111 01 pente 1 m0000 10 comp1 0111 10 seuil 1 h0000 11 comp20111 11 pente 1 h0001 00 seuil 1 m U35 1000 00 Eéqui 10001 01 pente 1 m 1000 01 gmax 10001 10 seuil 1 h 1000 10 seuil 2 m0001 11 pente 1 h1000 11 pente 2 m0010 00 Eéqui 1 U36 1001 00 Eéqui 20010 01 gmax 1 1001 01 gmax 20010 10 seuil 2 m 1001 10 Ca Const0010 11 pente 2 m1001 11 In Cst0011 00 Eéqui 2 U37 1010 00 S0011 01 gmax 21010 01 Out Cst0011 10 seuil 1 m Ernest 31010 10 seuil 1 m0011 11 pente 1 m1010 11 pente 1 m0100 00 seuil 1 h U38 1011 00 seuil 1 h0100 01 pente 1 h 1011 01 pente 1 h0100 10 Eéqui 1 1011 10 Eéqui 10100 11 gmax 11011 11 gmax 10101 00 seuil 2 m U39 1100 00 seuil 2 m0101 01 pente 2 m 1100 01 pente 2 m0101 10 Eéqui 2 1100 10 Eéqui 20101 11 gmax 21100 11 gmax 20110 00 Ca Const U40 1101 00 Ca Const0110 01 In Cst 1101 01 In Cst0110 10 S 1101 10 S0110 11 Out Cst1101 11 Out CstTrame <strong>de</strong> programmation <strong>de</strong>s CNA.Les équations modélisées comportent un total <strong>de</strong> 56 paramètres électriquement ajustables. Cesont <strong>de</strong>s tensions présentées par 14 convertisseurs numériques - <strong>analogique</strong>s programmés parle bus série du système vortex. Les données présentent sur ce bus sont décodées par un circuitlogique programmable (circuit Altera).224


Annexe E : carte "ernest"Les convertisseurs numérique-<strong>analogique</strong>s sont programmés par l'envoi d'un mot <strong>de</strong> 28 bitsdont la trame est la suivante :Adressecarte5 bits 1 bitblanc# CNA sortie du CNA valeur4 bits 2 bits 2 bitsblancsTableau E-1 : trame <strong>de</strong> programmation <strong>de</strong>s paramètres d'une carte Ernest.12 bits 2 bitsblancsAdresse carte : valeur codée par 5 interrupteurs, voir schéma <strong>de</strong> la carte.#CNA : numéro du convertisseur à programmer. Voir tableau ci-<strong>de</strong>ssus <strong>et</strong> schéma <strong>de</strong> lacarte.sortie du CNA : sortie du convertisseur à programmer. Voir tableau ci-<strong>de</strong>ssus <strong>et</strong> schéma <strong>de</strong>la carte (figure E-2).valeur : tension à programmer.- plages : [-2.5 V, +2.5V],5- précision (12 bits ) :2 12 1 54095 1.221mV .225


Résumé<strong>Circuits</strong> <strong>et</strong> systèmes <strong>de</strong> modélisation <strong>analogique</strong> <strong>de</strong> <strong>neurones</strong> biologiques.L'objectif <strong>de</strong> c<strong>et</strong>te thèse est la réalisation <strong>de</strong> calculateurs <strong>analogique</strong>s basés sur <strong>de</strong>s modèles neurophysiologiques <strong>de</strong> typeHodgkin <strong>et</strong> Huxley. Ces simulateurs sont bâtis autour d'ASICs (Application Specific Integrated <strong>Circuits</strong>) <strong>analogique</strong>sspécifiquement conçus pour résoudre ces équations. Construits avec une approche modulaire, ils perm<strong>et</strong>tent lasimulation réaliste en temps réel <strong>et</strong> continu <strong>de</strong> l'activité électrophysiologique <strong>de</strong> <strong>neurones</strong> biologiques. Afin <strong>de</strong> gar<strong>de</strong>rune souplesse d’utilisation maximale, les paramètres <strong>de</strong>s modèles <strong>et</strong> les interconnexions <strong>de</strong> ses différents éléments sontprogrammables. L’application première <strong>de</strong>s systèmes présentés est la réalisation <strong>de</strong> "réseaux hybri<strong>de</strong>s", où <strong>neurones</strong>biologiques <strong>et</strong> artificiels interagissent, <strong>de</strong>s exemples d’utilisation <strong>de</strong> c<strong>et</strong>te technique sont présentés.Mots-clés<strong>Circuits</strong> intégrés <strong>analogique</strong>s <strong>et</strong> mixtesMémoires <strong>analogique</strong>s intégréesModèles <strong>de</strong> <strong>neurones</strong>Neurones artificielsHodgkin-HuxleyRéseaux <strong>de</strong> <strong>neurones</strong>Réseaux hybri<strong>de</strong>sAbstract<strong>Circuits</strong> and systems for analog mo<strong>de</strong>ling of biological neurons.This thesis <strong>de</strong>als with the realization of analog calculators based on neurophysiological mo<strong>de</strong>ls of the Hodgkin andHuxley type. At the heart of the simulators are analog ASICs (Application Specific Integrated <strong>Circuits</strong>) specially<strong>de</strong>signed to solve those equations. They are built using a modular approach and allow for a realistic, real time andcontinuous time simulation of the neurophysiological activity of biological neurons. In or<strong>de</strong>r to achieve a maximumflexibility, param<strong>et</strong>ers of the mo<strong>de</strong>ls and connections b<strong>et</strong>ween its different elements are programmable within thesystem. The main application of the presented systems is the making of "hybrid n<strong>et</strong>works", where biological andartificial neurons interact. Some examples of the use of this technique are presented.Key wordsAnalog and mix mo<strong>de</strong> vlsiIntegrated analog memoriesMo<strong>de</strong>ls of neuronsArtificial neuronsHodgkin-HuxleyNeural n<strong>et</strong>worksHybrid N<strong>et</strong>works

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!