01.02.2013 Views

An Efficient Lyapunov Equation-Based Approach for ... - Lirmm

An Efficient Lyapunov Equation-Based Approach for ... - Lirmm

An Efficient Lyapunov Equation-Based Approach for ... - Lirmm

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

DAC'99, pages 1-6<br />

<strong>An</strong> <strong>Efficient</strong> <strong>Lyapunov</strong> <strong>Equation</strong>-<strong>Based</strong> <strong>Approach</strong> <strong>for</strong> Generating<br />

Reduced-OrderModels of Interconnect<br />

Jing-Rebecca Li, Frank Wang, Jacob White<br />

Research Laboratory of Electronics, Massachusetts Institute of Technology<br />

Cambridge, MA 02139<br />

Abstract<br />

In this paper we present a new algorithm <strong>for</strong> computing reduced-order models of interconnect<br />

which utilizes the dominant controllable subspace of the system. The dominant controllable<br />

modes are computed via a new iterative <strong>Lyapunov</strong> equation solver, Vector ADI. This new<br />

algorithm is as inexpensive as Krylov subspace-based moment matching methods, and often<br />

produces a better approximation over a wide frequency range. A spiral inductor and a<br />

transmission line example show this new method can be much more accurate than moment<br />

matching via Arnoldi.<br />

References<br />

[1] J. E. Bracken. Passive Modeling of Linear Interconnect Networks. IEEE Trans. on Circuits and Systems, (Part I:<br />

Fundamental Theory and Applications), to appear<br />

[2] E. Chiprout and M. Nakhla. Generalized Moment-Matching Methods <strong>for</strong> Transient <strong>An</strong>alysis of Interconnect<br />

Networks. In 29th ACM/IEEE Design Automation Conference, pp. 201-206, <strong>An</strong>aheim, CA, June 1992<br />

[3] N. Ellner and E. L. Wachspress. Alternating Direction Implicit Iteration <strong>for</strong> Systems with Complex Spectra.<br />

Siam J. Numer. <strong>An</strong>al., Vol. 28, No.3, pp.859-870, June 1991<br />

[4] D. F. Enns. Model Reduction with Balanced Realizations: <strong>An</strong> Error Bound and a Frequency Weighted<br />

Generalization. Proc. of 23rd Conf. on Decision and Control, Dec. 1984<br />

[5] P. Feldmann and R. W. Freund. <strong>Efficient</strong> Linear Circuit <strong>An</strong>alysis by Padé Approximation via the Lanczos<br />

Process. IEEE Trans. Computer-Aided Design, Vol. 14, No.5, pp.639-649, May 1995<br />

[6] R. W. Freund, G. H. Golub, and N. M. Nachtigal. Iterative Solution of Linear Systems. Acta Numerica(1991),<br />

pp.57-100<br />

[7] K. Gallivan, E. J. Grimme, and P. Van Dooren. A Rational Lanczos Algorithm <strong>for</strong> Model Reduction. Numer.<br />

Algorithms, 1996<br />

[8] K. Glover. All Optimal Hankel-norm Approximations of LinearMultivariable Systems and Their L 8 -error<br />

Bounds. Int. J. Control, Vol.39, No.6, pp.1115-1193, 1984<br />

[9] E. J. Grimme, D. C. Sorensen, and P. Van Dooren. Model Reduction of State Space Systems via an Implicitly<br />

Restarted LanczosMethod. Numer. Algorithms, 1995<br />

[10] A. S. Hodel and K. Poolla. Numerical Solution of Very Large, Sparse <strong>Lyapunov</strong> <strong>Equation</strong>s through<br />

Approximate Power Iteration. IEEE Conf. on Decision and Control, Dec. 1990<br />

[11] M. Kamon, F. Wang, and J. White. Recent Improvements <strong>for</strong> Fast Inductance Extraction and Simulation. EPEP<br />

Conference, to appear<br />

[12] K. J. Kerns, I. L. Wemple, and A. T. Yang. Stable and <strong>Efficient</strong> Reduction of Substrate Model Networks Using<br />

Congruence Trans<strong>for</strong>ms. In IEEE/ACM International Conference on Computer Aided Design, pp. 207-214, San<br />

Jose, CA, Nov. 1995<br />

[13] S. Kumashiro, R. Rohrer, and A. Strojwas. A New <strong>Efficient</strong> Method <strong>for</strong> the Transient Simulation of Three-<br />

Dimensional Interconnect Structures. In Proc. Int. Electron Devices Meeting, Dec. 1990<br />

[14] J. Li and J. White. Model Order Reduction using Approximate Dominant Singular Subspaces of the System<br />

Grammians. in preparation<br />

[15] A. Lu and E. L. Wachspress. Solution of <strong>Lyapunov</strong> equations by ADI Iteration. Computers Math. Applic., Vol.<br />

21 No. 9, 1991<br />

[16] N. Marques, M. Kamon, J. White, and L. M. Silveira. A Mixed Nodal-Mesh Formulation <strong>for</strong> <strong>Efficient</strong><br />

Extraction and Passive Reduced-Order Modeling of 3D Interconnects. In 35th ACM/IEEE Design Automation<br />

Conference, pp. 297-302, San Francisco, CA, June 1998


[17] A. Odabasioglu, M. Celik, and L. Pileggi. PRIMA: Passive Reduced-Order Interconnect Macromodeling<br />

Algorithm. IEEEConference on Computer-Aided Design, San Jose, CA, 1997<br />

[18] L. Pernebo and L. M. Silverman. Model Reduction via Balanced State Space Representations. IEEE Trans. on<br />

Automatic Control, Vol. 27, No. 2:382–387, April 1982<br />

[19] L. T. Pillage and R. A. Rohrer. Asymptotic Wave<strong>for</strong>m Evaluation <strong>for</strong> Timing<strong>An</strong>alysis. IEEE Trans. CAD,<br />

9(4):352–366, April 1990<br />

[20] L. M. Silveira,M. Kamon, I. Elfadel, and J. K.White. A Coordinate-Trans<strong>for</strong>med Arnoldi Algorithm <strong>for</strong><br />

Generating Guaranteed Stable Reduced-Order Models of RLC Circuits. pp.288–294, ICCAD, San Jose, CA. Nov.<br />

1996<br />

[21] G. Starke. Optimal Alternating Direction Implicit Parameters <strong>for</strong> Nonsymmetric Systems of Linear <strong>Equation</strong>s.<br />

Siam J. Numer. <strong>An</strong>al, Vol. 28, No. 5, pp. 1431-1445, Oct. 1991<br />

[22] E. L. Wachspress. The ADI Model Problem. Windsor, CA, 1995


DAC'99, pages 7-12<br />

Error Bounded Padé Approximation via Bilinear Con<strong>for</strong>mal Trans<strong>for</strong>mation<br />

Chung-Ping Chen 1 and D. F. Wong 2<br />

1 Strategic CAD Labs, Intel Corp., Hillsboro, Oregon 97124.<br />

2 Department of Computer Sciences, University of Texas at Austin, Austin, Texas 78712.<br />

Abstract<br />

Since Asymptotic Wave<strong>for</strong>m Evaluation (AWE) was introduced in [5], many interconnect model<br />

order reduction methods via Padé approximation have been proposed. Although the stability and<br />

precision of model reduction methods have been greatly improved, the following important<br />

question has not been answered: "What is the error bound in the time domain?". This problem is<br />

mainly caused by the "gap" between the frequency domain and the time domain, i.e. a good<br />

approximated transfer function in the frequency domain may not be a good approximation in the<br />

time domain. All of the existing methods approximate the transfer function directly in the<br />

frequency domain and hence can not provide error bounds in the time domain. In this paper, we<br />

present new moment matching methods which can provide guaranteed error bounds in the time<br />

domain. Our methods are based on the classic work by Teasdale in [1] which per<strong>for</strong>ms Padé<br />

approximation in a trans<strong>for</strong>med domain by the bilinear con<strong>for</strong>mal trans<strong>for</strong>mation s = 1-z/1+z.<br />

References<br />

[1] R.D. Teasdale, “Time domain approximation by use of Padé approximants", IRE Convention Record, Vol.1, pt.5<br />

pp. 89-94, 1953.<br />

[2] R. S. Tsay, “<strong>An</strong> exact zero-skew clock routing algorithm" IEEE Transactions on Computer-Aided Design of<br />

Integrated Circuits and Systems, February 1993.<br />

[3] P. Feldmann, R. W. Freund, “<strong>Efficient</strong> linear circuit analysis by Padé approximation via the Lanczos process",<br />

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, May 1995.<br />

[4] L. M. Silveira, M. Kamon, I. Elfadel, and J. White, “A coordinate-trans<strong>for</strong>med Arnoldi algorithm <strong>for</strong> generating<br />

guaranteed stable reduced-order models of RLC circuits" Proc. ICCAD, 1996.<br />

[5] L. T. Pillege, and R. A. Rohrer “Asymptotic wave-<strong>for</strong>m evaluation <strong>for</strong> timing analysis", IEEE Transactions on<br />

Computer-Aided Design of Integrated Circuits and Systems, April, 1990.<br />

[6] E. Chiprout, and M. S. Nakhla, “<strong>An</strong>alysis of interconnect networks using complex frequency hopping", IEEE<br />

Transactions on Computer-Aided Design of Integrated Circuits and Systems, February, 1995.<br />

[7] G. H. Golub and C. F. Van Loan, “Matrix computations", The Johns Hopkins University Press, Baltimore,<br />

Maryland, 1983.<br />

[8] K.J. Kerns, I.L. Wemple, and A.T. Yang, “Stable and <strong>Efficient</strong> reduction of large, multiport RC networks by<br />

pole analysis via congruence trans<strong>for</strong>mations", 33th ACM/IEEE DAC, 1996.<br />

[9] A. Odabasioglu, M. Celik, and L. Pilleggi, “PRIMA: Passive reduced-order interconnect macromodeling<br />

algorithm", Proc. ICCAD, 1998.<br />

[10] P. Rabiei, and M. Pedram, “Model Order Reduction <strong>for</strong> Large Circuit Using Balance Truncation", Proc. ASP-<br />

DAC, 1999.<br />

[11] Qingjian Yu, Janet M. Wang, and Ernest S. Kuh, “Multipoint Moment Matching Model For Multiport<br />

Distributed Interconnect Networks", Proc. ICCAD, 1998.<br />

[12] I.M. Elfadel, and D.D. Ling, “A Block Rational Arnoldi Algorithm <strong>for</strong> Multipoint Pawwive Model-Order<br />

Reduction of Multiport RLC Networks", Proc. ICCAD, 1997.<br />

[13] T.V. Nguyen, and J. Li, “Multipoint Padé Approximation Using a Rational Block Lanczos Algorithm", Proc.<br />

ICCAD, 1997.<br />

[14] K. Gallivan, E. Grimme, and P. Wan Dooren, “Asymptotic wave<strong>for</strong>m evaluation via a Lanczos method", App.<br />

Math. Lett., vol. 7, pp. 75-80, 1994.


DAC'99, pages 13-16<br />

Model-Reduction of Nonlinear Circuits Using Krylov-Space Techniques<br />

Pavan K. Gunupudi, Michel S. Nakhla<br />

Department of Electronics, Carleton University, Ottawa, Canada K1S 5B6<br />

ABSTRACT<br />

A new algorithm based on Krylov subspace methods is proposed <strong>for</strong> model-reduction of large<br />

nonlinear circuits. Reduction is obtained by projecting the original system described by nonlinear<br />

differential equations into a subspace of a lower dimension. The reduced model can be simulated<br />

using conventional numerical integration techniques. Significant reduction in computational<br />

expense is achieved as the size of the reduced equations is much less than that of the original<br />

system.<br />

Keywords: Model-reduction, nonlinear circuits, Krylov-subspace<br />

REFERENCES<br />

[1] J. K. White and A. S. Vincentelli, Relaxation Techniques <strong>for</strong> the simulation of VLSI Circuits, Boston: Kluwer<br />

Academic Publishers, 1990.<br />

[2] D. O. Pederson, “A historical review of circuit simulation,” IEEE Transactions on Circuits and Systems, vol.<br />

CAS-31, no. 1, Jan. 1984.<br />

[3] A. S. Vincentelli, “Circuit Simulation,” in Computer Design Aids <strong>for</strong> VLSI Circuits, P. <strong>An</strong>tognetti, D. O.<br />

Pederson and H. De Man (editors). Martinus Nijhoff Publishers, 1986, pp. 19-112.<br />

[4] J. K. Ousterhout, “CRYSTAL: A timing analyzer <strong>for</strong> NMOS VLSI Circuits,” in Proc. 3rd Caltech. Conf. on<br />

VLSI, Mar. 1983, pp. 57-69<br />

[5] Norman P. Jouppi, “Timing analysis and per<strong>for</strong>mance improvement of MOS VLSI design,” IEEE Trans.<br />

Computer-Aided Design, vol. 6, no 4, pp. 650-665, Jul. 1987.<br />

[6] S. Lin, M. M. Sadowska, and E. S. Kuh, “SWEC: A step wise equivalent conductance timing simulator <strong>for</strong><br />

CMOS VLSI circuits,” in Proc. Electron. Design Automation Conf., 1991, pp. 142-148.<br />

[7] A. S. Vincetelli, E. Lelarasmee, and A. Ruehli, “The wave<strong>for</strong>m relaxation method <strong>for</strong> the time-domain analysis<br />

of large scale integrated circuits,” IEEE Trans. Computer-Aided Design, vol. 1, no. 3, pp. 131-145, Aug. 1982.<br />

[8] A. Devgan and R. A. Roher, “Adaptively controlled explicit simulation,” IEEE Trans. on Computer-Aided<br />

Design, vol. 13, no. 6, Jun. 1994.<br />

[9] E. Chiprout and M. S. Nakhla, “<strong>An</strong>alysis of Interconnect Networks Using Complex Frequency Hopping (CFH),”<br />

IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 14 no. 2, pp. 186-200, Feb 1995.<br />

[10] I. M. Elfadel and D. D. Ling, “A block rational Arnoldi algorithm <strong>for</strong> multiport passive model-order reduction<br />

of multiport RLC networks,” Proc. of ICCAD-97, pp. 66-71, Nov. 1997.<br />

[11]Q. Yu, J. M. L. Wang and E. S.Kuh, “Multipoint multiport algorithm <strong>for</strong> passive reduced-order model of<br />

interconnect networks,” Proc. of ISCAS-98, vol. 6, pp. 74-77, Jun. 1998.<br />

[12] A. Odabasioglu, M. Celik, L. T. Pileggi, “PRIMA: passive reduced-order interconnect macromodeling<br />

algorithm,” Proc. of ICCAD-97, pp. 58-65, Nov. 1997.<br />

[13] K. J. Kerns and A. T. Yang, “Preservation of passivity during RLC network reduction via split congruence<br />

trans<strong>for</strong>mations,” IEEE/ACM Proc. DAC, pp. 34-39, Jun. 1997.<br />

[14] J. W. Demmel, Applied Numerical Linear Algebra, Philadelphia, PA: SIAM Publishers, 1997.<br />

[15]C. W. Ho, A. E. Ruehli and P. A. Brennan, “The modified nodal approach to network analysis,” IEEE Trans.<br />

Circuits and Systems, vol. CAS-22, pp. 504-509, Jun. 1975.<br />

[16] R. Griffith and M. S. Nakhla, “A new high-order absolutely stable explicit numerical integration algorithm <strong>for</strong><br />

the time-domain simulation of nonlinear circuits,” Proc. of ICCAD-97, pp. 276-280, Nov. 1997.<br />

[17] J. Vlach and K. Singhal, Computer methods <strong>for</strong> circuit analysis and design, New York, NY: Van Nostrand<br />

Reinhold, 1983.


DAC'99, pages 17-21<br />

ENOR: Model Order Reduction of RLC Circuits Using Nodal <strong>Equation</strong>s <strong>for</strong> <strong>Efficient</strong><br />

Factorization<br />

Bernard N. Sheehan<br />

Mentor Graphics, Wilsonville OR<br />

Abstract<br />

ENOR is an innovative way to produce provably-passive, reciprocal, and compact<br />

representations of RLC circuits. Beginning with the nodal equations, ENOR <strong>for</strong>mulates<br />

recurrence relations <strong>for</strong> the moments that involve factorizing a symmetric, positive definite<br />

matrix; this contrasts with other RLC order reduction algorithms that require expensive LU<br />

factorization. It handles floating capacitors, inductor loops, and resistor links in a uni<strong>for</strong>m way. It<br />

distinguishes between active and passive ports, does Gram-Schmidt orthogonalization on the fly,<br />

controls error in the time-domain. ENOR is a superbly simple, flexible, and well-conditioned<br />

algorithm <strong>for</strong> lightning reduction of mega-sized RLC trees, meshes, and coupled interconnectsall<br />

with excellent accuracy.<br />

References<br />

[1] A. Odabasioglu, M. Celik, L. T. Pileggi, "PRIMA: Passive Reduced-order Interconnect Macromodeling<br />

Algorithm," 34th DAC, pp. 58-65, 1997<br />

[2 ] L. Rohrer and L. Pillage, "Asymptotic Wave<strong>for</strong>m Evaluation <strong>for</strong> Timing <strong>An</strong>alysis," IEEE Trans. Computer<br />

Aided Design, vol. 9, pp. 352-66, 1990.<br />

[3] P. Feldmann and R. W. Freund, "Reduced-Order Modeling of Large Linear Subcircuits via a Block Lanczos<br />

Algorithm," 32nd DAC, pp. 474-79, 1995.<br />

[4] M. Silveira, M. Kamon, I. Elfadel and J. White, "A Coordinate-Trans<strong>for</strong>med Arnoldi Algorithm <strong>for</strong> Generating<br />

Guaranteed Stable Reduced-Order Models of RLC Circuits," 33rd DAC, pp. 288-94, 1996.<br />

[5] K. Kerns, I. Wemple, A. Yang, "Stable and <strong>Efficient</strong> Reduction of Substrate Model Networks Using Congruence<br />

Trans<strong>for</strong>ms," ICCAD 1995, pp. 207-14.<br />

[6] R. W. Freund and P. Feldmann, "Reduced-Order Modelling of Large Passive Linear Circuits by Means of the<br />

SyPVL Algorithm," 33rd DAC, pp. 280-87, 1996.<br />

[7] K. L. Shepard, V. Narayanan, P. C. Elmendorf, G. Zheng, "Global Harmony: Coupled Noise <strong>An</strong>alysis <strong>for</strong> Full-<br />

Chip RC Interconnect Networks", 34th DAC, pp. 139-46, 1997.<br />

[8] B. Sheehan, “Projective Convolution: RLC Model-Order Reduction Using the Impulse Response”, DATE 99,<br />

1999.<br />

[9] C. Ratzlaff and L. Pillage, "RICE: Rapid Interconnect Circuit Evaluation Using AWE," IEEE Trans. CAD, vol.<br />

13, pp. 763-76, 1994.<br />

[10] A. George and J. W-H Liu. Computer Solution of Large Sparse Positive Definite Systems. Prentice-Hall, New<br />

Jersey, 1981.<br />

[11] E. A. Guillemin, Synthesis of Passive Networks, John Wiley and Sons, 1957.


DAC'99, pages 22-28<br />

Why is ATPG easy?<br />

Mukul R. Prasad, Philip Chong, Kurt Keutzer<br />

Department of Electrical Engineering and Computer Sciences<br />

University of Cali<strong>for</strong>nia, Berkeley, CA 94720<br />

Abstract<br />

Empirical observation shows that practically encountered instances of ATPG are efficiently<br />

solvable. However, it has been known <strong>for</strong> more than two decades that ATPG is an NP-complete<br />

problem. This work is one of the first attempts to reconcile these seemingly disparate results. We<br />

introduce the concept of circuit cut-width and characterize the complexity of ATPG in terms of<br />

this property. We provide theoretical and empirical results to argue that an interestingly large<br />

class of practical circuits have cut-width characteristics which ensure a provably efficient<br />

solution of ATPG on them.<br />

References<br />

[1] C. L. Berman. Circuit Width, Register Allocation and Ordered Binary Decision Diagrams. IEEE Trans. CAD,<br />

10(8):1059–1066, Aug 1991.<br />

[2] E. Boros, Y. Crama, and P. L. Hammer. Polynomial-time Inference of All Valid Implications <strong>for</strong> Horn and<br />

Related Formulae. <strong>An</strong>n. Math Art. Intell., 1:21–32, 1990.<br />

[3] D. Brand. Verification of Large Synthesized Designs. In IEEE ICCAD, pages 534–537, 1993.<br />

[4] R. Brayton, R. Rudell, A. Sangiovanni-Vincentelli, and A. Wang. MIS: A Multiple-Level Logic Optimization<br />

System. IEEE Trans. on CAD/ICAS, CAD-6(6):1062–1082, Nov 1987.<br />

[5] F. Brglez and H. Fujiwara. A Neural Netlist of 10 Combinational Benchmark Circuits and a Target Translator in<br />

Fortran. In Intl. Symp. on Circuits and Systems, Jun 1985.<br />

[6] K.-T. Cheng and L. A. Entrena. Multi-level Logic Optimization by Redundancy Addition and Removal. In<br />

European Conference on Design Automation, pages 373–377, Jun 1993.<br />

[7] P. Chong, M. R. Prasad, and K. Keutzer. Why is ATPG Easy ? Technical Report UCB/ERL M99/9, ERL,<br />

University of Cali<strong>for</strong>nia, Berkeley, Feb 1999.<br />

[8] O. Coudert. Exact Covering of Real-Life Graphs is Easy. In Proceedings of the DAC, pages 121–126, Jun 1997.<br />

[9] S. Devadas, H.-K. T. Ma, and A. Sangiovanni-Vincentelli. Logic Verification, Testing and Their Relationship to<br />

Logic Synthesis. In Testing and Diagnosis of VLSI and ULSI, pages 181–246. Kluwer Academic Publishers, 1988.<br />

[10] H. Fujiwara. Computational Complexity of Controllability/Observability Problems <strong>for</strong> Combinaitonal Circuits.<br />

In Intl. Symp. on Fault-Tolerant Computing, pages 64–69, Jun 1988.<br />

[11] M. R. Garey and D. S. Johnson. Computers and Intractability: A Guide to the Theory of NP-Completeness. W.<br />

H. Freeman and Company, 1979.<br />

[12] J. Gu, P. W. Purdom, J. Franco, and B. W. Wah. Algorithms <strong>for</strong> the Satisfiability (SAT) Problem: A Survey.<br />

DIMACS Series in Discrete Mathematics and Computer Science, 35:19–151, 1997.<br />

[13] D. S. Hochbaum, editor. Approximation Algorithms <strong>for</strong> NP-Hard Problems. PWS Publishing Company, 1997.<br />

[14] M. Hutton, J. Grossman, J. Rose, and D. Corneil. Characterization and Paramterized Random Generation of<br />

Digital Circuits. In 33rd Design Automation Conference, pages 94–99, 1996.<br />

[15] O. H. Ibarra and S. K. Sahni. Polynomially Complete Fault Detection Problems. IEEE Trans. Computers, C-<br />

24(3):242–249, Mar 1975.<br />

[16] G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar. Multilevel Hypergraph Partitioning: Application in VLSI<br />

Domain. In 34th Design Automation Conference, pages 526–529, 1997.<br />

[17] A. Kuehlmann, A. Srinivasan, and D. P. LaPotin. Verity - A Formal Verification Program <strong>for</strong> Custom CMOS<br />

Circuits. IBM Journal of Research and Development, 39:149–165, 1995.<br />

[18] T. Larrabee. <strong>Efficient</strong> Generation of Test Patterns Using Boolean Difference. In Intl. Test Conference, pages<br />

795–801, 1989.<br />

[19] K. L. McMillan. Symbolic model checking: <strong>An</strong> approach to the state explosion problem. PhD thesis, School of<br />

Computer Science, Carnegie Mellon University, 1992.<br />

[20] S. L. Meyer. Data <strong>An</strong>alysis For Scientists and Engineers. Wiley and Sons, 1975.


[21] P. W. Purdom and C. A. Brown. Polynomial-Average-Time Satisfiability Problems. In<strong>for</strong>mation Sciences,<br />

41:23–42, 1987.<br />

[22] E. M. Sentovich et al. SIS: A System <strong>for</strong> Sequential Circuit Synthesis. Technical Report UCB/ERL M92/41,<br />

ERL, College of Engineering, University of Cali<strong>for</strong>nia, Berkeley, May 1998.<br />

[23] J. P. M. Silva and K. A. Sakallah. GRASP - A New Search Algorithm <strong>for</strong> Satisfiability. In ICCAD, pages 220–<br />

227, 1996.<br />

[24] P. Stephan, R. K. Brayton, and A. L. Sangiovanni-Vincentelli. Combinational Test Generation Using<br />

Satisfiability. IEEE Trans. on CAD/ICAS, 15(9):1167–1176, Sep 1996.<br />

[25] T. W. Williams and K. Parker. Testing Logic Networks and Designing <strong>for</strong> Testability. Computer, pages 9–21,<br />

Oct 1979.<br />

[26] S. Yang. Logic Synthesis and Optimization Benchmarks User Guide, Version 3.0. Technical report,<br />

Microelectronics Center of North Carolina, 1991.


DAC'99, pages 29-32<br />

Using Lower Bounds during Dynamic BDD Minimization<br />

Rolf Drechsler, Wolfgang Günther<br />

Institute of Computer Science, Albert-Ludwigs-University,<br />

79110 Freiburg im Breisgau, Germany<br />

Abstract<br />

Ordered Binary Decision Diagrams (BDDs) are a data structure <strong>for</strong> representation and<br />

manipulation of Boolean functions often applied in VLSI CAD. The choice of the variable<br />

ordering largely influences the size of the BDD; its size may vary from linear to exponential. The<br />

most successful methods <strong>for</strong> finding good orderings are based on dynamic variable reordering,<br />

i.e. exchanging of neighboring variables. This basic operation has been used in various variants,<br />

like sifting and window permutation.<br />

In this paper we show that lower bounds computed during the minimization process can speed up<br />

the computation significantly. First, lower bounds are studied from a theoretical point of view.<br />

Then these techniques are incorporated in dynamic minimization algorithms. By the computation<br />

of good lower bounds large parts of the search space can be pruned resulting in very fast<br />

computations. Experimental results are given to demonstrate the efficiency of our approach.<br />

References<br />

[1] B. Bollig, M. Löbbing, and I. Wegener. On the effect of local changes in the variable ordering of ordered<br />

decision diagrams. In<strong>for</strong>mation Processing Letters, 59:233-239, 1996.<br />

[2] B. Bollig and I. Wegener. Improving the variable ordering of OBDDs is NP-complete. IEEE Trans. on Comp.,<br />

45(9):993-1002, 1996.<br />

[3] R.E. Bryant. Graph - based algorithms <strong>for</strong> Boolean function manipulation. IEEE Trans. on Comp., 35(8):677-<br />

691, 1986.<br />

[4] R.E. Bryant. On the complexity of VLSI implementations and graph representations of Boolean functions with<br />

application to integer multiplication. IEEE Trans. on Comp., 40:205-213, 1991.<br />

[5] R. Drechsler and B. Becker. Binary Decision Diagrams - Theory and Implementation. Kluwer Academic<br />

Publishers, 1998.<br />

[6] R. Drechsler, N. Drechsler, and W. Günther. Fast exact minimization of BDDs. In Design Automation Conf.,<br />

pages 200-205, 1998.<br />

[7] S.J. Friedman and K.J. Supowit. Finding the optimal variable ordering <strong>for</strong> binary decision diagrams. In Design<br />

Automation Conf., pages 348-356, 1987.<br />

[8] H. Fujii, G. Ootomo, and C. Hori. Interleaving based variable ordering methods <strong>for</strong> ordered binary decision<br />

diagrams. In Int'l Conf. on CAD, pages 38-41, 1993.<br />

[9] M. Fujita, Y. Matsunaga, and T. Kakuda. On variable ordering of binary decision diagrams <strong>for</strong> the application of<br />

multi-level synthesis. In European Conf. on Design Automation, pages 50-54, 1991.<br />

[10] N. Ishiura, H. Sawada, and S. Yajima. Minimization of binary decision diagrams based on exchange of<br />

variables. In Int'l Conf. on CAD, pages 472-475, 1991.<br />

[11] S.-W. Jeong, T.-S. Kim, and F. Somenzi. <strong>An</strong> <strong>Efficient</strong> method <strong>for</strong> optimal BDD ordering computation. In<br />

International Conference on VLSI and CAD, 1993.<br />

[12] C. Meinel and A. Slobodová. Speeding up variable reordering of OBDD. In Int'l Conf. on Comp. Design, pages<br />

338-343, 1997.<br />

[13] S. Panda and F. Somenzi. Who are the variables in your neighborhood. In Int'l Conf. on CAD, pages 74-77,<br />

1995.<br />

[14] R. Rudell. Dynamic variable ordering <strong>for</strong> ordered binary decision diagrams. In Int'l Conf. on CAD, pages 42-<br />

47, 1993.<br />

[15] A. Slobodová and C. Meinel. Sample method <strong>for</strong> minimization of OBDD. In Int'l Workshop on Logic Synth.,<br />

pages 311-316, 1998.


[16] F. Somenzi. CUDD: CU Decision Diagram Package Release 2.2.0. University of Colorado at Boulder, 1998.


DAC'99, pages 33-36<br />

Optimization-Intensive Watermarking Techniques <strong>for</strong> Decision Problems<br />

Gang Qu, Jennifer L. Wong, and Miodrag Potkonjak<br />

Computer Science Department, University of Cali<strong>for</strong>nia, Los <strong>An</strong>geles, CA 90095<br />

Abstract<br />

Recently, a number of watermarking-based intellectual property protection techniques have been<br />

proposed. Although they have been applied to different stages in the design process and have a<br />

great variety of technical and theoretical features, all of them share two common properties: they<br />

all have been applied solely to optimization problems and do not involve any optimization during<br />

the watermarking process.<br />

In this paper, we propose the first set of optimization-intensive watermarking techniques <strong>for</strong><br />

decision problems. In particular, we demonstrate how one can select a subset of superimposed<br />

water-marking constraints so that the uniqueness of the signature and the likelihood of satisfying<br />

an instance of the satisfiability problem are simultaneously maximized. We have developed three<br />

watermarking SAT techniques: adding clauses, deleting literals, push-out and pull-back. Each<br />

technique targets different types of signature-induced constraint superimposition on an instance<br />

of the SAT problem. In addition to comprehensive experimental validation, we theoretically<br />

analyze the potentials and limitations of the proposed watermarking techniques. Furthermore, we<br />

analyze the three proposed optimization-intensive watermarking SAT techniques in terms of<br />

their suitability <strong>for</strong> copy detection.<br />

References<br />

[1] P. Cheeseman, B. Kanefsky, andW.M. Taylor. Where the Really Hard Problems Are. Twelveth International<br />

Joint Conference on Artificial Intelligence, pp. 331- 337, 1991.<br />

[2] J. Franco, and Y.C. Ho. Probabilistic Per<strong>for</strong>mance of A Heuristic <strong>for</strong> the Satisfiability Problem. Discrete<br />

Applied Mathematics, Vol. 22, pp. 35-51, 1988.<br />

[3] A.B. Kahng, J. Lach,W.H. Magione-Smith, S. Mantik, I.L. Markov, M. Potkonjak, P. Tucker, H. Wang and G.<br />

Wolfe. Watermarking Techniques <strong>for</strong> Intellectual Property Protection. 35th Design Automation Conference<br />

Proceedings, pp. 776-781, 1998.<br />

[4] G. Qu, and M. Potkonjak. <strong>An</strong>alysis of Watermarking Techniques <strong>for</strong> Graph Coloring Problem. IEEE/ACM<br />

International Conference on Computer Aided Design Proceedings, 1998.<br />

[5] B.Selman, H.Kautz, and D.McAllester. Ten Challenges in Propositional Reasoning and Search. Proceedings of<br />

the 15th International Joint Conference on Artificial Intelligence (IJCAI-97) pp. 50-54, 1997.<br />

[6] J.P.M. Silva, and K.A. Sakallah. GRASP— A New Search Algorithm <strong>for</strong> Satisfiability. Proceedings of ICCAD-<br />

96, pp. 220-227, 1996.<br />

[7] http://dimacs.rutgers.edu/<br />

[8] http://aida.intellektik.in<strong>for</strong>matik.th-darmstadt.de/ hoos/SATLIB/


DAC'99, pages 37-42<br />

<strong>Efficient</strong> Algorithms <strong>for</strong> Optimum Cycle Mean and Optimum Cost to Time Ratio Problems<br />

Ali Dasdan*, Sandy S. Irani and Rajesh K. Gupta<br />

*Dept. of Computer Science, University of Illinois, Urbana, IL 61801<br />

Dept. of In<strong>for</strong>mation and Computer Science, University of Cali<strong>for</strong>nia, Irvine, CA 92697<br />

Abstract<br />

The goal of this paper is to identify the most efficient algorithms <strong>for</strong> the optimum mean cycle<br />

and optimum cost to time ratio problems and compare them with the popular ones in the CAD<br />

community. These problems have numerous important applications in CAD, graph theory,<br />

discrete event system theory, and manufacturing systems. In particular, they are fundamental to<br />

the per<strong>for</strong>mance analysis of digital systems such as synchronous, asynchronous, data flow, and<br />

embedded real-time systems. For instance, algorithms <strong>for</strong> these problems are used to compute<br />

the cycle period of any cyclic digital system. Without loss of generality, we discuss these<br />

algorithms in the context of the minimum mean cycle problem (MCMP). We per<strong>for</strong>med a<br />

comprehensive experimental study of ten leading algorithms <strong>for</strong> MCMP. We programmed these<br />

algorithms uni<strong>for</strong>mly and efficiently. We systematically compared them on a test suite composed<br />

of random graphs as well as benchmark circuits. Above all, our results provide important insight<br />

into the per<strong>for</strong>mance of these algorithms in practice. One of the most surprising results of this<br />

paper is that Howard's algorithm, known primarily in the stochastic control community, is by far<br />

the fastest algorithm on our test suite although the only known bound on its running time is<br />

exponential. We provide two stronger bounds on its running time.<br />

References<br />

[1] Ahuja, R. K., Kodialam, M., Mishra, A. K., and Orlin, J. B. Computational investigation of maximum flow<br />

algorithms. European J. of Operational Research, 97 (1997), 509-542.<br />

[2] Ahuja, R. K., Magnanti, T. L., and Orlin, J. B. Network Flows. Prentice Hall, Upper Saddle River, NJ, USA,<br />

1993.<br />

[3] Bacelli, F., Cohen, G., Olsder, G. J., and Quadrat, J.-P. Synchronization and Linearity. John Wiley & Sons, New<br />

York, NY, USA, 1992.<br />

[4] Burns, S. M. Per<strong>for</strong>mance analysis and optimization of asynchronous circuits. PhD thesis, Cali<strong>for</strong>nia Institute of<br />

Technology, 1991.<br />

[5] Cherkassky, B. V., Goldberg, A. V., and Radzik, T. Shortest path algorithms: Theory and experimental<br />

evaluation. In Proc. 5th ACM-SIAM Symp. on Discrete Algorithms (1994), pp. 516-525.<br />

[6] Cochet-Terrasson, J., Cohen, G., Gaubert, S., McGettrick, M., and Quadrat, J.-P. Numerical computation of<br />

spectral elements in max-plus algebra. In Proc. IFAC Conf. on Syst. Structure and Control (1998).<br />

[7] Cuninghame-Green, R. A., and Yixun, L. Maximum cycle-means of weighted digraphs. Applied Math.-JCU 11<br />

(1996), 225-34.<br />

[8] Dasdan, A., and Gupta, R. K. Faster maximum and minimum mean cycle algorithms <strong>for</strong> system per<strong>for</strong>mance<br />

analysis. IEEE Trans. Computer-Aided Design 17, 10 (Oct. 1998).<br />

[9] Dasdan, A., Irani, S., and Gupta, R. K. <strong>An</strong> experimental study of minimum mean cycle algorithms. Tech. rep.<br />

#98-32, Univ. of Cali<strong>for</strong>nia, Irvine, July 1998.<br />

[10] Gerez, S. H., de Groot, S. M. H., and Herrmann, O. E. A polynomial-time algorithm <strong>for</strong> the computation of the<br />

iteration-period bound in recursive data-ow graphs. IEEE Trans. on Circuits and Syst.-1 39, 1 (Jan. 1992), 49-52.<br />

[11] Gondran, M., and Minoux, M. Graphs and Algorithms. John Wiley and Sons, New York, NY, USA, 1984.<br />

[12] Hartmann, M., and Orlin, J. B. Finding minimum cost to time ratio cycles with small integral transit times.<br />

Networks 23 (1993), 567-74.<br />

[13] Hulgaard, H., Burns, S. M., Amon, T., and Borriello, G. <strong>An</strong> algorithm <strong>for</strong> exact bounds on the time separation<br />

of events in concurrent systems. IEEE Trans. Comput. 44, 11 (Nov. 1995), 1306-17.<br />

[14] Ito, K., and Parhi, K. K. Determining the minimum iteration period of an algorithm. J. VLSI Signal Processing<br />

11, 3 (Dec. 1995), 229-44.


[15] Karp, R. M. A characterization of the minimum cycle mean in a digraph. Discrete Mathematics 23 (1978), 309-<br />

11.<br />

[16] Karp, R. M., and Orlin, J. B. Parametric shortest path algorithms with an application to cyclic staffing. Discrete<br />

Applied Mathematics 3 (1981), 37-45.<br />

[17] Lawler, E. L. Combinatorial Optimization: Networks and Matroids. Holt, Reinhart, and Winston, New York,<br />

NY, USA, 1976.<br />

[18] Mathur, A., Dasdan, A., and Gupta, R. K. Rate analysis of embedded systems. ACM Trans. on Design<br />

Automation of Electronic Systems 3, 3 (July 1998).<br />

[19] Megiddo, N. Combinatorial optimization with rational objective functions. Mathematics of Operations<br />

Research 4, 4 (Nov. 1979), 414-424.<br />

[20] Mehlhorn, K., and Naher, S. LEDA: A plat<strong>for</strong>m <strong>for</strong> combinatorial and geometric computing. Comm. of the<br />

ACM 38, 1 (1995), 96-102.<br />

[21] Orlin, J. B., and Ahuja, R. K. New scaling algorithms <strong>for</strong> the assignment and minimum mean cycle problems.<br />

Mathematical Programming 54 (1992), 41-56.<br />

[22] Szymanski, T. G. Computing optimal clock schedules. In Proc. 29th Design Automation Conf. (1992),<br />

ACM/IEEE, pp. 399-404.<br />

[23] Teich, J., Sriram, S., Thiele, L., and Martin, M. Per<strong>for</strong>mance analysis and optimization of mixed asynchronous<br />

synchronous systems. IEEE Trans. Computer-Aided Design 16, 5 (May 1997), 473-84.<br />

[24] Yang, S. Logic synthesis and optimization benchmarks user guide version 3.0. Tech. rep., Microelectronics<br />

Center of North Carolina, Jan. 1991.<br />

[25] Young, N. E., Tarjan, R. E., and Orlin, J. B. Faster parametric shortest path and minimum-balance algorithms.<br />

Networks 21 (1991), 205-21.


DAC'99, page 43<br />

IP-<strong>Based</strong> Design Methodology<br />

Daniel D. Gajski<br />

University of Cali<strong>for</strong>nia, Irvine, Cli<strong>for</strong>nia 92697<br />

Silicon capacity is doubling every 18 months and allowing more complex systems to be built on<br />

a single chip of silicon. However, our capability in designing such complex systems in<br />

reasonable time is diminishing with complexity. This gap between capacity and productivity<br />

seems to be growing and treathening to slow down the growth of semiconductor industry. The<br />

solutions to productivity problem are in increasing the abstraction levels in design technology<br />

and tools and introducing reuse of components and system parts. The reuse, on the other hand,<br />

has generated a whole new branch of semiconductor industry, called IP business, which includes<br />

IP providers, IP brokers, IP tool makers, IP services, and IP integrators. However, it is<br />

unreasonable to believe that IP community can integrate all possible designs, in all possible<br />

technologies, <strong>for</strong> all possible systems using all possible tools and languages developed so far. As<br />

we know, the solutions that integrate all possible ideas rarely work. That is the reason why IP<br />

community is in search <strong>for</strong> an efficient IP-based methodology.<br />

There are basically two approaches to the above problem: bottom-up and top-down. The first<br />

school of thought believes that present CAD tools and methods are sufficient and that IP<br />

community just have to define some standards in in<strong>for</strong>mation exchange and train designers to<br />

follow the guidelines. The other school of thought believes that present methodology in<br />

designing systems on silicon must be changed to accomodate IP business. The change must<br />

include, they believe, the way we specify different models of computation, the way we model<br />

systems <strong>for</strong> IP insertion and replaceme nt and development of new CAD tools <strong>for</strong> synthesis and<br />

test of systems with IPs.<br />

In this presentation we will cover both schools of thought and explain the advanatages and<br />

disandvateges of each approach. We will start with requirements <strong>for</strong> IP-based design, explain<br />

the obstacles to success <strong>for</strong> IP community, and indentify problems to be solved to remove those<br />

obstacles. We will review briefly the present status and make some prediction <strong>for</strong> the future in<br />

conclusion.


DAC'99, pages 44-49<br />

IPCHINOOK: <strong>An</strong> Integrated IP-based Design Framework <strong>for</strong> Distributed Embedded<br />

Systems<br />

Pai Chou*, Ross Ortega, Ken Hines, Kurt Partridge, and Gaetano Borriello<br />

*Consystant Design Technologies, Inc., Seattle, WA<br />

Department of Computer Science and Engineering,<br />

University of Washington, Seattle, WA 98195-2350 USA<br />

Abstract<br />

IPCHINOOK is a design tool <strong>for</strong> distributed embedded systems. It gains leverage from the use of<br />

a carefully chosen set of design abstractions that raise the level of designer interaction during the<br />

specification, synthesis, and simulation of the design. IPCHINOOK focuses on a componentbased<br />

approach to system building that enhances the ability to reuse existing software modules.<br />

This is accomplished through a new model <strong>for</strong> constructing components that enables<br />

composition of control-flow as well as data-flow. The designer then maps the elements of the<br />

specification to a target architecture: a set of processing elements and communication channels.<br />

IPCHINOOK synthesizes all of the detailed communication and synchronization instructions.<br />

Designers get feedback via a co-simulation engine that permits rapid evaluation. By shortening<br />

the design cycle, designers are able to more completely explore the design space of possible<br />

architectures and/or improve time-to-market. IPCHINOOK is embodied in a system<br />

development environment that supports the design methodology by integrating a user interface<br />

<strong>for</strong> system specification, simulation, and synthesis tools. By raising the level of abstraction of<br />

specifications above the low-level target-specific implementation, and by automating the<br />

generation of these difficult and error-prone details, IPCHINOOK lets designers focus on global<br />

architectural and functionality decisions.<br />

References<br />

[1] BALBONI, A., FORNACIARI, W., AND SCIUTO, D. Cosynthesis and co-simulation of control-dominated<br />

embedded systems. Design Autmation <strong>for</strong> Embedded Systems (July 1996).<br />

[2] BERRY, G. Programming a digital watch in Esterel v3.2. Tech. Rep. 1032, Instut National de Recherche en<br />

In<strong>for</strong>matique et Automatique (INRIA), May 1989.<br />

[3] BERRY, G., RAMESH, S., AND SHYAMASUNDAR, R. K. Communicating reactive processes. In Conference<br />

Record of the Twentieth <strong>An</strong>nual ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages<br />

(January 1993), pp. 85–98.<br />

[4] BOLSENS, I., DEMAN, H. J., LIN, B., ROMPAEY, K. V., VERCAUTEREN, S., AND VERKEST, D.<br />

Hardware/software co-design of digital telecommunication systems. Proceedings of the IEEE 85, 3 (March 1997),<br />

391–418.<br />

[5] CHIODO, M., ENGELS, D., GIUSTO, P.,HSIEH, H., JURECSKA, A., LAVAGNO, L., SUZUKI, K., AND<br />

SANGIOVANNIVINCENTELLI, A. A case study in computer-aided co-design of embedded controllers. Design<br />

Automation <strong>for</strong> Embedded Systems 1, 1-2 (January 1996), 51–67.<br />

[6] CHOU, P. Control Composition and Synthesis of Distributed Real-Time Embedded Systems. PhD thesis,<br />

University of Washington, 1998.<br />

[7] CHOU, P., AND BORRIELLO, G. <strong>An</strong> analysis-based approach to composition of distributed embedded<br />

systems. In Proc. International Workshop on Hardware/Software Codesign (CODES/CACHE) (1998).<br />

[8] CHOU, P., AND BORRIELLO, G. Modal processes: Towards enhanced retargetability through control<br />

composition of distributed embedded systems. In Proc. Design Automation Conference (June 1998), pp. 88–93.<br />

[9] CHOU, P., HINES, K., PARTRIDGE, K., AND BORRIELLO, G. Control generation <strong>for</strong> embedded systems<br />

based on composition of modal processes. In Proc. International Conference on Computer-Aided Design (1998).<br />

[10] CHOU, P., ORTEGA, R., AND BORRIELLO, G. Synthesis of the hardware/software interface in<br />

microcontroller-based systems. In Proc. International Conference on Computer-Aided Design (1992), pp. 488–495.


[11] CHOU, P., ORTEGA, R., AND BORRIELLO, G. Interface co-synthesis techniques <strong>for</strong> embedded systems. In<br />

Proc. International Conference on Computer-Aided Design (1995), pp. 280–287.<br />

[12] DAVEAU, J.-M., MARCHIORO, G. F., BEN-ISMAIL, T., AND JERRAYA, A. A. Protocol selection and<br />

interface generation <strong>for</strong> hw-sw codesign. IEEE Transactions on VLSI Systems 5, 1 (March 1997), 136–144.<br />

[13] ERNST, R., HENKEL, J., BENNER, T., YE, W., HOLTMANN, U., HERRMANN, D., AND TRAWNY, M.<br />

The COSYMA environment <strong>for</strong> hardware/software cosynthesis of small embedded systems. Microprocessors and<br />

Microsystems 20, 3 (May 1996), 159–166.<br />

[14] HAREL, D. StateCharts: a visual <strong>for</strong>malism <strong>for</strong> complex systems. Science of Programming 8, 3 (June 1987),<br />

231–274.<br />

[15] HINES, K., AND BORRIELLO, G. Dynamic communication models in embedded system co-simulation. In<br />

Proc. Design Automation Conference (June 1997), pp. 395–400.<br />

[16] HINES, K., AND BORRIELLO, G. Optimizing communication in hardware-software co-simulation. In<br />

Codes/CASHE ' 97 (1997), IEEE, ACM.<br />

[17] HINES, K., AND BORRIELLO, G. Debugging distributed implementations of modal process systems. Lecture<br />

Notes in Computer Science 1474 (1998), 98–107.<br />

[18] HINES, K., AND BORRIELLO, G. A geographically distributed framework <strong>for</strong> embedded system design and<br />

validation. In Proc. Design Automation Conference (June 1998), pp. 140–145.<br />

[19] ISMAIL, T. B., AND JERRAYA, A. A. Synthesis steps and design models <strong>for</strong> codesign. IEEE Computer 28, 2<br />

(February 1995), 44–53.<br />

[20] ISO 11898. Road vehicles - Interchange of Digital In<strong>for</strong>mation - Controller Area Network (Can) <strong>for</strong> High-<br />

Speed Communication, 1st ed., 1993.<br />

[21] ORTEGA, R., AND BORRIELLO, G. Communication synthesis <strong>for</strong> distributed embedded systems. In Proc.<br />

International Conference on Computer-Aided Design (1998).<br />

[22] PASSERONE, C., LAVAGNO, L., CHIODO, M., AND SANGIOVANNI-VINCENTELLI, A. Fast<br />

hardware/software co-simulation <strong>for</strong> virtual prototyping and trade-off analysis. In Proc. Design Automation<br />

Conference (1997), pp. 389–394.<br />

[23] ROWSON, J. Hardware/software co-simulation. In Proceedings of the Design Automation Conference (1994),<br />

pp. 439–440.<br />

[24] ROWSON, J. A., AND SANGIOVANNI-VINCENTELLI, A. Interface-based design. In Proceedings of the<br />

Design Automation Conference (June 1997), pp. 178–83.<br />

[25] SELIC, B., GULLEKSON, G., AND WARD, P. T. Real-Time Object-Oriented Modeling. Wiley, 1994.<br />

[26] VALDERRAMA, C. A., NACABAL, F., PAULIN, P., AND JERRAYA, A. A. Automatic generation of<br />

interfaces <strong>for</strong> distributed C-VHDL cosimulation of embedded systems: an industrial experience. In 7th International<br />

Workshop on Rapid Systems Prototyping (June 1996).<br />

[27] WubbleU hand held PDA benchmark <strong>for</strong> co-design, http://www.it.dtu.dk/jan/WubbleU.


DAC'99, pages 50- 55<br />

Virtual Simulation of distributed IP-based designs<br />

Marcello Dalpasso, Alessandro Bogliolo*, Luca Benini*<br />

DEI - Università di Padova, Via Gradenigo, 6/A - 35131 Padova, Italy<br />

*DEIS - Università di Bologna, Viale Risorgimento, 2 - 40136 Bologna, Italy<br />

Abstract<br />

One key issue in design flows based on reuse of third-party intellectual property (IP) components<br />

is the need to estimate the impact of component instantiation within complex designs. In this<br />

paper we introduce JavaCAD, an internet-based EDA tool built on a secure client-server<br />

architecture that enables designers to per<strong>for</strong>m simulation and cost estimation of circuits<br />

containing IP components without actually purchasing them. At the same time, the tool ensures<br />

intellectual property protection <strong>for</strong> the vendors of IP components, and <strong>for</strong> the IP-users as well.<br />

Moreover, JavaCAD supports negotiation of the amount of in<strong>for</strong>mation and the accuracy of cost<br />

estimates, thereby providing seamless transition between IP evaluation and purchase.<br />

References<br />

[1] A. Bedenfeld and R. Camposano. Tool integration and construction using generated graph-based design<br />

representation. Proc. of the Design Automation Conference, pages 94-99, 1995.<br />

[2] A. Bogliolo, L. Benini, D. De Micheli and B. Riccò. Power and Current Estimation of Cell-<strong>Based</strong> CMOS<br />

Circuits. IEEE Transactions on VLSI Systems, pages 473-488, 1997.<br />

[3] D. Lidsky and J. Rabaey. Early power exploration - a World Wide Web application. Proc. of the Design<br />

Automation Conference, pages 27-32, 1996.<br />

[4] F. Chan, M. Spiller and R. Newton. WELD - <strong>An</strong> environment <strong>for</strong> Web-based electronic design. Proc. of the<br />

Design Automation Conference, pages 146-151, 1998.<br />

[5] H. Lavana, A. Khetawat, F. Brglez and K. Kozminski. Executable workows: a paradigm <strong>for</strong> collaborative design<br />

on the Internet. Proc. of the Design Automation Conference, pages 553-558, 1997.<br />

[6] J. Gosling, B. Joy and G. Steele. The Java Language Specification. Addison-Wesley, 1996.<br />

[7] J. Young et al. Design and specification of embedded systems in Java using successive, <strong>for</strong>mal refinement. Proc.<br />

of the Design Automation Conference, pages 70-75, 1998.<br />

[8] L. Benini, A. Bogliolo and G. De Micheli. Distributed EDA tool integration: the PPP paradigm. Proc. of the<br />

International Conference on Computer Design, pages 448-453, 1996.<br />

[9] L. Geppert. IC Design on the World Wide Web. IEEE Spectrum, June 1998.<br />

[10] L. Gong. The Java Security Model and Architecture. Addison-Wesley, announced.<br />

[11] M. J. Silva and R. H. Katz. The case <strong>for</strong> design using the World Wide Web. Proc. of the Design Automation<br />

Conference, pages 579-585, 1995.<br />

[12] M. Spiller and R. Newton. EDA and the Network. Proc. of the International Conference on Computer-Aided<br />

Design, pages 470-475, 1997.<br />

[13] P. Chan. The Java Developers Almanac. Addison-Wesley, 1998.<br />

[14] P. G. Ploger et al. WWW <strong>Based</strong> structuring of codesigns. Proc. of the International Symposium on System<br />

Synthesis, pages 138-143, 1995.<br />

[15] R. Helaihel and K. Olukotun. Java as a specification language <strong>for</strong> hardware-software systems. Proc. of the<br />

International Conference on Computer-Aided Design, pages 690-697, 1997.<br />

[16] S. Hauck and S. Knoll. Data security <strong>for</strong> Web-based CAD. Proc. of the Design Automation Conference, pages<br />

788-793, 1998.<br />

[17] T. J. Barnes et al. Electronic CAD frameworks. Kluwer Academic Publishers, 1992.


DAC'99, pages 56-61<br />

Common-Case Computation: A High-Level Technique <strong>for</strong> Power and Per<strong>for</strong>mance<br />

Optimization<br />

Ganesh Lakshminarayana †, <strong>An</strong>and Raghunathan †, Kamal S. Khouri ‡, Niraj K. Jha‡,<br />

and Sujit Dey§<br />

† CCRL-NEC USA,<br />

‡ Dept. of Electrical Engg., Princeton University<br />

§ Dept. of Electrical Engg., Univ. of Cali<strong>for</strong>nia, San Diego<br />

Abstract<br />

This paper presents a design methodology, called common-case computation (CCC), and new<br />

design automation algorithms <strong>for</strong> optimizing power consumption or per<strong>for</strong>mance. The proposed<br />

techniques are applicable in conjunction with any high-level design methodology where a<br />

structural register-transfer level (RTL) description and its corresponding scheduled behavioral<br />

(cycle-accurate functional RTL) description are available. It is a well-known fact that in<br />

behavioral descriptions of hardware (also in software), a small set of computations (CCCs) often<br />

accounts <strong>for</strong> most of the computational complexity. However, in hardware implementations<br />

(structural RTL or lower level), CCCs and the remaining computations are typically treated<br />

alike. This paper shows that identifying and exploiting CCCs during the design process can lead<br />

to implementations that are much more efficient in terms of power consumption or per<strong>for</strong>mance.<br />

We propose a CCC-based high-level design methodology with the following steps: extraction of<br />

common-case behaviors and execution conditions from the scheduled description, simplification<br />

of the common-case behaviors in a stand-alone manner, synthesis of common-case detection and<br />

execution circuits from the common-case behaviors, and composing the original design with the<br />

common-case circuits, resulting in a CCC-optimized design. We demonstrate that CCCoptimized<br />

designs reduce power consumption by up to 91.5%, or improve per<strong>for</strong>mance by up to<br />

76.6% compared to designs derived without special regard <strong>for</strong> CCCs.<br />

References<br />

[1] D. D. Gajski, N. D. Dutt, A. C.-H. Wu, and S. Y.-L. Lin, High-level Synthesis: Introduction to Chip and System<br />

Design, Kluwer Academic Publishers, Norwell, MA, 1992.<br />

[2] G. De Micheli, Synthesis and Optimization of Digital Circuits, McGraw-Hill, New York, NY, 1994.<br />

[3] D. A. Patterson and J. L. Hennessy, Computer Architecture: A Quantitative <strong>Approach</strong>, Morgan Kaufman<br />

Publishers, San Mateo, CA, 1989.<br />

[4] J. A. Fisher, “Trace scheduling: A technique <strong>for</strong> global microcode compaction,” IEEE Trans. Computers, vol. C-<br />

30, pp. 478–490, July 1981.<br />

[5] M. Aldina, J. Monteiro, S. Devadas, A. Ghosh, and M. Papaefthymiou, “Precomputation-based sequential logic<br />

optimization <strong>for</strong> low power,” IEEE Trans. VLSI Systems, vol. 2, pp. 426–436, Dec. 1994.<br />

[6] L. Benini, E. Macii, M. Poncino, and G. De Micheli, “Telescopic units: A new paradigm <strong>for</strong> per<strong>for</strong>mance<br />

optimization of VLSI designs,” IEEE Trans. Computer-Aided Design, vol. 17, pp. 220–232, Mar. 1998.<br />

[7] S. K. Bommu, N. O’Neill, and M. Ciesielski, “Retiming based factorization <strong>for</strong> sequential logic optimization,”<br />

ACM Trans. Design Automation Electronic Systems, to appear, 1998.<br />

[8] A. Raghunathan, N. K. Jha, and S. Dey, High-level Power <strong>An</strong>alysis and Optimization, Kluwer Academic<br />

Publishers, Norwell, MA, 1998.<br />

[9] H. Trickey, “Flamel: A high-level hardware compiler,” IEEE Trans. Computer-Aided Design, vol. 6, pp. 259–<br />

269, Mar. 1987.<br />

[10] A. P. Chandrakasan, M. Potkonjak, R. Mehra, J. Rabaey, and R. Brodersen, “Optimizing power using<br />

trans<strong>for</strong>mations,” IEEE Trans. Computer-Aided Design, vol. 14, pp. 12–31, Jan. 1995.<br />

[11] G. Casella and R. L. Berger, Statistical Inference, Duxbury Press, Belmont, CA, 1990.


[12] L. Benini and G. De Micheli, Dynamic Power Management: Design Techniques and CAD Tools, Kluwer<br />

Academic Publishers, Norwell, MA, 1997.<br />

[13] A. Chatterjee and R. K. Roy, “Synthesis of low power DSP circuits using activity metrics,” in Proc. Intl. Conf.<br />

VLSI Design, pp. 255–270, Jan. 1994.<br />

[14] G. Lakshminarayana and N. K. Jha, “FACT: A framework <strong>for</strong> the application of throughput and power<br />

optimizing trans<strong>for</strong>mations to control-flow intensive behavioral descriptions,” in Proc. Design Automation Conf.,<br />

pp. 102–107, June 1998.<br />

[15] OpenCAD V 5 Users Manual, NEC Electronics, Inc., Sept. 1997.


DAC'99, pages 62-67<br />

Layout Techniques Supporting the Use of Dual Supply Voltages <strong>for</strong> Cell-<strong>Based</strong> Designs<br />

Chingwei Yeh, Yin-Shuin Kang, Shan-Jih Shieh, Jinn-Shyan Wang<br />

EE Dept., Nat’l Chung-Cheng Univ., Chiayi 621, Taiwan, ROC<br />

Abstract<br />

Gate-level voltage scaling is an approach that allows different supply voltages <strong>for</strong> different gates<br />

in order to achieve power reduction. Previous researches focused on determining the voltage<br />

level <strong>for</strong> each gate and ascertaining the power saving capability of the approach via logic-level<br />

power estimation. In this paper, we present the layout techniques that feasiblize the approach in<br />

cell-based design environment. A new block layout style is proposed to support the voltage<br />

scaling with conventional standard cell libraries. The block layout can be automatically<br />

generated via a simulated annealing based placement algorithm. In addition, we propose a new<br />

cell layout style with built-in multiple supply rails. Using the cell layout, gate-level voltage<br />

scaling can be immediately embedded in a typical cell-based design flow. Experimental results<br />

show that proposed techniques produce very promising results.<br />

References<br />

[1] Chandrakasan, A. P. and Brodersen, R. W. Low-power CMOS digital design. Kluwer Academic Publishers,<br />

1995.<br />

[2] Chang, J. M. and Pedram, M. Energy minimization using multiple supply voltages. Proc. 1996 Int. Symp. on<br />

Low Power Electronics and Design, pp. 157-162, 1996.<br />

[3] Kirkpatrick, S. et. al., Optimization by Simulated <strong>An</strong>nealing. Science, Vol. 220, May 1983, pp. 671-680.<br />

[4] Raje, S. and Sarrafzadeh, M. Variable voltage scheduling. Int. Symp. on Low Power Design, 1995, pp. 9-14.<br />

[5] Deng, C. Power analysis <strong>for</strong> CMOS/BiCMOS circuits. Proc. Int. Workshop on Low Power Design, Apr. 1994,<br />

pp. 3-8.<br />

[6] Johnson, M. C. and Roy, K. Optimal selection of supply voltages and level conversions during data path<br />

scheduling under resource constraints. Proc. Int. Conf. on Computer Design, pp. 72-77, 1996.<br />

[7] Johnson, M. C. and Roy, K. Scheduling and optimal voltage selection <strong>for</strong> low power multi-voltage DSP<br />

datapaths. Proc. Int. Symp. on Circuits and Systems, vol. 3, pp. 2152-2155, 1997.<br />

[8] Sechen, C. et al., TimberWolf: mixed macro/standard cell floorplanning, placement, and routing package. Yale<br />

University, May 1, 1992.<br />

[9] Usami, K. and Horowitz, M. Clustered voltage scaling technique <strong>for</strong> low-power design. Int. Symp. on Low<br />

Power Design, 1995, pp. 3-8.<br />

[10] Usami, K. et al. Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media<br />

Processor. IEEE J. Solid-State Circuits, vol. 33, No. 3, Mar. 1998, pp. 463-472.<br />

[11] Uehara, T. and van Cleemput, W. M. Optimal layout of CMOS functional arrays. IEEE Trans. Comput., vol. C-<br />

30, pp. 305-312, May 1981.<br />

[12] Chang, M. C., Master Thesis, EE Dept., Nat’l Chung-Cheng Univ., Jul. 1997.


DAC'99, pages 68-71<br />

Gate-Level Design Exploiting Dual Supply Voltages <strong>for</strong> Power-Driven Applications<br />

Chingwei Yeh, Min-Cheng Chang, Shih-Chieh Chang*, Wen-Bone Jone*<br />

EE & *CS, Nat’l Chung-Cheng Univ., Chiayi 621, Taiwan, ROC<br />

Abstract<br />

The advent of portable and high-density devices has made power consumption a critical design<br />

concern. In this paper, we address the problem of reducing power consumption via gate-level<br />

voltage scaling <strong>for</strong> those designs that are not under the strictest timing budget. We first use a<br />

maximum-weighted independent set <strong>for</strong>mulation <strong>for</strong> voltage reduction on non-critical part of the<br />

circuit. Then, we use a minimum-weighted separator set <strong>for</strong>mulation to do gate sizing and<br />

integrate the sizing procedure with a voltage scaling procedure to enhance power saving on the<br />

whole circuit. The proposed methods are evaluated using the MCNC benchmark circuits. and an<br />

average of 19.12% power reduction over the circuits having only one supply voltage has been<br />

achieved.<br />

References<br />

[1] A. P. Chandrakasan and R. W. Brodersen, Low-power CMOS digital design, Kluwer Academic Publishers, 1995.<br />

[2] T. H. Cormen, C. E. Leiserson, and R. L. Rivest, Algorithms, Chap. 27, MIT Press, McGraw-Hill Book Co.,<br />

1992.<br />

[3] D. Kagaris and S. Tragoudas, ”Maximum independent sets on transitive graphs and their applications in testing<br />

and CAD,” Proc. Int. Conf. on Computer-Aided Design, Nov. 1997. pp. 736-740,<br />

[4] S. Raje and M. Sarrafzadeh, ”Variable voltage scheduling,” Int. Symp. on Low Power Design, 1995, pp. 9-14.<br />

[5] J. D. Meindl, ”Low power microelectronics: retrospect and prospect,” Proc. IEEE, vol. 83, no. 4, Apr. 1995.<br />

[6] E. M. Sentovich et al, ”SIS : A System <strong>for</strong> Sequential Circuit Synthesis,” Technical report UCB/ERL M92/41,<br />

Univ. of Cali<strong>for</strong>nia, Berkeley, May 1992.<br />

[7] D. Singh et al., ”Power conscious CAD tools and methodologies: a perspective,” Proc. IEEE, vol. 83, no. 4, Apr.<br />

1995, pp. 570-593.<br />

[8] K. Usami and M. Horowitz, ”Clustered voltage scaling technique <strong>for</strong> low-power design” Int. Symp. on Low<br />

Power Design, 1995, pp. 3-8.<br />

[9] K. Usami et al., ”Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media<br />

Processor” IEEE J. Solid-State Circuits, vol. 33, No. 3, Mar. 1998, pp. 463-472.<br />

[10] Wang, J. S., Shieh, S. J., Wang, J. C., and Yeh, C. Design of standard cells used in low power ASICs exploiting<br />

multiple-supply-voltage scheme. Proc. 11th ASIC Conf., Sept. 1998.


DAC'99, pages 72-75<br />

SYNTHESIS OF LOWPOWER CMOS VLSI CIRCUITS USING DUAL<br />

SUPPLY VOLTAGES<br />

Vijay Sundararajan, Keshab K. Parhi<br />

Dept. of ECE, University of Minnesota, Minneapolis, MN 55455<br />

ABSTRACT<br />

Dynamic power consumed in CMOS gates goes down quadratically with the supply voltage. By<br />

maintaining a high supply voltage <strong>for</strong> gates on the critical path and by using a low supply voltage<br />

<strong>for</strong> gates off the critical path it is possible to dramatically reduce power consumption in CMOS<br />

VLSI circuits without per<strong>for</strong>mance degradation. Interfacing gates operating under multiple<br />

supply voltages, however, requires the use of level converters, which makes the problem<br />

modeling difficult. In this paper we develop a <strong>for</strong>mal model and develop an efficient heuristic <strong>for</strong><br />

addressing the use of two supply voltages <strong>for</strong> low power CMOS VLSI circuits without<br />

per<strong>for</strong>mance degradation. Power consumption savings up to 25% over and above the best known<br />

existing heuristics are demonstrated <strong>for</strong> combinational circuits in the ISCAS85 benchmark suite.<br />

REFERENCES<br />

[1] A. P. Chandrakasan and R. W. Brodersen, “Low Power CMOS Digital Design,” IEEE Journal of Solid State<br />

Circuits, vol. 27, pp. 473–484, April. 1992.<br />

[2] S. Mutoh et al., “1-V Power Supply High-Speed Digital Circuits Technology with Multithreshold-voltage<br />

CMOS,” IEEE Journal of Solid State Circuits, vol. 30, pp. 847–854, Aug. 1995.<br />

[3] T. Kuroda et al., “A High-Speed Low-Power 0.3 mm CMOS Gate Array with Variable Threshold Voltage (VT)<br />

Scheme,” in Proc. IEEE Custom Integrated Circuits Conference, pp. 53–56, May 1996.<br />

[4] I. Mutsunori et al., “Low Power Design Method Using Multiple Supply Voltages,” Proceedings of ISLPED’97,<br />

pp. 36–41, 1997.<br />

[5] S. Raje and M. Sarrafzadeh, “Variable Voltage Scheduling,” Proceedings of ISLPD’95, pp. 9–14, 1995.<br />

[6] M. C. Johnson and K. Roy, “Optimal Selection of Supply Voltages and Level Conversions During Data Path<br />

Scheduling Under Resource Constraints,” Proceedings of ICCD’96, pp. 72–77, 1996.<br />

[7] J. Chang and M. Pedram, “Energy Minimization Using Multiple Supply Voltages,” IEEE Transactions on VLSI<br />

Systems, vol. 5, pp. 1–8, December 1997.<br />

[8] W. Shiue and C. Chakrabarthi, “Low Power Scheduling with Resources at Multiple Voltages,” in Proceedings of<br />

ISCAS-98, (Monterey, CA, USA), June 1998.


DAC'99, pages 76-77<br />

Panel: HW and SW in Embedded System Design: Loveboat, Shipwreck,<br />

or Ships Passing in the Night ?<br />

Moderator: Kurt Keutzer – University of Cali<strong>for</strong>nia, Berkeley<br />

Panel Members: Jerry Fiddler, Raul Camposano, Alberto Sangiovanni-Vincentelli, Jim Lans<strong>for</strong>d<br />

Abstract<br />

The merging of hardware and software on a single integrated circuit is causing many to rethink<br />

their approach to embedded system design, and some are <strong>for</strong>ecasting significant changes in the<br />

dynamics of the associated electronic-design automation (EDA) and embedded software<br />

industries as well.<br />

For some, the ocean of silicon ahead is sure to host a loveboat <strong>for</strong> fully integrated<br />

hardware/software systems. In this scenario a unifying system-design-environment provides<br />

implementation-independent modeling that stands above the particulars of hardware and<br />

software implementation issues. At the implementation level, embedded software design tools<br />

and electronic-design automation tools work seamlessly together providing a variety of<br />

architectural targets <strong>for</strong> the functionality of high-level descriptions.<br />

Others see a shipwreck on the horizon, as hardheaded hardware designers and softheaded<br />

software developers clash. In this scenario the system-on-chip becomes a battleground in which<br />

the two respective communities attempt to dominate both the solution space, and the systemdesign<br />

budgets of their common customer.<br />

There's an old adage that the most boring scenario is the most likely. Following this adage some<br />

predict that the future holds more 'no show' than 'showdown'. The holders of this view note that<br />

as severe power constraints cause hardware-designers to eschew software solutions, and as the<br />

world of ubiquitous computing significantly broadens the market <strong>for</strong> embedded system software,<br />

then the hardware and software communities will be simply chips passing in the night.


DAC'99, pages 78-83<br />

Reliability-Constrained Area Optimization of VLSI Power/Ground<br />

Networks Via Sequence of Linear Programmings<br />

Xiang-Dong Tan†, C.-J. Richard Shi†, Dragos Lungeanu†, Jyh-Chwen Lee‡ and Li-Pen Yuan‡<br />

†Department of Electrical Engineering, University of Washington, Seattle, WA 98195<br />

‡Avant! Corporation, USA Fremont, CA 94538, USA<br />

Abstract<br />

This paper presents a new method <strong>for</strong> determining the widths of the power and ground routes in<br />

integrated circuits so that the area required by the routes is minimized subject to the reliability<br />

constraints. The basic idea is to trans<strong>for</strong>m the resulting constrained nonlinear programming<br />

problem into a sequence of linear programs. Theoretically, we show that the sequence of linear<br />

programs always converges to the optimum solution of the relaxed convex problem.<br />

Experimental results demonstrate that the sequence-of-linear-programming method is orders of<br />

magnitude faster than the best-known method based on conjugate gradients, with constantly<br />

better optimization solutions.<br />

References<br />

[1] J. R. Black, “Electromigration failure modes in aluminum metallization <strong>for</strong> semiconductor devices,” in Proc. of<br />

IEEE, vol. 57, pp.1587-1597, Sept. 1996.<br />

[2] R. K. Brayton, G.D. Hatchtel and A. Sangiovanni-Vincentelli, “A survey of optimization techniques <strong>for</strong><br />

integrated circuit design,” in Proc. of IEEE, vol. 69, no. 10, pp. 1334-1362, Oct. 1981.<br />

[3] M. S. Bazaraa, H. D. Sherali and C. M. Shetty, Nonlinear Programming: theory and algorithm, 2ed, John-Wiley<br />

& Sons, New York, 1993.<br />

[4] S. Chowdhury and M. A. Breuer, “Minimal area design of power/ground nets having graph topologies,” IEEE<br />

Trans. Circuits and Systems, vol. CAS-34, no. 12, pp. 1441–1451, Dec. 1987.<br />

[5] S. Chowdhury and M. A. Breuer, “Optimum design of IC power/ground networks subject to reliability<br />

constraints,” IEEE Trans. Computer-Aided Design, vol. 7, no. 7, pp. 787–796, July 1988.<br />

[6] S. Chowdhury, “Optimum design of reliable IC power networks having general graph topologies,” in Proc. 26th<br />

ACM/IEEE Design Automation Conf., pp. 787–790, 1989.<br />

[7] R. Dutta and M. Marek-Sadowska, “ Automatic sizing of power/ground (P/G) networks VLSI,” in Proc. 26th<br />

ACM/IEEE Design Automation Conf., pp. 783–786, 1989.<br />

[8] R. E. Griffith and R. A. Stewart, “A nonlinear programming technique <strong>for</strong> the optimization of continuous<br />

process systems,” Management Science, no. 7, pp. 379-392, 1961.<br />

[9] T. Mitsuhashi and E. S. Kuh, “Power and ground network topology optimization,” in Proc. 29th ACM/IEEE<br />

Design Automation Conf., pp. 524–529, 1992.<br />

[10] C. H. Papadimitriou and K. Steiglitz, Combinatorial Optimization Algorithms and Complexity, Printice-Hall<br />

Inc., New York, 1992


DAC'99, pages 84-89<br />

FAR-DS: Full-plane AWE Routing with Driver Sizing<br />

Jiang Hu and Sachin S. Sapatnekar<br />

Department of Electrical and Computer Engineering,<br />

University of Minnesota, Minneapolis, MN 55455, USA<br />

Abstract<br />

We propose a Full-plane AWE Routing with Driver Sizing (FAR-DS) algorithm <strong>for</strong> per<strong>for</strong>mance<br />

driven routing in deep sub-micron technology. We employ a fourth order AWE delay model in<br />

the full plane, including both Hanan and non-Hanan points. Optimizing the driver size<br />

simultaneously extends our work into a two-dimensional space, enabling us to achieve the<br />

desired balance between wire and driver cost reduction, while satisfying the timing constraints.<br />

Compared to SERT, experimental results showed that our algorithm can provide an average<br />

reduction of 23% in the wire cost and 50% in the driver cost under stringent timing constraints.<br />

References<br />

[1] K. D. Boese, A. B. Kahng, B. A. McCoy and G. Robins, “Near-optimal critical sink routing tree constructions,”<br />

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 14, No. 12, pp. 1417-36,<br />

Dec. 1995.<br />

[2] J. Lillis and P. Buch, “Table-lookup methods <strong>for</strong> improved per<strong>for</strong>mance-driven routing,” Proceedings of the<br />

ACM/IEEE Design Automation Conference, pp. 368–373, 1998.<br />

[3] J. Cong and C. K. Koh, “Interconnect layout optimization under higher-order RLC model,” Proceedings of the<br />

IEEE/ACM International Conference on Computer-Aided Design, pp. 713-720, 1997.<br />

[4] J. Lillis, C. K. Cheng, T. T. Lin and C. Y. Ho, “New per<strong>for</strong>mance driven routing techniques with explicit<br />

area/delay tradeoff and simultaneous wire sizing,” Proceedings of the 33rd ACM/IEEE Design Automation<br />

Conference, pp. 395-400, Jun. 1996.<br />

[5] F. J. Liu, J. Lillis and C. K. Cheng, “Design and implementation of a global router based on a new layout-driven<br />

timing model with three poles,” Proceedings of the IEEE International Symposium on Circuits and Systems, 1997.<br />

[6] S. S. Sapatnekar, “RC interconnect optimization under the Elmore delay model,” Proceedings of the ACM/IEEE<br />

Design Automation Conference, pp. 392-396, 1994.<br />

[7] H. Hou and S. S. Sapatnekar, “Routing tree topology construction to meet interconnect timing constraints”,<br />

Proceedings of the International Symposium on Physical Design, pp. 205-210, 1998.<br />

[8] W. C. Elmore, “The transient response of damped linear network with particular regard to wideband amplifiers,”<br />

Journal of Applied Physics, Vol. 19, pp. 55-63, 1948.<br />

[9] L. T. Pillage and R. A. Rohrer, “Asymptotic wave<strong>for</strong>m evaluation <strong>for</strong> timing analysis,” IEEE Transactions on<br />

Computer-Aided Design of Integrated Circuits and Systems, Vol. 9, No. 4, pp. 352-366, Apr. 1990.<br />

[10] J. Qian, S. Pullela and L. T. Pillage, “Modeling the effective capacitance <strong>for</strong> the RC interconnect of CMOS<br />

gates,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 13, No. 12, pp.<br />

1526-35, Dec. 1994.<br />

[11] J. Rubinstein, P. Penfield and M. A. Horowitz, “Signal delay in RC tree networks,” IEEE Transactions on<br />

Computer-Aided Design, Vol. CAD-2, No. 3, pp. 202-211, July 1983.<br />

[12] R. Gupta, B. Krauter, B. Tutuianu, J. Willis and L. T. Pillage, “The Elmore delay as a bound <strong>for</strong> RC trees with<br />

generalized input signals,” Proc. 33rd ACM/IEEE Design Automation Conference, 1995.<br />

[13] C. L. Ratzlaff, N. Gopal and L. T. Pillage, “RICE: rapid interconnect circuit evaluator,” Proc. 28th ACM/IEEE<br />

Design Automation Conference, pp. 555-560, 1991.<br />

[14] D. G. Luenberger, “Linear and Nonlinear Programming,” Addison-Wesley Publishing Company, Inc., 1984.


DAC'99, pages 90-95<br />

Noise-Constrained Per<strong>for</strong>mance Optimization by Simultaneous Gate andWire<br />

Sizing <strong>Based</strong> on Lagrangian Relaxation<br />

Hui-Ru Jiang 1 , Jing-Yang Jou 1 , and Yao-Wen Chang 2<br />

1 Department of Electronics Engineering, National Chiao Tung University,<br />

Hsinchu 30010, Taiwan<br />

2 Department of Computer and In<strong>for</strong>mation Science, National Chiao Tung University,<br />

Hsinchu 30010, Taiwan<br />

Abstract<br />

Noise, as well as area, delay, and power, is one of the most important concerns in the design of<br />

deep submicron ICs. Currently existing algorithms can not handle simultaneous switching<br />

conditions of signals <strong>for</strong> noise minimization. In this paper, we model not only physical coupling<br />

capacitance, but also simultaneous switching behavior <strong>for</strong> noise optimization. <strong>Based</strong> on<br />

Lagrangian relaxation, we present an algorithm that can optimally solve the simultaneous noise,<br />

area, delay, and power optimization problem by sizing circuit components. Our algorithm, with<br />

linear memory requirement overall and linear runtime per iteration, is very effective and<br />

efficient. For example, <strong>for</strong> a circuit of 6144 wires and 3512 gates, our algorithm solves the<br />

simultaneous optimization problem using only 2.1 MB memory and 47 minute runtime to<br />

achieve the precision of within 1% error on a SUN UltraSPARC-I workstation.<br />

References<br />

[1] H. B. Bakoglu, Circuits, Interconnections, and Packaging <strong>for</strong> VLSI, Addison-Wesley Pub. Company Inc., 1990.<br />

[2] C.-P. Chen, Y.-W. Chang and D. F.Wong, “Fast Per<strong>for</strong>mance-DrivenOptimization <strong>for</strong> Buffered Clock Trees<br />

<strong>Based</strong> on Lagrangian Relaxation,” Proc. DAC, pp. 405–408, June 1996.<br />

[3] C.-P. Chen, C. C. N. Chu and D. F.Wong, “Fast and Exact Simultaneous Gate and Wire Sizing by Lagrangian<br />

Relaxation,” Proc. ICCAD, pp. 617–624,Nov. 1998.<br />

[4] D.-S. Chen and M. Sarrafzadeh, “<strong>An</strong> Exact Algorithm <strong>for</strong> Low Power Library-Specific Gate Re-Sizing,” Proc.<br />

DAC, June 1996.<br />

[5] L. O. Chua, C. A. Desoer and E. S. Kuh, Linear and Nonlinear Circuits, McGraw-Hill Book Company, 1987.<br />

[6] A. Devgan, “<strong>Efficient</strong>Coupled Noise Estimation <strong>for</strong>On-Chip Interconnects,” Proc. ICCAD, pp. 147–151,Nov.<br />

1997.<br />

[7] W. C. Elmore, “The Transient Response of Damped Linear Networks with Particular Regard to Wide Band<br />

Amplifiers,” J. Applied Physics, 19(1), 1948.<br />

[8] F. S. Hillier and G. J. Lieberman, Introduction to Operations Research, 5th ed., McGraw-Hill Publishing, 1990.<br />

[9] M. Marek-Sadowska, “Impact of Deep Sub-micron Technologies on Physical Design,” Lecture notes and Private<br />

Communication,Aug. 1998.<br />

[10] Y. Massoud, S.Majors, T. Bustami and J.White, “Layout Techniques <strong>for</strong> Minimizing On-Chip Interconnect Self<br />

Inductance,” Proc. DAC, pp. 566–571, June 1998.<br />

[11] M. Nemani and F. N. Najm, “High-Level Area and Power Estimation <strong>for</strong> VLSI Circuits,” Proc. ICCAD, pp.<br />

114–119,Nov. 1997.<br />

[12] J. Rabaey, Digital Integrated Circuits: A Design Perspective, Prentice-Hall, Inc., 1996.<br />

[13] K. L. Shepard, “Design Methodologies <strong>for</strong> Noise in Digital Integrated Circuits,” Proc. DAC, pp. 94–99, June<br />

1998.<br />

[14] H.-P. Tseng, L. Scheffer, and C. Sechen, “Timing and Crosstalk Driven Area Routing,” Proc. DAC, pp. 378–<br />

381, June 1998.<br />

[15] A. Vittal and M. Merek-Sadowska, “Crosstalk Reduction <strong>for</strong> VLSI,” IEEE Trans. CAD, pp. 290–298,Vol. 16,<br />

No. 3, Mar. 1997.<br />

[16] W. L. Winston, Operations Research: Applications and Algorithms, 3rd ed., Int Thomson Publishing, 1994.<br />

[17] T. Xue, E. S. Kuh and D. Wang, “Post Global Routing Crosstalk Risk Estimation and Reduction,” Proc.<br />

ICCAD, pp. 302–309, Nov. 1996.


DAC'99, pages 96-99<br />

Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations<br />

Hai Zhou 1 , D.F. Wong 1 , I-Min Liu 2 , and Adnan Aziz 2<br />

1 Department of Computer Sciences, University of Texas, Austin, TX 78712<br />

2 Department of Electrical and Computer Engineering, University of Texas, Austin, TX 78712<br />

Abstract<br />

During the routing of global interconnects, macro blocks <strong>for</strong>m useful routing regions which<br />

allow wires to go through but <strong>for</strong>bid buffers to be inserted. They give restrictions on buffer<br />

locations. In this paper, we take these buffer location restrictions into consideration and solve the<br />

simultaneous maze routing and buffer insertion problem. Given a block placement defining<br />

buffer location restrictions and a pair of pins (a source and a sink), we give a polynomial time<br />

exact algorithm to find a buffered route from the source to the sink with minimum Elmore delay.<br />

References<br />

[1] Semiconductor Industry Association. National technology roadmap <strong>for</strong> semiconductors, 1994.<br />

[2] H. B. Bakoglu. Circuits, Interconnections, and Packaging <strong>for</strong> VLSI. Addison-Wesley, 1990.<br />

[3] T. H. Cormen, C. E. Leiserson, and R. H. Rivest. Introduction to Algorithms. MIT Press, 1989.<br />

[4] E. W. Dijkstra. A note on two problems in connection with graphs. Numerische Math., 1:269-271, 1959.<br />

[5] W. C. Elmore. The transient response of dampled linear networks with particular regard to wide-band amplifiers.<br />

Journal of Applied Physics, 19(1):55-63, January 1948.<br />

[6] M. R. Garey and D. S. Johnson. Computers and Intractability. W. H. Freeman and Co., 1979.<br />

[7] L. N. Kannan, P. R. Suaris, and H.-G. Fang. A methodology and algorithms <strong>for</strong> post-placement delay<br />

optimization. In DAC, pages 327-332, 1994.<br />

[8] J. Lillis, C. K. Cheng, and T. T. Lin. Optimal and <strong>Efficient</strong> Buffer insertion and wire sizing. In CICC, pages 259-<br />

262, 1995.<br />

[9] T. Okamoto and J. Cong. Buffered Steiner tree construction with wire sizing <strong>for</strong> interconnect layout<br />

optimization. In ICCAD, pages 44-49, 1996.<br />

[10] A. H. Salek, J. Lou, and M. Pedram. A simultaneous routing tree construction and fanout optimization<br />

algorithm. In ICCAD, 1998.<br />

[11] L. P. P. P. van Ginneken. Buffer placement in distributed RC-tree networks <strong>for</strong> minimal Elmore delay. In<br />

ISCAS, pages 865-868, 1990.


DAC'99, pages 100-103<br />

Crosstalk Minimization using Wire Perturbations<br />

Prashant Saxena<br />

Strategic CAD Labs, Intel Corporation, Hillsboro, OR 97124<br />

C. L. Liu<br />

Department of Computer Science, National Tsing Hua University, Hsinchu, Taiwan, R.O.C.<br />

Abstract<br />

We study the variation of the crosstalk in a net and its neighbors when one of its trunks is<br />

perturbed, showing that the trunk's perturbation range can be efficiently divided into subintervals<br />

having monotonic or unimodal crosstalk variation. We can there<strong>for</strong>e determine the optimum<br />

trunk location without solving any non-linear equations. Using this, we construct and<br />

experimentally verify an algorithm to minimize the peak net crosstalk in a gridless channel.<br />

References<br />

[1] Bakoglu, H. B., Circuits, Interconnections and Packaging <strong>for</strong> VLSI, Addison-Wesley Publishing Company,<br />

1990.<br />

[2] Chaudhary, K., A. Onazawa and E. S. Kuh, “A Spacing Algorithm <strong>for</strong> Per<strong>for</strong>mance Enhancement and Crosstalk<br />

Reduction”, Proc. Intl. Conf. Computer-Aided Design, 697–702, 1993.<br />

[3] Deutsch, D. N., “A Dogleg Channel Router”, Proc. Design Automation Conf., 425–433, 1976.<br />

[4] Gao, T. and C. L. Liu, “Minimum Crosstalk Channel Routing”, IEEE Trans. Computer-Aided Design 15 (5),<br />

465–474, 1996.<br />

[5] Hall, H. S. and S. R. Knight, Higher Algebra, Macmillan & Co. Ltd., London, 4th ed., 1891. Reprinted, 1960.<br />

[6] Karnik, T., Intel Corp., Hillsboro, OR, Private communication, 1997.<br />

[7] Leong, H. W. and C. L. Liu, “A New Channel Router”, Proc. Design Automation Conf., 584–590, 1983.<br />

[8] Sakurai, T. and K. Tamaru, “Simple Formulas <strong>for</strong> Two- and Three-Dimensional Capacitances”, IEEE Trans.<br />

Electron Devices ED-30 (2), 183–185, 1983.<br />

[9] Saxena, P., The Retiming and Routing of VLSI Circuits, Ph.D. Thesis, Tech. Rep. UIUCDCS-R-98-2059, Dept.<br />

of Computer Science, Univ. of Illinois at Urbana-Champaign, 1998.<br />

[10] Wong, D. F. and C. L. Liu, “Compacted Channel Routing with Via Placement Restriction”, Integration 4 (4),<br />

267–307, 1986.<br />

[11] Yoshimura, T. and E. S. Kuh, “<strong>Efficient</strong> Algorithms <strong>for</strong> Channel Routing”, IEEE Trans. Computer-Aided<br />

Design CAD-1 (1), 25–35, 1982.


DAC'99, pages 104-109<br />

Practical Advances in Asynchronous Design and in Asynchronous/Synchronous Interfaces<br />

Erik Brunvand<br />

Dept. of Computer Science, University of Utah, SLC, Utah 84112<br />

Steven Nowick<br />

Dept. of Computer Science, Columbia University, New York, NY 10027<br />

Kenneth Yun<br />

Department of ECE, University of Cali<strong>for</strong>nia, San Diego, CA 92093<br />

Abstract<br />

Asynchronous systems are being viewed as an increasingly viable alternative to purely<br />

synchronous systems. This paper gives an overview of the current state of the art in practical<br />

asynchronous circuit and system design in four areas: controllers, datapaths, processors, and the<br />

design of asynchronous/synchronous interfaces.<br />

References<br />

[1] S.S. Appleton, S.V. Morton, and M.J. Liebelt. Two-phase asynchronous pipeline control. In IEEE Int. Symp. on<br />

Advanced Research in Asynchronous Circuits and Systems, April 1997.<br />

[2] P.A. Beerel and T. Meng. Automatic gate-level synthesis of speed-independent circuits. In ICCAD, pages 581-<br />

586. IEEE Computer Society Press, November 1992.<br />

[3] M. Benes, S.M. Nowick, and A. Wolfe. A fast asynchronous Hu_man decoder <strong>for</strong> compressed-code embedded<br />

processors. In IEEE Int. Symp. on Advanced Research in Asynchronous Circuits and Systems, pages 43-56, 1998.<br />

[4] K. van Berkel, R. Burgess, J. Kessels, A. Peeters, M. Roncken, and F. Schalij. A fully-asynchronous low-power<br />

error corrector <strong>for</strong> the DCC player. IEEE JSSC, 29(12):1429-1439, December 1994.<br />

[5] J.G. Bredeson and P.T. Hulina. Elimination of static and dynamic hazards <strong>for</strong> multiple input changes in<br />

combinational switching circuits. In<strong>for</strong>mation and Control, 20:114-224, 1972.<br />

[6] E. Brunvand. The NSR processor. In Proceedings of the 26 th International Conference on System Sciences, Jan<br />

1993.<br />

[7] E. Brunvand and R.F. Sproull. Translating concurrent programs into delay-insensitive circuits. In ICCAD, pages<br />

262-265. IEEE Computer Society Press, November 1989.<br />

[8] S.M. Burns. General condition <strong>for</strong> the decomposition of state holding elements. In Int. Symp. on Advanced<br />

Research in Asynchronous Circuits and Systems, pages 48-57. IEEE Computer Society Press, November 1996.<br />

[9] S.M. Burns and A.J. Martin. Syntax-directed translation of concurrent programs into self-timed circuits. In<br />

Advanced Research in VLSI, pages 35-50. MIT Press, Cambridge, MA, 1988.<br />

[10] S. Chakraborty, D.L. Dill, and K.Y. Yun. Min-max timing analysis and its application to asynchronous circuits.<br />

Proceedings of the IEEE, 87(2), Feb 1999.<br />

[11] D.M. Chapiro. Globally-Asynchronous Locally-Synchronous Systems. PhD thesis, Stan<strong>for</strong>d University, October<br />

1984.<br />

[12] T.-A. Chu. Synthesis of self-timed vlsi circuits from graph-theoretic specifications. Technical Report MIT-<br />

LCS-TR-393, MIT, 1987. Ph.D. Thesis.<br />

[13] W.A. Clark. Macromodular computer systems. In Spring Joint Computer Conference. AFIPS, April 1967.<br />

[14] W.A. Clark and C.E. Molnar. Macromodular system design. Technical Report 23, Computer Systems<br />

Laboratory, Washington University, April 1973.<br />

[15] W.S. Coates, J.K. Lexau, I.W. Jones, S.M. Fairbanks, and I. E. Sutherland. A FIFO data switch design<br />

experiment. In IEEE Int. Symp. on Advanced Research in Asynchronous Circuits and Systems, pages 4-17, 1998.<br />

[16] J. Cortadella, M. Kishinevsky, A. Kondratyev, L. Lavagno, and A. Yakovlev. Methodology and tools <strong>for</strong> state<br />

encoding in asynchronous circuit synthesis. In DAC, June 1996.<br />

[17] A. Davis, B. Coates, and K. Stevens. Automatic synthesis of fast compact self-timed control circuits. In IFIP<br />

Working Conference on Asynchronous Design Methodologies, 1993.<br />

[18] A.L. Davis. The architecture and system method <strong>for</strong> DDM1: A recursively structured data-driven machine. In<br />

5th <strong>An</strong>nual Symp. on Computer Architecture, April 1978.


[19] P. Day and J.V. Woods. Investigation into micropipeline latch design styles. IEEE TVLSI, 3(2):264-272, June<br />

1995.<br />

[20] M.E. Dean. STRiP: A Self-Timed RISC Processor Architecture. PhD thesis, Stan<strong>for</strong>d University, 1992.<br />

[21] J.C. Ebergen. A <strong>for</strong>mal approach to designing delay-insensitive circuits. Distributed Computing, 5(3):107-119,<br />

1991.<br />

[22] E.B. Eichelberger. Hazard detection in combinational and sequential switching circuits. IBM Journal of<br />

Research and Development, 9(2):90-99, 1965.<br />

[23] R.M. Fuhrer, B. Lin, and S.M. Nowick. Symbolic hazard-free minimization and encoding of asynchronous<br />

finite state machines. In ICCAD, pages 604-611, November 1995.<br />

[24] S. Furber. Computing without clocks: Micropipelining the ARM processor. In Graham Birtwistle and Al Davis,<br />

editors, Asynchronous Digital Circuit Design, Workshops in Computing, pages 211-262. Springer-Verlag, 1995.<br />

[25] S.B. Furber and P. Day. Four-phase micropipeline latch control circuits. IEEE TVLSI, 4(2):247-253, June 1996.<br />

[26] S.B. Furber, P. Day, J.D. Garside, N.C. Paver, and J.V. Woods. A micropipelined ARM. In Proceedings of<br />

VLSI93, Grenoble, France, 1993.<br />

[27] S.B. Furber, J. D. Garside, S. Temple, J. Liu, P. Day, and N.C. Paver. AMULET2e: <strong>An</strong> asynchronous<br />

embedded controller. In IEEE Int. Symp. on Advanced Research in Asynchronous Circuits and Systems, April 1997.<br />

[28] S.B. Furber and J. Liu. Dynamic logic in four-phase micropipelines. In IEEE Int. Symp. on Advanced Research<br />

in Asynchronous Circuits and Systems, March 1996.<br />

[29] J. D. Garside, S. Temple, and R. Mehra. The AMULET2e cache system. In IEEE Int. Symp. on Advanced<br />

Research in Asynchronous Circuits and Systems, March 1996.<br />

[30] J.D. Garside, S.B. Furber, and S.-H. Chung. AMULET3 revealed. In IEEE Int. Symp. on Advanced Research in<br />

Asynchronous Circuits and Systems, April 1999.<br />

[31] R. Ginosar and R. Kol. Adaptive synchronization. In ICCD, pages 188-189, October 1998.<br />

[32] D. Harris and M.A. Horowitz. Skew-tolerant domino circuits. IEEE JSSC, 32(11):1702-1711, November 1997.<br />

[33] M.B. Josephs and J.T. Udding. <strong>An</strong> overview of D-I algebra. In HICSS, volume I, pages 329-338. IEEE<br />

Computer Society Press, January 1993.<br />

[34] D. Kearney and N.W. Bergmann. Bundled data asynchronous multipliers with data dependant computation<br />

times. In IEEE Int. Symp. on Advanced Research in Asynchronous Circuits and Systems, April 1997.<br />

[35] A. Kondratyev, M. Kishinevsky, B. Lin, P. Vanbekbergen, and A. Yakovlev. Basic gate implementation of<br />

speed-independent circuits. In DAC, pages 56-62. ACM, June 1994.<br />

[36] D.S. Kung. Hazard-non-increasing gate-level optimization algorithms. In ICCAD, pages 631-634, November<br />

1992.<br />

[37] L. Lavagno, C.W. Moon, R.K. Brayton, and A. Sangiovanni-Vincentelli. Solving the state assignment problem<br />

<strong>for</strong> signal transition graphs. In DAC, pages 568-572, June 1992.<br />

[38] L. Lavagno and A. Sangiovanni-Vincentelli. Algorithms <strong>for</strong> synthesis and testing of asynchronous circuits.<br />

Kluwer Academic, 1993.<br />

[39] B. Lin and S. Devadas. Synthesis of hazard-free multi-level logic under multiple-input changes from binary<br />

decision diagrams. In ICCAD, pages 542-549, Nov. 1994.<br />

[40] A. Marshall, B. Coates, and P. Siegel. The design of an asynchronous communications chip. IEEE Design and<br />

Test, 11(2):8-21, Summer 1994.<br />

[41] A. Martin, S. Burns, T.K. Lee, D. Borkovic, and P. Hazewindus. The design of an asynchronous<br />

microprocessor. In Proc. Cal Tech Conference on VLSI, 1989.<br />

[42] A.J. Martin. Programming in VLSI: From communicating processes to delay-insensitive circuits. In C.A.R.<br />

Hoare, editor, Developments in Concurrency and Communication, pages 1-64. Addison-Wesley, Reading, MA,<br />

1990.<br />

[43] A.J. Martin. Asynchronous datapaths and the design of an asynchronous adder. Formal Methods in System<br />

Design, 1(1):119-137, July 1992.<br />

[44] A.J. Martin, A. Lines, R. Manohar, M. Nystroem, P. Penzes, R. Southworth, and U. Cummings. The design of<br />

an asynchronous MIPS R3000 microprocessor. In Advanced Research in VLSI, September 1997.<br />

[45] G. Matsubara and N. Ide. A low power zero-overhead self-timed division and square root unit combining a<br />

single-rail static circuit with a dual-rail dynamic circuit. In IEEE Int. Symp. on Advanced Research in Asynchronous<br />

Circuits and Systems, April 1997.<br />

[46] T.H.-Y. Meng, R.W. Brodersen, and D.G. Messerschmitt. Automatic synthesis of asynchronous circuits from<br />

high-level specifications. IEEE TCAD, 8(11):1185-1205, November 1989.<br />

[47] S. Moore, P. Robinson, and S. Wilcox. Rotary pipeline processors. IEE Proceedings, Computers and Digital<br />

Techniques, 143(5), September 1996.


[48] C. Myers and T. Meng. Synthesis of Timed Asynchronous Circuits. IEEE TVLSI, 1(2):106-119, June 1993.<br />

[49] T. Nanya, Y. Ueno, H. Kagotani, M. Kuwako, and A. Takamura. TITAC: Design of a quasi-delay-insensitive<br />

microprocessor. IEEE Design & Test of Computers, 11(2):50-63, 1994.<br />

[50] S.M. Nowick. Automatic synthesis of burst-mode asynchronous controllers. Technical report, Stan<strong>for</strong>d<br />

University, March 1993. Ph.D. Thesis (available as Stan<strong>for</strong>d Univ. Cptr. Sys. Lab. tech report, CSL-TR-95-686,<br />

Dec. 95).<br />

[51] S.M. Nowick, M.E. Dean, D.L. Dill, and M. Horowitz. The design of a high-per<strong>for</strong>mance cache controller: a<br />

case study in asynchronous synthesis. INTEGRATION, the VLSI journal, 15(3):241-262, October 1993.<br />

[52] S.M. Nowick and D.L. Dill. Synthesis of asynchronous state machines using a local clock. In ICCD, pages 192-<br />

197. IEEE Computer Society Press, October 1991.<br />

[53] S.M. Nowick and D.L. Dill. Exact two-level minimization of hazard-free logic with multiple-input changes.<br />

IEEE TCAD, 14(8):986-997, August 1995.<br />

[54] S.M. Nowick, N.K. Jha, and F.-C. Cheng. Synthesis of asynchronous circuits <strong>for</strong> stuck-at and robust path delay<br />

fault testability. IEEE TCAD, 16(12):1514-1521, December 1997.<br />

[55] S.M. Nowick, K.Y. Yun, and P.A. Beerel. Speculative completion <strong>for</strong> the design of high-per<strong>for</strong>mance<br />

asynchronous dynamic adders. In IEEE Int. Symp. on Advanced Research in Asynchronous Circuits and Systems,<br />

April 1997.<br />

[56] N.C. Paver. The Design and Implementation of an Asynchronous Microprocessor. PhD thesis, University of<br />

Manchester, 1994.<br />

[57] W.F. Richardson and E. Brunvand. Precise exception handling <strong>for</strong> a self-timed processor. In ICCD, pages 32-<br />

37, Los Alamitos, CA, October 1995. IEEE Computer Society Press.<br />

[58] W.F. Richardson and E. Brunvand. Architectural considerations <strong>for</strong> a self-timed decoupled processor. IEE<br />

Proceedings, Computers and Digital Techniques, 143(5), September 1996.<br />

[59] W.F. Richardson and E. Brunvand. Fred: <strong>An</strong> architecture <strong>for</strong> a self-timed decoupled computer. In IEEE Int.<br />

Symp. on Advanced Research in Asynchronous Circuits and Systems, 1996.<br />

[60] S. Rotem, K. Stevens, R. Ginosar, P. Beerel, C. Myers, K. Yun, R. Kol, C. Dike, M. Roncken, and B. Agapiev.<br />

RAPPID: an asynchronous instruction length decoder. In IEEE Int. Symp. on Advanced Research in Asynchronous<br />

Circuits and Systems, 1999.<br />

[61] P. Siegel, G. De Micheli, and D. Dill. Technology mapping <strong>for</strong> generalized fundamental-mode asynchronous<br />

designs. In DAC, pages 61-67. ACM, June 1993.<br />

[62] R.F. Sproull, I.E. Sutherland, and C.E. Molnar. The counterflow pipeline processor architecture. IEEE Design<br />

& Test of Computers, 11(3):48-59, Fall 1994.<br />

[63] I.E. Sutherland. Micropipelines. CACM, 32(6):720-738, June 1989.<br />

[64] A. Takamura, M. Kuwako, M. Imai, T. Fujii, M. Ozawa, I. Fukasaku, U. Ueno, and T. Nanya. TITAC-2: an<br />

asynchronous 32-bit microprocessor based on scalable-delay-insensitive model. In ICCD, pages 288-294, October<br />

1997.<br />

[65] H. Terada, S. Miyata, and M. Iwata. Ddmps: Self-timed super-pipelined data-driven multimedia processors.<br />

Proceedings of the IEEE, 87(2), Feb 1999.<br />

[66] M. Theobald, S.M. Nowick, and T. Wu. Espresso-HF: a heuristic hazard-free minimizer <strong>for</strong> two-level logic. In<br />

DAC, pages 71-76, June 1996.<br />

[67] S. H. Unger. Asynchronous Sequential Switching Circuits. Wiley-Interscience, John Wiley & Sons, Inc., New<br />

York, 1969.<br />

[68] C.H. van Berkel and R.W.J.J. Saeijs. Compilation of communicating processes into delay-insensitive circuits.<br />

In ICCD, pages 157-162. IEEE Computer Society Press, 1988.<br />

[69] K. van Berkel, R. Burgess, J. Kessels, A. Peeters, M. Roncken, and F. Schalij. Asynchronous Circuits <strong>for</strong> Low<br />

Power: a DCC Error Corrector. IEEE Design & Test, 11(2):22-32, June 1994.<br />

[70] H. van Gageldonk. <strong>An</strong> asynchronous low-power 80C51 microcontroller. Technical report, Eindhoven<br />

University of Technology, Sept 1998. Ph.D. Thesis.<br />

[71] H. van Gageldonk, K. van Berkel, A. Peeters, D. Baumann, D. Gloor, and G. Stegmann. <strong>An</strong> asynchronous lowpower<br />

80C51 microcontroller. In IEEE Int. Symp. on Advanced Research in Asynchronous Circuits and Systems,<br />

April 1998.<br />

[72] V.I. Varshavsky, M.A. Kishinevsky, V.B. Marakhovsky, V.A. Peschansky, L.Y. Rosenblum, A.R. Taubin, and<br />

B.S. Tzirlin. Self-timed Control of Concurrent Processes. Kluwer<br />

Academic Publishers, 1990. Russian edition: 1986.<br />

[73] T. Williams, N. Patkar, and G. Shen. SPARC64: A 64-b 64-active-instruction out-of-order-execution MCM<br />

processor. IEEE JSSC, 30(11):1215-1226, November 1995.


[74] T.E. Williams. Self-Timed Rings and their Application to Division. PhD thesis, Stan<strong>for</strong>d University, June 1991.<br />

[75] T.E. Williams and M.A. Horowitz. A zero-overhead self-timed 160ns 54b CMOS divider. IEEE JSSC,<br />

26(11):1651-1661, November 1991.<br />

[76] K.Y. Yun, P.A. Beerel, and J. Arceo. High-per<strong>for</strong>mance two-phase micropipeline building blocks: double edgetriggered<br />

latches and burst-mode select and toggle circuits. IEE Proceedings, Circuits, Devices and Systems,<br />

143(5):282-288, October 1996.<br />

[77] K.Y. Yun, P.A. Beerel, V. Vakilotojar, A.E. Dooply, and J. Arceo. The design and verification of a highper<strong>for</strong>mance<br />

low-control-overhead asynchronous differential equation solver. IEEE TVLSI, 6(4):643-655, December<br />

1998.<br />

[78] K.Y. Yun, S. Chakraborty, K.W. James, R. Fairlie-Cuninghame, and R.L. Cruz. A self-timed real-time sorting<br />

network. In ICCD, pages 427-434, October 1998.<br />

[79] K.Y. Yun and D.L. Dill. Automatic synthesis of 3D asynchronous finite-state machines. In ICCAD, Nov. 1992.<br />

[80] K.Y. Yun and D.L. Dill. Unifying synchronous/asynchronous state machine synthesis. In ICCAD, pages 255-<br />

260. IEEE Computer Society Press, November 1993.<br />

[81] K.Y. Yun and D.L. Dill. A high-per<strong>for</strong>mance asynchronous SCSI controller. In ICCD, pages 44-49, Oct. 1995.<br />

[82] K.Y. Yun and D.L. Dill. Automatic synthesis of extended burst-mode circuits: part I (specification and hazardfree<br />

implementations). IEEE TCAD, 18(2):101-117, February 1999.<br />

[83] K.Y. Yun and D.L. Dill. Automatic synthesis of extended burst-mode circuits: part II (automatic synthesis).<br />

IEEE TCAD, 18(2):118-132, February 1999.<br />

[84] K.Y. Yun and R.P. Donohue. Pausible clocking: A first step toward heterogeneous systems. In ICCD, pages<br />

118-123, October 1996.<br />

[85] K.Y. Yun and A.E. Dooply. Optimal evaluation clocking of self-resetting domino pipelines. In Proc. of Asia<br />

and South Pacific Design Automation Conference, pages 121-124, January 1999.


DAC'99, pages 110-115<br />

Automatic synthesis and optimization of partially specified asynchronous systems<br />

Alex Kondratyev 1 , Jordi Cortadella 2 , Michael Kishinevsky 3 , Luciano Lavagno 4 ,<br />

Alexander Yakovlev 5<br />

1 Univ. of Aizu, Japan<br />

2 Univ. Politècnica, Catalunya, Spain<br />

3 Intel Corp., USA<br />

4 Univ. of Udine, Italy<br />

5 Univ. of Newcastle upon Tyne, UK<br />

Abstract<br />

A method <strong>for</strong> automating the synthesis of asynchronous control circuits from high level (CSPlike)<br />

and/or partial STG (involving only functionally critical events) specifications is presented.<br />

The method solves two key subtasks in this new, more flexible, design flow: handshake<br />

expansion, i.e. inserting reset events with maximum concurrency, and event reshuffling under<br />

interface and concurrency constraints, by means of concurrency reduction. In doing so, the<br />

algorithm optimizes the circuit both <strong>for</strong> size and per<strong>for</strong>mance. Experimental results show a<br />

significant increase in the solution space explored when compared to existing CSP-based or<br />

STG-based synthesis tools.<br />

References<br />

[1] Kees van Berkel. Handshake Circuits: an Asynchronous Architecture <strong>for</strong> VLSI Programming, volume 5 of<br />

International Series on Parallel Computation. Cambridge University Press, 1993.<br />

[2] T.-A. Chu. Synthesis of Self-timed VLSI Circuits from Graph-theoretic Specifications. PhD thesis, MIT, June<br />

1987.<br />

[3] J. Cortadella, M. Kishinevsky, A. Kondratyev, L. Lavagno, and A. Yakovlev. Automatic handshake expansion<br />

and reshuffling using concurrency reduction. In Workshop on Hardware Design and Petri Nets, pages 86–110, June<br />

1998.<br />

[4] Jordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, and Alex Yakovlev. Petrify: a tool<br />

<strong>for</strong> manipulating concurrent specifications and synthesis of asynchronous controllers. IEICE Transactions on<br />

In<strong>for</strong>mation and Systems, E80-D(3):315–325, 1997.<br />

[5] Bill Lin, Chantal Ykman-Couvreur, and Peter Vanbekbergen. A general state graph trans<strong>for</strong>mation framework<br />

<strong>for</strong> asynchronous synthesis. In Proc. European Design Automation Conference (EURO-DAC), pages 448–453. IEEE<br />

Computer Society Press, September 1994.<br />

[6] Alain J. Martin. Synthesis of asynchronous VLSI circuits. In J. Straunstrup, editor, Formal Methods <strong>for</strong> VLSI<br />

Design, chapter 6, pages 237–283. North-Holland, 1990.<br />

[7] T. Murata. Petri Nets: Properties, analysis and applications. Proceedings of the IEEE, pages 541–580, April<br />

1989.<br />

[8] Chris J. Myers and Teresa H.-Y. Meng. Synthesis of timed asynchronous circuits. IEEE Transactions on VLSI<br />

Systems, 1(2):106–119, June 1993.<br />

[9] Ad Peeters. Implementation of a parallel component in tangram. Personal communication, 1997.


DAC'99, pages 116-121<br />

CAD Directions <strong>for</strong> High Per<strong>for</strong>mance Asynchronous Circuits<br />

Ken Stevens 1 , Shai Rotem 1 , StevenM. Burns 1 , Jordi Cortadella 2 ,<br />

Ran Ginosar 1;3 , Michael Kishinevsky 1 , and Marly Roncken 1<br />

1 Strategic CAD Labs, Intel Corporation, Hillsboro, OR, USA<br />

2 Universitat Polit`ecnica de Catalunya, Barcelona, Spain<br />

3 VLSI Systems Research Center, Technion, Haifa, Israel<br />

Abstract<br />

This paper describes a novel methodology <strong>for</strong> high per<strong>for</strong>mance asynchronous design based on<br />

timed circuits and on CAD support <strong>for</strong> their synthesis using Relative Timing. This methodology<br />

was developed <strong>for</strong> a prototype iA32 instruction length decoding and steering unit called RAPPID<br />

("Revolving Asynchronous Pentium ® Processor Instruction Decoder") that was fabricated and<br />

tested successfully. Silicon results show significant advantages - in particular, per<strong>for</strong>mance of<br />

2.5-4.5 instructions per nS - with manageable risks using this design technology. RAPPID<br />

achieves three times faster per<strong>for</strong>mance and half the latency dissipating only half the power and<br />

requiring a minor area penalty as a comparable 400MHz clocked circuit.<br />

Relative Timing is based on user-defined and automatically extracted relative timing<br />

assumptions between signal transitions in a circuit and its environment. It supports the<br />

specification, synthesis, and verification of high-per<strong>for</strong>mance asynchronous circuits, such as<br />

pulse-mode circuits, that can be derived from an initial speed-independent specification. Relative<br />

timing presents a "middle-ground" between clocked and asynchronous circuits, and is a fertile<br />

area <strong>for</strong> CAD development. We discuss possible directions <strong>for</strong> future CAD development.<br />

References<br />

[1] Wendy Belluomini, Chris J.Myers, and H. Peter Hofstee. Verification of Delayed-Reset Domino Circuits using<br />

ATACS. In 1999 International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems<br />

(TAU99), pages 39–44, Monterey, CA, March 1999. ACM/IEEE.<br />

[2] Kees van Berkel. Handshake Circuits: an Asynchronous Architecture <strong>for</strong> VLSI Programming, volume 5 of<br />

International Series on Parallel Computation. Cambridge University Press, 1993.<br />

[3] S.M. Burns. General condition <strong>for</strong> the decomposition of state holding elements. In Proc. International<br />

Symposium on Advanced Research in Asynchronous Circuits and Systems. IEEE Computer Society Press, March<br />

1996.<br />

[4] J. Cortadella, M. Kishinevsky, A. Kondratyev, L. Lavagno, A. Taubin, and A. Yakovlev. Lazy transition<br />

systems: application to timing optimization of asynchronous circuits. In Proceedings of the International<br />

Conference on Computer-Aided Design, pages 324–331, November 1998.<br />

[5] Henrik Hulgaard and Steven M. Burns. Bounded delay timing analysis of a class of CSP programs. Formal<br />

Methods in System Design, 11(3):265–294, October 1997.<br />

[6] M. Kishinevsky, J. Cortadella, and A. Kondratyev. Asynchronous interface specification, analysis and synthesis.<br />

In Proceedings of the Design Automation Conference, pages 2–7, June 1998.<br />

[7] Alain J. Martin. Synthesis of asynchronous VLSI circuits. In J. Straunstrup, editor, Formal Methods <strong>for</strong> VLSI<br />

Design, chapter 6, pages 237–283. North-Holland, 1990.<br />

[8] Chris J. Myers. Computer-Aided Synthesis and Verification of Gate-Level Timed Circuits. PhD thesis, Dept. of<br />

Elec. Eng., Stan<strong>for</strong>d University, October 1995.<br />

[9] Radu Negulescu and Ad Peeters. Verification of speed-dependences in single-rail handshake circuits. In Proc.<br />

International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 159–170, 1998.<br />

[10] S. Rotem, K. S. Stevens, R. Ginosar, P. A. Beerel, C. J.Myers, K. Yun, R. Kol, C. Dike, M. Roncken, and B.<br />

Agapiev. RAPPID: <strong>An</strong> asynchronous instruction length decoder. In Proc. International Symposium on Advanced<br />

Research in Asynchronous Circuits and Systems, April 1999.


[11] K. S. Stevens, S. Rotem, and R. Ginosar. Relative timing. In Proc. International Symposium on Advanced<br />

Research in Asynchronous Circuits and Systems, April 1999.<br />

[12] Kenneth S. Stevens. Practical Verification and Synthesis of Low Latency Asynchronous Systems. PhD thesis,<br />

University of Calgary, Calgary, Alberta, September 1994.<br />

[13] Frank C. D. Young, Kenneth S. Stevens, and Robert P. Graham. Timed Logic Con<strong>for</strong>mance and its<br />

Application. In 1999 International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems<br />

(TAU99), pages 95–100, Monterey, CA, March 1999. ACM/IEEE.<br />

[14] Kenneth Yi Yun. Synthesis of Asynchronous Controllers <strong>for</strong> Heterogeneous Systems. PhD thesis, Stan<strong>for</strong>d<br />

University, August 1994.


DAC'99, pages 122-127<br />

A Low Power Hardware/Software Partitioning <strong>Approach</strong> <strong>for</strong> Core-based Embedded<br />

Systems<br />

Jörg Henkel<br />

C&C Research Laboratories, NEC USA, Princeton, NJ 08540<br />

Abstract<br />

We present a novel approach that minimizes the power consumption of embedded core-based<br />

systems through hardware/ software partitioning. Our approach is based on the idea of mapping<br />

clusters of operations/instructions to a core that yields a high utilization rate of the involved<br />

resources (ALUs, multipliers, shifters, ...) and thus minimizing power consumption. Our<br />

approach is comprehensive since it takes into consideration the power consumption of a whole<br />

embedded system comprising a microprocessor core, application specific (ASIC) core(s), cache<br />

cores and a memory core. We report high reductions of power consumption between 35% and<br />

94% at the cost of a relatively small additional hardware overhead of less than 16k cells while<br />

maintaining or even slightly increasing the per<strong>for</strong>mance compared to the initial design.<br />

References<br />

[1] M. Keaton, P. Bricaud, Reuse Methodology Manual For System–On–A–Chip Designs, Kluwer Academic<br />

Publishers, 1998.<br />

[2] TI’s 0.07 Micron CMOS Technology Ushers In Era of Gigahertz DSP and <strong>An</strong>alog Per<strong>for</strong>mance, Texas<br />

Instruments, Published in the Internet, http://www.ti.com/sc/docs/news/1998/98079.htm, 1998.<br />

[3] R.K. Gupta, Y. Zorian, Introducing Core-<strong>Based</strong> System Design, IEEE Design & Test of Computers Magazine,<br />

Vol. 13, No. 4, pp. 15–25. 1997.<br />

[4] F. Vahid, D.D. Gajski, J. Gong, A Binary–Constraint Search Algorithm <strong>for</strong> Minimizing Hardware during<br />

Hardware/Software Partitioning, IEEE/ACM Proc. of The European Conference on Design Automation (EuroDAC)<br />

1994, pp. 214–219, 1994.<br />

[5] R.K. Gupta and G.D. Micheli, System-level Synthesis using Reprogrammable Components, IEEE/ACM Proc. of<br />

EDAC’92, IEEE Comp. Soc. Press, pp. 2–7, 1992.<br />

[6] Z. Peng, K. Kuchcinski, <strong>An</strong> Algorithm <strong>for</strong> Partitioning of Application Specific System, IEEE/ACM Proc. of The<br />

European Conference on Design Automation (EuroDAC) 1993, pp. 316–321, 1993.<br />

[7] J. Madsen, P. V. Knudsen, LYCOS Tutorial, Handouts from Eurochip course on Hardware/Software Codesign,<br />

Denmark, 14.–18. Aug. 1995.<br />

[8] T. Y. Yen, W. Wolf, Multiple–Process Behavioral Synthesis <strong>for</strong> Mixed Hardware–Software Systems,<br />

IEEE/ACM Proc. of 8th. International Symposium on System Synthesis, pp. 4–9, 1995.<br />

[9] A. Kalavade, E. Lee, A Global Critically/Local Phase Driven Algorithm <strong>for</strong> the Constraint Hardware/Software<br />

Partitioning Problem, Proc. of 3rd. IEEE Int. Workshop on Hardware/Software Codesign, pp. 42–48, 1994.<br />

[10] I. Hong, D. Kirovski et al., Power Optimization of Variable Voltage Core-<strong>Based</strong> Systems, IEEE Proc. of 35th.<br />

Design Automation Conference (DAC98), pp.176-181, 1998.<br />

[11] B.P. Dave, G. Lakshminarayana, N.K. Jha, COSYN: Hardware-Software Co-Synthesis of Embedded Systems’<br />

IEEE Proc. of 34th. Design Automation Conference (DAC97), pp.703-708, 1997.<br />

[12] V. Tiwari, S. Malik, A.Wolfe, Instruction Level Power <strong>An</strong>alysis and Optimization of Software, Kluwer<br />

Academic Publishers, Journal of VLSI Signal Processing, pp. 1–18, 1996.<br />

[13] Ch.Ta Hsieh, M. Pedram, G. Mehta, F.Rastgar, Profile-Driven Program Synthesis <strong>for</strong> Evaluation of System<br />

Power Dissipation, IEEE Proc. of 34th. Design Automation Conference (DAC97), pp.576-581, 1997.<br />

[14] P.-W. Ong, R.-H. Ynn, Power-Conscious Software Design – a framework <strong>for</strong> modeling software on hardware,<br />

IEEE Proc. of Symposium on Low Power Electronics, pp. 36–37, 1994.<br />

[15] T. Sato, M. Nagamatsu, H. Tago, Power and Per<strong>for</strong>mance Simulator: ESP and its Application <strong>for</strong> 100 MIPS/W<br />

Class RISC Design, IEEE Proc. of Symposium on Low Power Electronics, pp. 46–47, 1994.<br />

[16] A.W. Aho, R. Sethi and J.D. Ullmann,COMPILERS Principles, Techniques and Tools, Bell Telephone<br />

Laboratories, 1987.


[17] M. D. Hill, J. R. Laurus, A. R. Lebeck et al., WARTS: Wisconsin Architectural Research Tool Set, Computer<br />

Science Department University of Wiscocnsin.<br />

[18] P. Landman and J. Rabaey, Architectural Power <strong>An</strong>alysis: The Dual Bit Type Method, IEEE Transactions on<br />

VLSI Systems, Vol.3, No.2, June 1995.


DAC'99, pages 128-133<br />

Synthesis of Low-Overhead Interfaces <strong>for</strong> Power-<strong>Efficient</strong> Communication<br />

over Wide Buses<br />

L. Benini 1 , A. Macii 2 , E. Macii 2 , M. Poncino 2 , R. Scarsi 2<br />

1 Università di Bologna, Bologna, ITALY 40136<br />

2 Politecnico di Torino, Torino, ITALY 10129<br />

Abstract<br />

In this paper we present algorithms <strong>for</strong> the synthesis of encoding and decoding interface logic<br />

that minimizes the average number of transitions on heavily-loaded global bus lines. The<br />

approach automatically constructs low-transition activity codes and hardware implementation of<br />

encoders and decoders, given in<strong>for</strong>mation on word-level statistics. We present an accurate<br />

method that is applicable to low-width buses, as well as approximate methods that scale well<br />

with bus width. Furthermore, we introduce an adaptive architecture that automatically adjusts<br />

encoding to reduce transition activity on buses whose word-level statistics are not known apriori.<br />

Experimental results demonstrate that our approach well outper<strong>for</strong>ms low-power encoding<br />

schemes presented in the past.<br />

References<br />

[1] M. R. Stan, W. P. Burleson, “Bus-Invert Coding <strong>for</strong> Low-Power I/O,"<br />

[2] L. Benini, G. De Micheli, E. Macii, D. Sciuto, C. Silvano, “Address Bus Encoding Techniques <strong>for</strong> System-Level<br />

Power Optimization," DATE-98, pp. 861-866, Feb. 1998.<br />

[3] E. Musoll, T. Lang, J. Cortadella, “Working-Zone Encoding <strong>for</strong> Reducing the Energy in Microprocessor Address<br />

Buses," IEEE Trans. on VLSI Systems, Vol. 6, No. 4, pp. 568-572, Dec. 1998.<br />

[4] M. R. Stan, W. P. Burleson, “Low-Power Encodings <strong>for</strong> Global Communication in CMOS VLSI," IEEE Trans.<br />

on VLSI Systems, Vol. 5 No. 4, pp. 444-455, Dec. 1997.<br />

[5] H. Mehta, R. M. Owens, M. J. Irwin, “Some Issues in Gray Code Addressing," GLS-VLSI-96, pp. 178-180, Mar.<br />

1996.<br />

[6] L. Benini G. De Micheli, E. Macii, M. Poncino, S. Quer, “Reducing Power Consumption of Core-<strong>Based</strong> Systems<br />

By Address Bus Encoding", IEEE Trans. on VLSI Systems, Vol. 6, No. 4, pp. 554-562, Dec. 1998.<br />

[7] S. Ramprasad, N. R. Shanbhag, I. N. Hajj, “Achievable Bounds on sIgnal Transition Activity," ICCAD-97, pp.<br />

126-131, Nov. 1997.<br />

[8] S. Ramprasad, N. R. Shanbhag, I. N. Hajj, “Signal Coding <strong>for</strong> Low Power: Fundamental Limits and Practical<br />

Realizations," ISCAS-98, pp. 1-4, Jun. 1998.


DAC'99, pages 134-139<br />

Power Conscious Fixed Priority Scheduling <strong>for</strong> Hard Real-Time Systems<br />

Youngsoo Shin and Kiyoung Choi<br />

School of Electrical Engineering, Seoul National University, Seoul 151-742, Korea<br />

Abstract<br />

Power efficient design of real-time systems based on programmable processors becomes more<br />

important as system functionality is increasingly realized through software. This paper presents a<br />

power-efficient version of a widely used fixed priority scheduling method. The method yields a<br />

power reduction by exploiting slack times, both those inherent in the system schedule and those<br />

arising from variations of execution times. The proposed run-time mechanism is simple enough<br />

to be implemented in most kernels. Experimental results show that the proposed scheduling<br />

method obtains a significant power reduction across several kinds of applications.<br />

References<br />

[1] C. L. Liu and J. W. Layland, “Scheduling algorithms <strong>for</strong> multiprogramming in a hard real time environment,” J.<br />

ACM, vol. 20, pp. 46–61, Jan. 1973.<br />

[2] J. Lehoczky, L. Sha, and Y. Ding, “The rate monotonic scheduling algorithm: exact characterization and average<br />

case behavior,” in Proc. IEEE Real-Time Systems Symposium, pp. 166–171, Dec. 1989.<br />

[3] M. Joseph and P. Pandya, “Finding response times in a real-time system,” The Computer J., vol. 29, pp. 390–<br />

395, Oct. 1986.<br />

[4] N. Audsley, A. Burns,M. Richardson, and A.Wellings, “Hard real-time scheduling: The deadline-monotonic<br />

approach,” in Proc. IEEE Workshop on Real-Time Operating Systems and Software, pp. 133–137, May 1991.<br />

[5] C. Park and A. C. Shaw, “Experiments with a program timing tool based on source-level timing schema,” IEEE<br />

Computer, pp. 48–57, May 1991.<br />

[6] S. Lim, Y. Bae, G. Jang, B. Rhee, S. Min, C. Park, H. Shin, K. Park, and C. Kim, “<strong>An</strong> accurate worst case timing<br />

analysis <strong>for</strong> RISC processors,” in Proc. IEEE Real-Time Systems Symposium, pp. 97–108, Dec. 1994.<br />

[7] Y. S. Li, S. Malik, and A. Wolfe, “Per<strong>for</strong>mance estimation of embedded software with instruction cache<br />

modeling,” in Proc. Int’l Conf. on Computer Aided Design, pp. 380–387, Nov. 1995.<br />

[8] R. Ernst and W. Ye, “Embedded program timing analysis based on path clustering and architecture<br />

classification,” in Proc. Int’l Conf. on Computer Aided Design, pp. 598–604, Nov. 1997.<br />

[9] S. Gary, “PowerPC: A microprocessor <strong>for</strong> portable computers,” IEEE Design & Test of Computers, pp. 14–23,<br />

Dec. 1994.<br />

[10] M. B. Srivastava, A. P. Chandrakasan, and R. W. Brodersen, “Predictive system shutdown and other<br />

architectural techniques <strong>for</strong> energy efficient programmable computation,” IEEE Trans. on VLSI Systems, vol. 4, pp.<br />

42–55, Mar. 1996.<br />

[11] C. Hwang and A. Wu, “A predictive system shutdown method <strong>for</strong> energy saving of event-driven computation,”<br />

in Proc. Int’l Conf. on Computer Aided Design, pp. 28–32, Nov. 1997.<br />

[12] M.Weiser, B.Welch, A. Demers, and S. Shenker, “Scheduling <strong>for</strong> reduced CPU energy,” in Proc. USENIX<br />

Symposium on Operating Systems Design and Implementation, pp. 13–23, 1994.<br />

[13] K. Govil, E. Chan, and H. Wasserman, “Comparing algorithms <strong>for</strong> dynamic speed-setting of a low-power<br />

CPU,” in Proc. ACM Int’l Conf. on Mobile Computing and Networking, pp. 13–25, Nov. 1995.<br />

[14] F. Yao, A. Demers, and S. Shenker, “A scheduling model <strong>for</strong> reduced CPU energy,” in Proc. IEEE <strong>An</strong>nual<br />

Foundations of Computer Science, pp. 374–382, 1995.<br />

[15] I. Hong, D. Kirovski, G. Qu, M. Potkonjak, and M. B. Srivastava, “Power optimization of variable voltage<br />

core-based systems,” in Proc. Design Automat. Conf., pp. 176–181, June 1998.<br />

[16] T. Ishihara and H. Yasuura, “Voltage scheduling problem <strong>for</strong> dynamically variable voltage processors,” in<br />

Proc. Int’l Symposium on Low Power Electronics and Design, pp. 197–202, Aug. 1998.<br />

[17] D. Katcher, H. Arakawa, and J. Strosnider, “Engineering and analysis of fixed priority schedulers,” IEEE<br />

Trans. on Software Eng., vol. 19, pp. 920–934, Sept. 1993.<br />

[18] A. Burns, K. Tindell, and A. Wellings, “Effective analysis <strong>for</strong> engineering realtime fixed priority schedulers,”<br />

IEEE Trans. on Software Eng., vol. 21, pp. 475–480, May 1995.


[19] T. Burd and R. Brodersen, “Processor design <strong>for</strong> portable systems,” Journal of VLSI Signal Processing, vol. 13,<br />

pp. 203–222, Aug. 1996.<br />

[20] T. Pering, T. Burd, and R. Brodersen, “The simulation and evaluation of dynamic voltage scaling algorithms,”<br />

in Proc. Int’l Symposium on Low Power Electronics and Design, pp. 76–81, Aug. 1998.<br />

[21] C. Locke, D. Vogel, and T. Mesler, “Building a predictable avionics plat<strong>for</strong>m in Ada: a case study,” in Proc.<br />

IEEE Real-Time Systems Symposium, Dec. 1991.<br />

[22] J. Liu, J. Redondo, Z. Deng, T. Tia, R. Bettati, A. Silberman, M. Storch, R. Ha, and W. Shih, “PERTS: A<br />

prototyping environment <strong>for</strong> real-time systems,” Tech. Rep. UIUCDCS-R-93-1802, University of Illinois, 1993.<br />

[23] N. Kim, M.Ryu, S. Hong, M. Saksena, C. Choi, and H. Shin, “Visual assessment of a real-time system design: a<br />

case study on a CNC controller,” in Proc. IEEE Real-Time Systems Symposium, Dec. 1996.


DAC'99, pages 140-145<br />

Memory Exploration <strong>for</strong> Low Power, Embedded Systems<br />

Wen-Tsong Shiue<br />

Arizona State University, Department of Electrical Engineering, Tempe, AZ 85287-5706<br />

Chaitali Chakrabarti<br />

Arizona State University, Department of Electrical Engineering, Tempe, AZ 85287-5706<br />

ABSTRACT<br />

In embedded system design, the designer has to choose an on-chip memory configuration that is<br />

suitable <strong>for</strong> a specific application. To aid in this design choice, we present a memory exploration<br />

strategy based on three per<strong>for</strong>mance metrics, namely, cache size, the number of processor cycles<br />

and the energy consumption. We show how the per<strong>for</strong>mance is affected by cache parameters<br />

such as cache size, line size, set associativity and tiling, and the off-chip data organization. We<br />

show the importance of including energy in the per<strong>for</strong>mance metrics, since an increase in the<br />

cache line size, cache size, tiling and set associativity reduces the number of cycles but does not<br />

necessarily reduce the energy consumption. These per<strong>for</strong>mance metrics help us find the<br />

minimum energy cache configuration if time is the hard constraint, or the minimum time cache<br />

configuration if energy is the hard constraint.<br />

Keywords: Design automation, Low power design, Memory hierarchy, Low power embedded<br />

systems, Memory exploration and optimization, Cache simulator, Off-chip data assignment.<br />

REFERENCES<br />

[1] P. R. Panda, N. D. Dutt, and A. Nicolau. “Data Cache Sizing <strong>for</strong> Embedded Processor Applications.” Technical<br />

Report ICS-TR-97-31, University of Cali<strong>for</strong>nia, Irvine, June 1997.<br />

[2]P. R. Panda, N. D. Dutt, and A. Nicolau. “Architectural Exploration and Optimization of Local Memory in<br />

Embedded Systems.” International Symposium on System Synthesis (ISSS 97), <strong>An</strong>twerp, Sept. 1997.<br />

[3] M. B. Kamble and K. Ghose, “<strong>An</strong>alytical Energy Dissipation Models <strong>for</strong> Low Power Caches”, International<br />

Symposium on Low Power Electronics and Design, 1997.<br />

[4] S. E. Wilton and N. Jouppi, “<strong>An</strong> Enhanced Access and Cycle Time Model <strong>for</strong> On-chip Caches”, Digital<br />

Equipment Corporation Western Research Lab, Tech. Report 93/5, 1994.<br />

[5] C. Su and A. Despain, “Cache Design Trade-offs <strong>for</strong> Power and Per<strong>for</strong>mance Optimization: A Case Study”,<br />

International Symposium on Low Power Electronics and Design, pages 63-68, 1995.<br />

[6] P. Hicks, M. Walnock, R. M. Owens, “<strong>An</strong>alysis of Power Consumption in Memory Hierarchies”, International<br />

Symposium on Low Power Electronics and Design, pages 239-242, 1997.<br />

[7] A. Thordarson, “Comparison of Manual and Automatic Behavioral Synthesis of MPEG Algorithm”, Master’s<br />

thesis, University of Cali<strong>for</strong>nia, Irvine, 1995.<br />

[8] D. Kirovski, C. Lee, M. Potkonjak, and W. Mangione-Smith, “Application –Driven Synthesis of Core-based<br />

Systems”, In Proceedings of the IEEE/ACM International Conference on Computer Aided Design, pages 104-107,<br />

San Jose, CA, November 1997.<br />

[9] M. E. Wolf and M. Lam. “A Data Locality Optimizing Algorithm.” In proceedings of the SIGPLAN’9<br />

Conference on Programming Language Design and Implementation, pages 30-44, June 1991.<br />

[10] J. L. Hennessy and D. A. Patterson, “Computer Architecture A Quantitative <strong>Approach</strong>”, 2nd edition Morgan<br />

Kaufman Publishers, 1996.<br />

[11] J. Edler and M. D. Hill, “ Dinero IV Trace-Driven Uniprocessor Cache Simulator”, web site:<br />

http://www.neci.nj.nec.com/homepages/edler/d4 or http://www.cs.wisc.edu/~markhill/DineroIV.


DAC'99, pages 146-150<br />

Distributed Application Development with Inferno<br />

Ravi Sharma<br />

Inferno Network Software Solutions<br />

Bell Laboratories, Lucent Technologies, Freehold, NJ 07728<br />

ABSTRACT<br />

Distributed computing has taken a new importance in order to meet the requirements of users<br />

demanding in<strong>for</strong>mation "anytime, anywhere." Inferno facilitates the creation and support of<br />

distributed services in the new and emerging world of network environments. These<br />

environments include a world of varied terminals, network hardware, and protocols. The<br />

Namespace is a critical Inferno concept that enables the participants in this network environment<br />

to deliver resources to meet the many needs of diverse users. This paper discusses the elements<br />

of the Namespace technology. Its simple programming model and network transparency is<br />

demonstrated through the design of an application that can have components in several different<br />

nodes in a network. The simplicity and flexibility of the solution is highlighted.<br />

Keywords: Inferno, InfernoSpaces, distributed applications, Styx, networking protocols.<br />

REFERENCES<br />

[1] Inferno Home Page. http://www.lucent.com/inferno.<br />

[2] Dorward, Sean M., et al, “The Inferno Operating System”, Bell Labs Technical Journal, Volume 2, Number 1<br />

(Winter 1997), pp. 5-18.<br />

[3] Mooken, Thomas, “Inferno, InfernoSpaces, and Distributed Computing”, Proceedings of the Embedded Systems<br />

Conference, Spring 1999, Chicago, IL.<br />

[4] Rau, Larry, “Inferno: One Hot OS”, BYTE, Volume 22, Issue 6 (June 1997), pp. 53-54.<br />

[5] Sharma, Ravi, “Inferno, Limbo take Java to coding task,” EE Times, January 1, 1997, p.60.


DAC'99, pages 151-156<br />

Embedded Application Design Using a Real-Time OS<br />

David Stepner, Nagarajan Rajan, David Hui<br />

Integrated Sysems, Inc., Sunnyvale, CA<br />

You read about it everywhere: distributed computing is the next revolution, perhaps relegating<br />

our desktop computers to the museum. But in fact the age of distributed computing has been<br />

around <strong>for</strong> quite a while. Every time we withdraw money from an ATM, start our car, use our<br />

cell phone, or microwave our dinner, microprocessors are at work per<strong>for</strong>ming dedicated<br />

functions. These are examples of just a very few of the thousands of "embedded systems."<br />

Until recently the vast majority of these embedded systems used 8- and 16-bit microprocessors,<br />

requiring little in the way of sophisticated software development tools, including an Operating<br />

System (OS). But the breaking of the $5 threshold <strong>for</strong> 32-bit processors is now driving an<br />

explosion in high-volume embedded applications. <strong>An</strong>d a new trend towards integrating a full<br />

system-on-a-chip (SOC) promises a further dramatic expansion <strong>for</strong> 32-bit embedded applications<br />

as we head into the 21 st century…


DAC'99, pages 157-162<br />

The Jini Architecture: Dynamic Services in a Flexible Network<br />

Ken Arnold<br />

Sun Microsystems, Inc., Burlington, MA 01804<br />

ABSTRACT<br />

This paper gives an overview of the JiniTM architecture, which provides a federated infrastructure<br />

<strong>for</strong> dynamic services in a network. Services may be large or small.<br />

Keywords: Jini, Java, networks, distribution, distributed computing<br />

REFERENCES<br />

[1] The Jini Architecture Team, http://sun.com/jini/specs/. See also Arnold, K., O’Sullivan, B., Scheiffler, R.W.,<br />

Waldo, J., and Wollrath, A. The Jini Specification, Addision-Wesley, in press.<br />

[2] Arnold, K. and Gosling, J., The Java Programming Language, Second Edition, Addison-Wesley, ISBN 0-201-<br />

31006-6.<br />

[3] Gosling, J., Joy, W., and Steele, G., The Java Language Specification, Addison-Wesley, ISBN 0-201-63451-1.<br />

[4] Lindholm, T. and Yellin, F., The Java Virtual Machine Specification, Addision-Wesley, ISBN 0-201-63452-X.<br />

[5] Carriero, N. and Gelernter, D., How to Write Parallel Programs: A Guide to the Perplexed, ACM Computing<br />

Surveys, Sept., 1989<br />

[6] The Object Management Group, Common Object Request Broker: Architecture and Specification, OMG<br />

Document Number 91.12.1 (1991)<br />

[7] Rogerson, D., y Microsoft Press (1997)


DAC'99, pages 163-168<br />

Verifying Large-Scale Multiprocessors Using an Abstract Verification Environment<br />

Dennis Abts, Mike Roberts<br />

Silicon Graphics Inc., Vector Systems Division, Chippewa Falls, WI<br />

Abstract<br />

The complexity of large-scale multiprocessors has burdened the design and verification process<br />

making complexity-effective functional verification an elusive goal. We propose a solution to the<br />

verification of complex systems by introducing an abstracted verification environment called<br />

Raven. We show how Raven uses standard C/C++ to extend the capability of contemporary<br />

discrete-event logic simulators. We introduce new data types and a diagnostic programming<br />

interface (DPI) that provide the basis <strong>for</strong> Raven. Finally, we show results from an interconnect<br />

router ASIC used in a large-scale multiprocessor.<br />

References<br />

[1] James Laudon and Daniel Lenoski, “The SGI Origin: A cc-NUMA Highly Scalable Server,” Proceedings of the<br />

24 th <strong>An</strong>nual International Symposium on Computer Architecture (ISCA-97), p. 241–251.<br />

[2] ´ Asgeir Th. Eir´iksson, John Keen, Alex Silbey, Swami Venkataraman, and Michael Woodacre, “Origin System<br />

Design Methodology and Experience: 1M-gate ASICs and Beyond,” COMPCON-97.<br />

[3] John Keen and Jon Michelson, “How to Use the KML Language,” SGI Internal Report.<br />

[4] “Spec-based Verification: A New Methodology <strong>for</strong> Functional Verification of Systems/ASICs,” white paper,<br />

Verisity Design web page: www.verisity.com<br />

[5] Mehdi Mohtashemi, “High-Per<strong>for</strong>mance Functional Validation,” white paper, System Science Inc company web<br />

page: www.systems.com/products/vera/vera.htm<br />

[6] K.D. Jones and J.P. Privitera, “The Automatic Generation of Functional Test Vectors <strong>for</strong> Rambus Designs,”<br />

Proceedings of the 33rd <strong>An</strong>nual Design Automation Conference, June 1996, p. 415-420.<br />

[7] “The Verilog-XL Reference Manual,” Cadence Design Systems, 1991.<br />

[8] K. Robbins and S. Robbins, “Practical UNIX Programming,” Prentice Hall, 1996. p. 347-364.<br />

[9] “Synopsys VCS Reference Manual,” Synopsys, Inc., July, 1997.<br />

[10] Summit Design, Inc. web page: http://www.sd.com


DAC'99, pages 169-174<br />

Functional Verification of the Equator MAP1000 Microprocessor<br />

Jian Shen, Jacob Abraham,<br />

Computer Engineering Research Center, The University pf Texas at Austin, Austin, TX<br />

Dave Baker, Tony Hurson, Martin Kinkade, Gregorio Gervasio, Chen-Chau Chu, Guanghui Hu<br />

Equator Technologies Inc., Austin, TX<br />

Abstract<br />

The Advanced VLIW architecture of the Equator MAP1000 processor hasmany features that<br />

present significant verification challenges. We describe a functional verification methodology to<br />

address this complexity. In particular, we present an efficient method to generate directed<br />

assembly tests and a novel technique using the processor itself to control self-tests and check the<br />

results at speed using native instructions only. We also describe the use of emulation in both presilicon<br />

and post-silicon verification stages.<br />

References<br />

[1] T. B. Alexander, K. A. Dickey, D. N. Goldberg, R. V. La Fetra, J. R. McGee, N. Noordeen, and A. Prakash.<br />

Verification, characterization, and debugging of the HP PA 7200 processor. In Hewlett-Packard Journal, pages 1–<br />

12, February 1996.<br />

[2] M. Kantrowitz and L.M. Noack. I’m Done Simulating; Now What? Verification Coverage <strong>An</strong>alysis and<br />

Correctness Checking of the DECchip 21164 Alpha microprocessor. In Proc. of the Design Automation Conf., pages<br />

325–333, June 1996.<br />

[3] S. T. Mangelsdorf, R. P. Gratias, R. M. Blumberg, and R. Bhatia. Functional verification of the HP PA 8000<br />

processor. In Hewlett-Packard Journal, pages 1–13, August 1997.<br />

[4] A. Aharon, D. Goodman,M. Levinger, Y. Lichtenstein, Y. Malka, C. Metzger, M. Molcho, and G. Shurek. Test<br />

Program Generation <strong>for</strong> Functional Verification of PowerPC Processors in IBM. In Proc. of the Design Automation<br />

Conf., pages 279–285, June 1995.<br />

[5] J. Shen and J. A. Abraham. Native Mode Functional Test Generation <strong>for</strong> Microprocessors with Applications to<br />

Self Test and Design Validation. In Proc. Intl. Test Conf., pages 990–999, 1998.<br />

[6] C. Hinchcliff. Simplified Microprocessor Test Generation. In Proc. Intl. Test Conf., pages 176–180, 1982.<br />

[7] A.J. van de Goor and O. Jansen. Self Test <strong>for</strong> the Intel 8085. In Microprocessing and Microprogramming,<br />

29:165–175, 1990.


DAC'99, pages 175-180<br />

Micro Architecture Coverage Directed Generation of Test Programs<br />

Shmuel Ur, Yoav Yadin<br />

IBM Haifa Research Lab<br />

Abstract<br />

In this paper, we demonstrate a method <strong>for</strong> generation of assembler test programs that<br />

systematically probe the micro architecture of a PowerPC superscalar processor. We show<br />

innovations such as ways to make small models <strong>for</strong> large designs, predict, with cycle accuracy<br />

the movement of instructions through the pipes (taking into account stalls and dependencies) and<br />

generation of test programs such that each reaches a new micro architectural state. We compare<br />

our method to the established practice of massive random generation and show that the quality of<br />

our tests, as measured by transition coverage, is much higher. The main contribution of this<br />

paper is not in theory, as the theory has been discussed in previous papers, but in describing how<br />

to translate this theory into practice in a practical way, a task that was far from trivial.<br />

Bibliography<br />

1. B. Beizer, “The Pentium Bug, an Industry Watershed”, Testing Techniques Newsletter On-Line Edition,<br />

September 1995<br />

2. A. Aharon, D. Goodman, M. Levinger, Y Lichtenstein, Y. Malka, C. Metzger, M. Molco, G. Shurek “Test<br />

Program Generation <strong>for</strong> Functional Verification of PowerPC Processors in IBM”, In proceeding of ACM/IEEE<br />

Design Automation Conference 1995<br />

3. E. Buchnik, S. Ur. “Compacting Regression Suites On-The-Fly” APSEC, December 1997<br />

4. Y. Lichtenstein, Y. Malka, A. Aharon “Model <strong>Based</strong> Test Generation <strong>for</strong> Processor Design Verification”, In<br />

Innovative Applications of Artificial Intelligence (IAAI) AAAI Press 1994<br />

5. A. M. Ahi, G.D. Burroughs, A.B. Gore, S.W. LaMar, C.R. Lin, A.L Wieman, “Design Verification of the HP9000<br />

Series 7000 pa-risc Workstations”, Hewlett-Packard-Journal num. 8 vol. 14 August 1992<br />

6. A. Chandra, V. Iyengar, D. Jameson, R. Jawalker, I. Nair, B. Rosen, M. Mullen, J. Yoor, R. Armoni, D. Geist, Y.<br />

Wolfstal “AVPGEN - A Test Case Generator <strong>for</strong> Architecture Verification”, IEEE Transactions on VLSI Systems<br />

6(6) June 1995<br />

7. D. Geist, M. Farkas, A. Landver, Y. Lichtenstein, S. Ur, Y. Wolfsthal “Coverage Directed Generation Using<br />

Symbolic Techniques”, FMCAD Conference November 96<br />

8. G. J. Holtzman, “Design and Validation of Computer Protocols”, Prentice Hall, Englewood Cliffs, NJ 1991<br />

9. K.L McMillan “Symbolic Model Checking” Kluwer Academic Press, Norwell MA 1993<br />

10.K.L McMillan “The SMV System DRAFT”, Carnegie Mellon University, Pittsburgh PA 1992<br />

11.A.K. Chandra, V.S. Iyengar, R.V. Jawalekar, M.P. Mullen, I. Nair, B.K. Rosen “Architectural Verification of<br />

Processors Using Symbolic Instruction Graphs”, In Proceedings of the International Conference on Computer<br />

Design, October 1994<br />

12.R. C. Ho, C. Han Yang, M. A. Horowitz, D. L. Dill “Architecture Validation <strong>for</strong> Processors” In ACM ISCA 1995<br />

13.H. Iwashita, S. Kowatari, T. Nakata, F. Hirose “Automatic Test Program Generation <strong>for</strong> Pipelined Processors”,<br />

In Proceedings of the International Conference on Computer Aided Design, November 1994<br />

14.I. Beer, M. Yoeli, S. Ben-David, D. Geist and R. Gewirtzman, “Methodology and System <strong>for</strong> Practical Formal<br />

Verification of Reactive Systems”, CAV94 Conference, LNCS818, pp 182-193<br />

15.T. A. Diep, J. P. Shen “Systematic Validation of Pipeline Interlock <strong>for</strong> Superscalar Microarchitectures” In<br />

Proceedings of the 25’th <strong>An</strong>nual International Symposium on Fault Tolerance, June 1995<br />

16.C. May, E. Silha, R. Simpson, H. Warren editors “The PowerPC Architecture”, Morgan Kaufmann, 1994<br />

17.S. Weiss, J. E. Smith “POWER and PowerPC”, Morgan Kaufmann, 1994<br />

18.D. Lewin, D. Lorenz, S. Ur “A Methodology <strong>for</strong> Processor Implementation Verification”, FMCAD conference<br />

November 96<br />

19.A. Hosseini, D. Mavroidis and P. Konas “Code Generation and <strong>An</strong>alysis <strong>for</strong> the Functional Verification of<br />

Microprocessors”, In Proceeding of the 33rd Design Automation Conference, June, 1996.


20.M. Kantrowitz and L.M. Noack, “I’m Done Simulating: Now What? Verification Coverage <strong>An</strong>alysis and<br />

Correctness Checking of the DECchip 21164 Alpha Microprocessor”, In Proceeding of the 33rd Design Automation<br />

Conference, June, 1996.


DAC'99, pages 181-184<br />

Verification of a Microprocessor Using Real World Applications<br />

You-Sung Chang, Seungjong Lee, In-Cheol Park, and Chong-Min Kyung<br />

Dept. of EE, KAIST, Taejon, Korea<br />

Abstract<br />

In this paper, we describe a fast and convenient verification methodology <strong>for</strong> microprocessor<br />

using large-size, real application programs as test vectors. The verification environment is based<br />

on automatic consistency checking between the golden behavioral reference model and the target<br />

HDL model, which are run in an hand-shaking fashion. In conjunction with the automatic<br />

comparison facility, a new HDL saver is proposed to accelerate the verification process. The<br />

proposed saver allows 'restart' from the nearest checkpoint be<strong>for</strong>e the point of inconsistency<br />

detection regardless of whether any modification on the source code is made or not. It is to be<br />

contrasted with conventional saver that does not allow restart when some design change, or<br />

debugging is made. We have proved the effectiveness of the environment through applying it to<br />

a real-world example, i.e., Pentium-compatible processor design process. It was shown that the<br />

HDL verification with the proposed saver can be faster and more flexible than the hardware<br />

emulation approach. In short, it was demonstrated that restartability with source code<br />

modification capability is very important in obtaining the short debugging turnaround time by<br />

eliminating a large number of redundant simulations.<br />

References<br />

[1] R. C. Ho, C. H. Yang, M. A. Horowitz, and D. L. Dill. “Architecture Validation <strong>for</strong> Processors”. Proceedings of<br />

the 22th <strong>An</strong>nual International Symposium on Computer Architecture, pp. 404–413, 1995.<br />

[2] G. Ganapathy, R. Narayan, G. Jorden, and D. Fernandez. “Hardware Emulation <strong>for</strong> Functional Verification of<br />

K5”. Proceedings of 33th Design Automation Conference, pp. 315–318, 1996.<br />

[3] V. Popescu and B. McNamara. “Innovative Verification Strategy Reduces Design Cycle Time For High-End<br />

SPARC Processor”. Proceedings of 33th Design Automation Conference, pp. 311–314, 1996.<br />

[4] S. Mehta, S. Al-Ashari, D. Chen, D. Chen, S. Cokmez, P. Desai, R. Eltejaein, P. Fu, J. Gee, T. Granvold, A. Iyer,<br />

K. Lin, G. Maturana, D. McConn, H. Mohammed, J. Mostoufi, A. Moudgal, S. Nori, N. Parveen, G. Peterson, M.<br />

Splain, and T. Yu. “Verification of the UltraSPARCTM Microprocessor”. COMPCON, pp. 452–461, 1995.<br />

[5] J.-S. Yim, Y.-H. Hwang, C.-J. Park, H. Choi, W.-S. Yang, H.-S. Oh, I.-C. Park, and C.-M. Kyung. “A C-<strong>Based</strong><br />

RTL Design Verification Methodology <strong>for</strong> Complex Microprocessor”. Proceedings of 34th Design Automation<br />

Conference, pp. 83–88, 1997.<br />

[6] S. Lee, Y.-S. Chang, S.-I. Park, I.-C. Park, and C.-M. Kyung. “<strong>An</strong> <strong>Efficient</strong> <strong>Approach</strong> to Functional Verification<br />

of Complex Processors”. Proceedings of International Conference on Computer Systems Technology <strong>for</strong> Industrial<br />

Applications - Chip Technology, pp. 87–92, 1998.<br />

[7] Verilog-XL Reference Manual Volume 1,2. Cadence Design Systems, 1995.<br />

[8] VCS User's Guide. Chronologic Simulation, 1996.<br />

[9] Programming Language Interface Reference Manual Volume 1,2. Cadence Design Systems, 1992.<br />

[10] W. R. Stevens. Advanced Programming in the UNIX Environment. Addison-Wesley Publishing Company,<br />

1992.


DAC'99, pages 185-188<br />

High-Level Test Generation <strong>for</strong> Design Verification of Pipelined Microprocessors<br />

David Van Campenhout, Trevor Mudge, and John P. Hayes<br />

Department of Electrical Engineering and Computer Science<br />

The University of Michigan, <strong>An</strong>n Arbor, MI 48109-2122, USA<br />

Abstract<br />

This paper addresses test generation <strong>for</strong> design verification of pipe-lined microprocessors. To<br />

handle the complexity of these designs, our algorithm integrates high-level treatment of the<br />

datapath with low-level treatment of the controller, and employs a novel "pipe-frame"<br />

organization that exploits high-level knowledge about the operation of pipelines. We have<br />

implemented the proposed algorithm and used it to generate verification tests <strong>for</strong> design errors in<br />

a representative pipelined microprocessor.<br />

Keywords: design verification, sequential test generation, high-level test generation, pipelined<br />

microprocessors.<br />

References<br />

[1] M. S. Abadir, J. Ferguson, and T. E. Kirkland. “Logic design verification via test generation.” IEEE TCAD, vol.<br />

7, no. 1, pp. 138–148, Jan. 1988.<br />

[2] M. Abramovici. Digital systems testing and testable design. Computer Science Press, New York, 1990.<br />

[3] A. Aharon et al. “Verification of the IBM RISC System/6000 by dynamic biased pseudo-random test program<br />

generator.” IBM Systems Journal, pp. 527–538, 1991.<br />

[4] H. Al-Asaad and J. P. Hayes. “Design verification via simulation and automatic test pattern generation.” In Proc.<br />

ICCAD, 1995, pp. 174–180.<br />

[5] B. Beizer. Software testing techniques. Van Nostrand Reinhold, New York, 2nd edition, 1990.<br />

[6] V. Bhagwati and S. Devadas. “Automatic verification of pipelined microprocessors.” In Proc. DAC, 1994, pp.<br />

603–608.<br />

[7] D. Bhattacharya and J. P. Hayes. “High-level test generation using bus faults.” In Dig. FTCS, 1985, pp. 65–70.<br />

[8] J. Burch and D. L. Dill. “Automatic verification of pipelined microprocessor control.” In Proc. CAV, June 1994,<br />

pp. 68–80.<br />

[9] A. K. Chandra et al. “AVPGEN - a test generator <strong>for</strong> architecture verification.” IEEE Trans. on VLSI, pp. 188–<br />

200, 1995.<br />

[10] K.-T. Cheng. “Gate-level test generation <strong>for</strong> sequential circuits.” ACM TODAES, vol. 1, no. 4, pp. 405–442,<br />

1996.<br />

[11] F. Fallah, S. Devadas, and K. Keutzer. “OCCOM: <strong>Efficient</strong> computation of observability-based code coverage<br />

metric <strong>for</strong> functional simulation.” In Proc. DAC, 1998, pp. 152–157.<br />

[12] A. Gupta, S. Malik, and P. Ashar. “Toward <strong>for</strong>malizing a validation methodology using simulation coverage.”<br />

In Proc. DAC, 1997, pp. 740–745.<br />

[13] J. Hennessy and D. Patterson. Computer Architecture: A quantitative <strong>Approach</strong>. Morgan Kaufman Publishers,<br />

San Mateo, Calif., 1990.<br />

[14] R. C. Ho and M. A. Horowitz. “Validation coverage analysis <strong>for</strong> complex digital designs.” In Proc. ICCAD,<br />

1996, pp. 146–151.<br />

[15] A. Hosseini, D. Mavroidis, and P. Konas. “Code generation and analysis <strong>for</strong> the functional verification of<br />

microprocessors.” In Proc. DAC, 1996, pp. 305–310.<br />

[16] H. Iwashita et al. “Automatic test program generation <strong>for</strong> pipelined processors.” In Proc. ICCAD, 1994, pp.<br />

580–583.<br />

[17] J. Lee and J. H. Patel. “<strong>An</strong> architectural level test generator based on nonlinear equation solving.” Journal of<br />

Electronic Testing: Theory and Applications, vol. 4, no. 2, pp. 137–150, 1993.<br />

[18] J. Lee and J. H. Patel. “Architectural level test generation <strong>for</strong> microprocessors.” IEEE TCAD, pp. 1288–1300,<br />

1994.<br />

[19] J. Levitt and K. Olukotun. “Verifying correct pipeline implementation <strong>for</strong> microprocessors.” In Proc. ICCAD,<br />

1997, pp. 162–169.


[20] D. Lewin, D. Lorenz, and S. Ur. “A methodology <strong>for</strong> processor implementation verification.” In Proc. FMCAD,<br />

1996, pp. 126–142.<br />

[21] D. Moundanos, J. A. Abraham, and Y. V. Hoskote. “Abstraction techniques <strong>for</strong> validation coverage analysis<br />

and test generation.” IEEE Trans. Computers, vol. 47, no. 1, pp. 2–14, Jan. 1998.<br />

[22] T. Niermann and J. H. Patel. “HITEC: A test generation packaged <strong>for</strong> sequential circuits.” In Proc. EDAC,<br />

1991, pp. 214–218.<br />

[23] S. Taylor et al. “Functional verification of a multiple-issue, out-of-order, superscalar Alpha processor - the<br />

DEC Alpha 21264 microprocessor.” In Proc. DAC, 1998, pp. 638–643.<br />

[24] D. Van Campenhout et al. “High-level design verification of microprocessors via error modeling.” ACM<br />

TODAES, vol. 3, no. 4, pp. 581–599, 1998.


DAC'99, pages 189-194<br />

Developing an Architecture Validation Suite Application to the PowerPC Architecture<br />

Laurent Fournier, <strong>An</strong>atoly Koyfman, Moshe Levinger<br />

IBM Research Lab in Haifa<br />

Abstract<br />

This paper describes the ef<strong>for</strong>ts made and the results of creating an Architecture Validation Suite<br />

<strong>for</strong> the PowerPC architecture. Although many functional test suites are available <strong>for</strong> multiple<br />

architectures, little has been published on how these suites are developed and how their quality<br />

should be measured. This work provides some insights <strong>for</strong> approaching the difficult problem of<br />

building a high quality functional test suite <strong>for</strong> a given architecture. By defining a set of generic<br />

coverage models that combine program-based, specification-based, and sequential bug-driven<br />

models, it establishes the groundwork <strong>for</strong> the development of architecture validation suites <strong>for</strong><br />

any architecture.<br />

Bibliography<br />

[1] Y. Lichtenstein, Y. Malka and A. Aharon, Model-<strong>Based</strong> Test Generation For Processor Design Verification,<br />

Innovative Applications of Artificial Intelligence (IAAI), AAAI Press, 1994.<br />

[2] A. Aharon, D. Goodman, M. Levinger, Y. Lichtenstein, Y. Malka, C. Metzger, M. Molcho, and G. Shurek, Test-<br />

Program Generation <strong>for</strong> Functional Verification of PowerPC Processors in IBM, DAC 95, San Francisco, pp. 279-<br />

285.<br />

[3] M. Scheitrum and A. Smith, Behavioral Verification and its application to Pentium Class Processors, PCI<br />

Developers’ Conference, 1995.<br />

[4] Y. Arbetman, L. Fournier, M. Levinger, Functional Verification of Microprocessors Using the Genesys Test<br />

Program Generation - Application to the X86 Microprocessor family. DATE 99.<br />

[5] D.A. Patterson and J.L. Hennessy, Computer Organization & Design The Hardware/Software Interface, Morgan<br />

Kaufmann, San Francisco, 1994.<br />

[6] E. Buchnick, S. Ur, On Minimizing Regression-Suites using On-Line Set-Cover, EuroStar 97.<br />

[7] Y. Abarbanel-Vinov, S. Ur, Processor Bug Classification and Modelling, Internal IBM Haifa publication.<br />

[8] S. Ur, A. Ziv, R. Grinwald, E. Harel, M. Orgad, User defined coverage - A Tool Supported Methodology <strong>for</strong><br />

Design Verification, DAC 98.<br />

[9] S. Ur and A. Ziv, Off-The-Shelf Vs. Custom Made Coverage Models, Which is the one <strong>for</strong> You? STAR98. May<br />

1998.


DAC'99, pages 195-200<br />

Passive ReducedOrder Models <strong>for</strong> Interconnect Simulation and their Computation via<br />

Krylov-Subspace Algorithms<br />

Roland W. Freund<br />

Bell Laboratories, Lucent Technologies, Murray Hill, NJ 07974–0636, USA<br />

Abstract<br />

This paper studies a projection technique based on block Krylov subspaces <strong>for</strong> the computation<br />

of reduced­order models of multiport RLC circuits. We show that these models are always<br />

passive, yet they still match at least half as many moments as the corresponding reduced-order<br />

models based on matrixPadé approximation. For RC, RL, and LC circuits, the reduced-order<br />

models obtained by projection and matrix-Padé approximation are identical. For general RLC<br />

circuits, we show how the projection technique can easily be incorporated into the SyMPVL<br />

algorithm to obtain passive reduced-order models, in addition to the high-accuracy matrix-Padé<br />

approximations that characterize SyMPVL, at essentially no extra computational costs.<br />

Connections between SyMPVL and the recently proposed reduced-order modeling algorithm<br />

PRIMA are also discussed. Numerical results <strong>for</strong> interconnect simulation problems are reported.<br />

References<br />

[1] J.I. Aliaga, D.L. Boley, R.W. Freund, and V. Hernández, “A Lanczostype algorithm <strong>for</strong> multiple starting<br />

vectors,” revised version, Numerical <strong>An</strong>alysis Manuscript No. 98–3–05, Bell Laboratories, Murray Hill, NJ, Sep.<br />

1998. Also available online from http://cm.belllabs.com/cs/doc/98.<br />

[2] B.D.O. <strong>An</strong>derson and S. Vongpanitlerd, Network <strong>An</strong>alysis and Synthesis, Englewood Cliffs, NJ: Prentice-Hall,<br />

1973.<br />

[3] W.E.Arnoldi, “The principle ofminimized iterations in the solution of thematrix eigenvalue problem,” Quart.<br />

Appl.Math., vol. 9, pp. 17–29, 1951.<br />

[4] Z. Bai, P. Feldmann, and R.W. Freund, “How to make theoretically passive reduced-order models passive in<br />

practice,” In Proc. IEEE 1998 CICC, May 1998.<br />

[5] G.A. Baker, Jr. and P. GravesMorris, Pad´e Approximants, 2nd Edition, New York: Cambridge University Press,<br />

1996.<br />

[6] I.M. Elfadel and D.D. Ling, “Zeros and passivity of Arnoldi-reduced-order models <strong>for</strong> interconnect networks,” in<br />

Proc. 34nd ACM/IEEE DAC, Jun. 1997.<br />

[7] P. Feldmann and R.W. Freund, “<strong>Efficient</strong> linear circuit analysis by Padé approximation via the Lanczos<br />

process,” IEEE Trans. Computer-Aided Design, vol. 14, pp. 639–649, May 1995.<br />

[8] P. Feldmann and R.W. Freund, “Reduced-order modeling of large linear subcircuits via a block Lanczos<br />

algorithm,” in Proc. 32 nd ACM/IEEE DAC, June 1995.<br />

[9] P. Feldmann and R.W. Freund, Interconnect-delay computation and signal-integrity verification using the<br />

SyMPVL algorithm, in Proc. 1997 ECCTD, Sep. 1997.<br />

[10] R.W. Freund, “Computation of matrix Padé approximations of transfer functions via a Lanczos-type process,”<br />

in Approximation Theory VIII, Vol. 1, C.K. Chui and L.L. Schumaker, eds., World Scientific Publishing Co., 1995.<br />

[11] R.W. Freund, “Passive reduced-order models <strong>for</strong> interconnect simulation and their computation via Krylovsubspace<br />

algorithms,” Numerical <strong>An</strong>alysis Manuscript No. 98–3–06, Bell Laboratories, Murray Hill, NJ, Oct. 1998.<br />

Also available online from http://cm.belllabs.com/cs/doc/98.<br />

[12] R.W. Freund and P. Feldmann, “Reduced-order modeling of large passive linear circuits by means of the<br />

SyPVL algorithm,” in Tech. Dig. 1996 IEEE/ACM ICCAD, Nov. 1996.<br />

[13] R.W. Freund and P. Feldmann, “The SyMPVL algorithm and its applications to interconnect simulation,” in<br />

Proc. SISPAD’97, IEEE, Sep. 1997.<br />

[14] R.W. Freund and P. Feldmann, “Reducedorder modeling of large linear passive multiterminal circuits using<br />

matrix- Padé approximation,” in Proc. DATE’98, Feb. 1998.<br />

[15] C. Lanczos, “<strong>An</strong> iteration method <strong>for</strong> the solution of the eigenvalue problem of linear differential and integral<br />

operators,” J. Res. Nat. Bur. Standards, vol. 45, pp. 255–282, 1950.<br />

[16] A. Odabasioglu, Provably passive RLC circuit reduction, M.S. thesis, Carnegie Mellon University, 1996.


[17] A. Odabasioglu, M. Celik, L.T. Pileggi, “PRIMA: passive reduced-order interconnect macromodeling<br />

algorithm,” in Tech. Dig. 1997 IEEE/ACM ICCAD, Nov. 1997.<br />

[18] L.T. Pillage and R.A. Rohrer, “Asymptotic wave<strong>for</strong>m evaluation <strong>for</strong> timing analysis,” IEEE Trans. Computer-<br />

Aided Design, vol. 9, pp. 352–366, Apr. 1990.<br />

[19] L.M. Silveira, M. Kamon, I. Elfadel, and J. White, “A coordinate-trans<strong>for</strong>med Arnoldi algorithm <strong>for</strong> generating<br />

guaranteed stable Reduced-order models of RLCcircuits,” in Tech. Dig. 1996 IEEE/ACM ICCAD, Nov. 1996.<br />

[20] M.R.Wohlers, Lumped and Distributed Passive Networks, NewYork: Academic Press, 1969.


DAC'99, pages 201-206<br />

Model Order-Reduction of RC(L) Interconnect including Variational <strong>An</strong>alysis<br />

Ying Liu, Lawrence T. Pileggi and <strong>An</strong>drzej J. Strojwas<br />

Department of Electrical and Computer Engineering<br />

Carnegie Mellon University, Pittsburgh, PA 15213<br />

ABSTRACT<br />

As interconnect feature sizes continue to scale to smaller dimensions, long interconnect can<br />

dominate the IC timing per<strong>for</strong>mance, but the interconnect parameter variations make it difficult<br />

to predict these dominant delay extremes. This paper presents a model order-reduction technique<br />

<strong>for</strong> RLC interconnect circuits that includes variational analysis to capture manufacturing<br />

variations. Matrix perturbation theory is combined with dominant-pole-analysis and Krylovsubspace-analysis<br />

methods to produce reduced-order models with direct inclusion of statistically<br />

independent manufacturing variations. The accuracy of the resulting variational reduced-order<br />

models is demonstrated on several industrial examples.<br />

REFERENCES<br />

[1]Boning, D.S. and J.E. Chung, “Statistical metrology-measurement and modeling of variation <strong>for</strong> advanced<br />

process development and design rule generation”, Proc. 1998 Int. Conf. on Characterization and Metrology <strong>for</strong><br />

ULSI Technology, March 1998.<br />

[2]Director, S.W. and R.A. Rohrer, “The generalized ajoint network and network sensitivities”, IEEE Tran. Circuit<br />

Theory, vol. CT-16, No. 3, August 1969.<br />

[3]Feldmann, P. and R.W. Fruend, “<strong>Efficient</strong> linear circuit analysis by Padé approximation via the Lanczos<br />

process”, IEEE Trans. CAD, vol. 14, May 1995.<br />

[4]Golub, G.H. and C.F. Van Loan, Matrix computations, 3rd ed., The Johns Hopkins University Press, Baltimore<br />

1996.<br />

[5]Harkness, C.L. and D.P. Lopresti, “Interval methods <strong>for</strong> modeling uncertainty in RC timing analysis”, IEEE<br />

Trans. CAD, vol. 11, No. 11, November 1992.<br />

[6]Kato, T., Perturbation theory <strong>for</strong> linear operator, 2nd ed., Springer-Verlag, 1995.<br />

[7]Kemble, E.C., The fundamental principles of quantum mechanics, Dover, 1958.<br />

[8]Kerns, K.J. and A.T. Yang, “Stable and efficient reduction of large, multiport RC networks by pole analysis via<br />

congruence trans<strong>for</strong>mations”, IEEE Trans. CAD, vol. 16, 1997.<br />

[9]Odabasioglu, A., M. Celik and L.T. Pileggi, “PRIMA: passive reduced-order interconnect macromodeling<br />

algorithm”, IEEE Trans. CAD, August 1998.<br />

[10]Pillage, L.T. and R.A. Rohrer, “Asymptotic wave<strong>for</strong>m evaluation <strong>for</strong> timing analysis”, IEEE Trans. CAD, vol.<br />

9, April 1990.<br />

[11]Progler, C., H. Du and G. Wells, “Potential causes of across field CD variation”, SPIE, vol. 3051, 1997.<br />

[12]Rubinstein, J., P. Penfield Jr. and M.A. Horowitz, “Signal delay in RC tree networks”, IEEE Trans. CAD, vol.<br />

CAD-2, July 1983.<br />

[13]Silveira, L.M., M. Kamon and J. White, “<strong>Efficient</strong> reducedorder modeling of frequency-dependent coupling<br />

inductances associated with 3-D interconnect structures”, Proc. 32 nd ACM/IEEE Design Automation Conference,<br />

June 1995.<br />

[14]Stewart, G.W. and J.-G. Sun, Matrix perturbation theory, Academic Press, Inc., San Diego, 1990<br />

[15]Stine, B.E. et al, “The physical and electrical effects of metal filling patterning practices <strong>for</strong> oxide chemical<br />

mechanical polishing processes”, IEEE Trans. Electron Devices, vol. 45, No. 3, March 1998.<br />

[16]Stine, B.E. et al, “Rapid characterization and modeling of spatial variation: a CMP case study”, CMP Metrology<br />

Session, Semicon West ‘97, July 1997.


DAC'99, pages 207-212<br />

Robust Rational Function Approximation Algorithm <strong>for</strong> Model Generation<br />

Carlos P. Coelho 1 , Joel R. Phillips 2 , L. Miguel Silveira 1<br />

1 INESC / Cadence European Laboratories, Dept. of Electrical and Computer Engineering,<br />

Instituto Superior Técnico, Lisboa, 1000 Portugal<br />

2 Cadence Design Systems, San Jose, CA, 95134<br />

Abstract<br />

The problem of computing rational function approximations to tabulated frequency data is of<br />

paramount importance in the modeling arena. In this paper we present a method <strong>for</strong> generating a<br />

state space model from tabular data in the frequency domain that solves some of the numerical<br />

difficulties associated with the traditional fitting techniques used in linear least squares<br />

approximations. <strong>An</strong> extension to the MIMO case is also derived.<br />

References<br />

[1] L. Miguel Silveira, Ibrahim M. Elfadel, and Jacob K. White. <strong>Efficient</strong> Frequency-Domain Modeling and Circuit<br />

Simulation of Transmission Lines. In Proceedings of the 31st Design Automation Conference, pages 634–639, San<br />

Diego, CA, June 1994.<br />

[2] Tuyen V. Nguyen, Jing Li, and Zhaojun Bai. Dispersive coupled transmission line simulation using an adaptive<br />

block lanczos algorithm. In International Custom Integrated Circuits Conference, pages 457–460, 1996.<br />

[3] Guowu Zheng, Qi-Jun Zhang, Michel Nakhla, and Ramachandra Achar. <strong>An</strong> efficient approach to momentmatching<br />

simulation of linear subnetworks with measured or tabulated data. In International Conference on<br />

Computer Aided-Design, pages 20–23, San Jose, Cali<strong>for</strong>nia, November 1996.<br />

[4] A. Deutsch et. al. When are transmission line effects important <strong>for</strong> on-chip interconnections? IEEE Trans.<br />

Microwave Theory and Techniques, 45:1836–1846, October 1997.<br />

[5] J. R. Phillips, E. Chiprout, and D. D. Ling. <strong>Efficient</strong> full-wave electromagnetic analysis via model-order<br />

reduction of fast integral trans<strong>for</strong>ms. In Proceedings 33rd Design Automation Conference, Las Vegas, Nevada, June<br />

1996.<br />

[6] J. E. Dennis, Jr. and Robert B. Schnabel. Numerical Methods <strong>for</strong> Uncontrained Optimization and Nonlinear<br />

<strong>Equation</strong>s. Series in Computational Mathmatics. Prentice Hall, 1983.<br />

[7] Gene H. Golub and Charles F. Van Loan. Matrix Computations. Series in the Mathematical Sciences. The John<br />

Hopkins University Press, Baltimore, Maryland, third edition, 1996.<br />

[8] Yousef Saad. Iterative Methods <strong>for</strong> Sparse Linear Systems. Pws Publishing Co., 1996.<br />

[9] Lloyd N. Trefethen and David Bau. Numerical Linear Algebra. SIAM, 1999.<br />

[10] <strong>An</strong>dreas <strong>An</strong>toniou. Digital filters analysis, design and applications. McGraw-Hill International Editions, 2nd<br />

edition, 1993.<br />

[11] Thomas Kailath. Linear Systems. In<strong>for</strong>mation and System Science Series. Prentice-Hall, Englewood Cliffs,<br />

New Jersey, First edition, 1980.<br />

[12] R. S. Varga. Matrix Iterative <strong>An</strong>alysis. Automatic Computation Series. Prentice-Hall Inc, Englewood Cliffs,<br />

New Jersey, 1962.<br />

[13] Zhaojun Bai, Peter Feldmann, and Roland W. Freund. Stable and passive reduced order models based on partial<br />

pade approximation via the lanczos process. Technical Report Numerical <strong>An</strong>alysis Manuscript No.97-3-10, Bell<br />

Laboratories, Lucent Technologies, Murray Hill, New Jersey, October 1997.<br />

[14] Eli Chiprout and Michael S. Nakhla. <strong>An</strong>alysis of interconnect networks using complex frequency hopping<br />

(CFH). IEEE Trans. CAD, 14(2):186–200, February 1995.


DAC'99, pages 213-218<br />

Behavioral Network Graph Unifying the Domains of High-Level and Logic Synthesis<br />

Reinaldo A. Bergamaschi<br />

IBM T. J. Watson Research Center, NY, USA<br />

Abstract<br />

High-level synthesis operates on internal models known as control/data flow graphs (CDFG) and<br />

produces a register-transfer-level (RTL) model of the hardware implementation <strong>for</strong> a given<br />

schedule. For high-level synthesis to be efficient it has to estimate the effect that a given<br />

algorithmic decision (e.g., scheduling, allocation) will have on the final hardware<br />

implementation (after logic synthesis). Currently, this effect cannot be measured accurately<br />

because the CDFGs are very distinct from the RTL/gate-level models used by logic synthesis,<br />

precluding interaction between high-level and logic synthesis. This paper presents a solution to<br />

this problem consisting of a novel internal model <strong>for</strong> synthesis which spans the domains of highlevel<br />

and logic synthesis. This model is an RTL/gate-level network capable of representing all<br />

possible schedules that a given behavior may assume. This representation allows high-level<br />

synthesis algorithms to be <strong>for</strong>mulated as logic trans<strong>for</strong>mations and effectively interleaved with<br />

logic synthesis.<br />

References<br />

[1] P. G. Paulin and J. P. Knight, “Force-directed scheduling <strong>for</strong> the behavioral synthesis of ASIC's," IEEE<br />

Transactions on Computer-Aided Design, vol. CAD-8, pp. 661-679, June 1989.<br />

[2] R. Bergamaschi and S. Raje, “Control-owversus data-flow-based scheduling: Combining both approaches in an<br />

adaptive scheduling system," IEEE Transactions on VLSI Systems, vol. 5, March 1997.<br />

[3] M. C. McFarland, “The Value Trace: A data base <strong>for</strong> automated digital design," Tech. Rep. DRC-01-4-80,<br />

Design Research Center, Carnegie-Mellon University, December 1978.<br />

[4] R. Camposano and R. M. Tabet, “Design representation <strong>for</strong> the synthesis of behavioral VHDL models," in<br />

Proceedings 9th International Symposium on Computer Hardware Description Languages and Their Applications,<br />

(Washington, D.C.), pp. 49-58, Elsevier Science Publishers B.V., June 1989.<br />

[5] J. Darringer, W. Joyner, C. Berman, and L. Trevillyan, “Logic synthesis through local trans<strong>for</strong>mations," IBM<br />

Journal of Research and Development, vol. 25, July 1981.<br />

[6] R. Rudell, “Tutorial: Design of a logic synthesis system," in Proceedings of the 33rd ACM/IEEE Design<br />

Automation Conference, (Las Vegas, NV), pp. 191-196, ACM/IEEE, June 1996.<br />

[7] L. Stok, D. S. Kung, A. D. Brand, A. J. Sulivan, L. N. Reddy, N. Hieter, D. J. Geiger, H. H. Chao, and P. J.<br />

Osler, “Boole-Dozer: Logic synthesis <strong>for</strong> ASICs," IBM Journal of Research and Development, vol. 40, pp. 407-430,<br />

July 1996.<br />

[8] G. Goosens, J. Vandewalle, and H. De Man, “Loop optimization in register-transfer scheduling <strong>for</strong> dsp systems,"<br />

in Proceedings of the 26th ACM/IEEE Design Automation Conference, pp. 826-831, ACM/IEEE, June 1989.<br />

[9] A. Aho, R. Sethi, and J. Ullman, Compilers, Principles, Techniques and Tools. Reading, MA: Addison-Wesley,<br />

1986.<br />

[10] R. A. Bergamaschi and D. J. Allerton, “A graph-basedsilicon compiler <strong>for</strong> concurrent VLSI systems," in<br />

Proceedings of the IEEE CompEuro Conference, (Brussels), pp. 36-47, IEEE, April 1988.<br />

[11] R. Camposano, “Path-based scheduling <strong>for</strong> synthesis," IEEE Transactions on Computer-Aided Design, vol.<br />

CAD-10, pp. 85-93, January 1991.<br />

[12] K. O'Brien, M. Rahmouni, and A. Jerraya, “A VHDL-based scheduling algorithm <strong>for</strong> control-ow dominated<br />

circuits," in Sixth International Workshop on High-Level Synthesis, (Dana Point, CA), ACM, November 1992.


DAC'99, pages 219-224<br />

Soft Scheduling in High Level Synthesis<br />

Jianwen Zhu, Daniel D. Gajski<br />

CECS, In<strong>for</strong>mation and Computer Science,<br />

University of Cali<strong>for</strong>nia, Irvine, CA 92717-3425, USA<br />

Abstract<br />

In this paper, we establish a theoretical framework <strong>for</strong> a new concept of scheduling called soft<br />

scheduling. In contrasts to the traditional schedulers referred as hard schedulers, soft schedulers<br />

make soft decisions at a time, or decisions that can be adjusted later. Soft scheduling has a<br />

potential to alleviate the phase coupling problem that has plagued traditional high level synthesis<br />

(HLS), HLS <strong>for</strong> deep submicron design and VLIW code generation. We then develop a specific<br />

soft scheduling <strong>for</strong>mulation, called threaded schedule, under which a linear, optimal (in the sense<br />

of online optimality) algorithm is guaranteed.<br />

References<br />

[1] D. Gajski, N. Dutt, A. Wu, S. Lin. High Level Synthesis: Introduction to Chip and System Design, Kluwer<br />

Academic Publishers, 1992.<br />

[2] J. Nestor and D.E Thomas. Behavioral Synthesis with Interfaces. Proceedings of the IEEE Conference on<br />

Computer Aided Design, November 1986.<br />

[3] P.G. Paulin, J.P. Knight. Force-Directed Scheduling <strong>for</strong> the Behavioral Synthesis of ASIC’s. IEEE Transactions<br />

on Computer-Aided Design of Integrated Circuits and Systems, June 1989.<br />

[4] D. Ku, G. De Micheli. Relative Scheduling under Timing Constraints: Algorithms <strong>for</strong> High-Level Synthesis of<br />

Digital Circuits. IEEE Transactions on CAD/ICAS, Vol. 11, No. 6, April 1992.<br />

[5] R. Camposano. Path-<strong>Based</strong> Scheduling <strong>for</strong> Synthesis. IEEE Transaction on CAD/ICAS, Vol. 10, No.1, January,<br />

1991.<br />

[6] C.H. Gebotys, M.I. Elmasry. Simultaneous Scheduling and Allocation <strong>for</strong> Cost Constrained Optimal<br />

Architectural Synthesis. Proceedings of 28th DAC, 1991.<br />

[7] B. Landwehr, P. Marwedel, R. Dömer. Optimum Simultaneous Scheduling, Allocation and Resource Binding<br />

<strong>Based</strong> on Integer Programming. Proceedings of Euro-DAC, 1994.<br />

[8] J. Weng, A.C. Parker. 3D Scheduling: High-Level Synthesis with Floorplanning. Proceedings of DAC, 1991.<br />

[9] C. Ewering. Automatic High-Level Synthesis of Partitioned Busses. Proceedings of EuroDAC, 1990.<br />

[10] M. Xu, F.J. Kurdahi. Layout-driven RTL Binding Techniques <strong>for</strong> High-Level Synthesis. Proceedings of 9 th ISSS,<br />

1996.<br />

[11] R. Cytron, J. Ferrante, B.K. Rosen, M.N. Wegman, F.K. Zadeck. <strong>Efficient</strong>ly Computing Static Single<br />

Assignment Form and the Control Dependence Graph. ACM Transactions on Programming Languages and<br />

Systems, October, 1991.<br />

[12] J. Zhu, D.D. Gajski. Soft Scheduling in High Level Synthesis. Technical Report ICS-98-37, In<strong>for</strong>mation and<br />

Computer Science, UC, Irvine, August, 1998.


DAC'99, pages 225-230<br />

Graph Coloring Algorithms <strong>for</strong> Fast Evaluation of Curtis Decompositions<br />

Marek Perkowski, Rahul Malvi, Stan Grygiel, Mike Burns, Alan Mishchenko<br />

Portland State University, Department of Electrical and computer Engineering, Portland, OR<br />

Abstract<br />

Finding the minimum column multiplicity <strong>for</strong> a bound set of variables is an important problem in<br />

Curtis decomposition. To investigate this problem, we compared two graph-coloring programs:<br />

one exact, and another one based on heuristics which can give, however, provably exact results<br />

on some types of graphs. These programs were incorporated into the multi-valued decomposer<br />

MVGUD. We proved that the exact graph coloring is not necessary <strong>for</strong> high-quality functional<br />

decomposers. Thus we improved by orders of magnitude the speed of the column multiplicity<br />

problem, with very little or no sacrifice of decomposition quality. Comparison of our<br />

experimental results with competing decomposers shows that <strong>for</strong> nearly all benchmarks our<br />

solutions are best and time is usually not too high.<br />

REFERENCES<br />

[1] A.V. <strong>An</strong>isimov, “Local Optimization of Colorings of Graphs,” Cybernetics, Vol. 22, No. 6, pp. 683-692, 1986.<br />

[2] L. Babel, “Finding Maximum Cliques in Arbitrary and in Special Graphs,” Comp. Vol 46, pp. 321-341, 1991.<br />

[3] E.A. Bender, and H.S. Wilf, “A Theoretical <strong>An</strong>alysis of Backtracking in the Graph Coloring Problem,” pp. 275-<br />

282, J. of Alg., Vol. 6.<br />

[4] A. Blum, “Newapproximation algorithms <strong>for</strong> graph coloring,” JACM, Vol. 41, No. 3, pp. 470-516, 1994.<br />

[5] P. Briggs, K. Cooper, K. Kennedy, and L. Torczon, “Coloring Heuristics <strong>for</strong> Register Allocation,” ASCM Conf.<br />

on Progr. Lan. Des. Impl, pp. 275-284, ACM, 1989.<br />

[6] M. Burns, M. Perkowski, L. Jozwiak, “<strong>An</strong> <strong>Efficient</strong> <strong>Approach</strong> to Decomposition of Multi-Output Boolean<br />

Functions with Large Sets of Bound Variables,” Proc. 1998 Euromicro, pp. 16-23, Vasteras, Sweden, August 25-27,<br />

1998.<br />

[7] A.N. Chebotarev, “<strong>Approach</strong> to Functional Specification of Automata,” Kibernetika i Sistemnyj <strong>An</strong>aliz, No. 3,<br />

pp. 31-42, 1991 (in Russian).<br />

[8] M.J. Ciesielski, S. Yang, and M.A. Perkowski, “Multiple-Valued Minimization <strong>Based</strong> on Graph Coloring,” .<br />

Proc. of ICCD’89, pp. 262 - 265, Oct. 1989.<br />

[9] O. Coudert, “Coloring of Real-Life Graphs is Easy,” Proc. DAC’97, 1997.<br />

[10] H.A. Curtis, “A New <strong>Approach</strong> to the Design of Switching Circuits,” Van Nostrand, Princeton, N.J., 1962.<br />

[11] R. Diestel, “Graph Theory,” Springer, 1997.<br />

[12] E. C. Freuder, “A Sufficient Condition of Backtrack-Free Search,” JACM, Vol. 29, No. 1, pp. 24-32, 1982<br />

[13] M.R. Garey, and D.S. Johnson, “The Complexity of Near-Optimal Graph Coloring,” JACM, Vol. 23, No. 1,<br />

Jan. 1976, pp. 43-49.<br />

[14] I.M. Gessel, “A coloring problem,” The Am. Math. Monthly, Vol. 98, pp. 530-533, 1991.<br />

[15] S. Grygiel,M. Perkowski,M.Marek-Sadowska, T. Luba, L. Jozwiak, “CubeDiagram Bundles: A New<br />

Representation of Strongly Unspecified Multiple-Valued Functions and Relations,” Proc. ISMVL’97, pp. 287-292.<br />

[16] S. Grygiel, M. Perkowski, “New Compact Representation of Multiple-Valued Functions, Relations, and Non-<br />

Deterministic State Machines, Proc. ICCD’98, Oct. 5, 1998.<br />

[17] T.R. Jensen, and B. Toft,“Graph Coloring Problems,” Wiley, 1995.<br />

[18] T.Luba, M. Mochocki, J. Rybnik, “Decomposition of In<strong>for</strong>mation Systems Using Decision Tables,” Bull. Pol.<br />

Acad. Sci., Techn. Sci., Vol. 41, No. 3, 1993.<br />

[19] A.A. Mishchenko, “A CAD System <strong>for</strong> Automated Synthesis of Controlling Automata,” Cybernetics and<br />

System <strong>An</strong>alysis, Plenum Press, No. 3, pp. 23-30, 1997.<br />

[20] C. Morgenstern, “Improved Implementations of Dynamic Sequential Coloring Algorithms,” Dept. Comp. Sci,<br />

Texas Christ. Univ, 1991.<br />

[21] L. Nguyen, M. Perkowski, and N. Goldstein, “PALMINI – Fast Boolean Minimizer <strong>for</strong> Personal Computers,”<br />

Proc. of DAC, pp. 615-621, 1987.


[22] M.A. Perkowski, “A New Representation of Strongly Unspecified Switching Functions and it Application to<br />

Multi-Level AND/OR/EXOR Synthesis.” Proc. RM’95 Work, 1995, pp. 143-151.<br />

[23] M. Perkowski, M. Marek-Sadowska, L. Jozwiak, M. Nowicka, R. Malvi, Z. Wang, J. Zhang, “Decomposition<br />

of Multiple-Valued Relations,” Proc. ISMVL’97, pp. 13-18.<br />

[24] T.D. Ross, M.J. Noviskey, T.N. Taylor, D.A. Gadd, “Pattern Theory: <strong>An</strong> Engineering Paradigm <strong>for</strong> Algorithm<br />

Design,” Final Technical Report WL-TR-91-1060, Wright Laboratories, USAF, WL/AART/WPAFB, OH 45433-<br />

6543,August 1991.<br />

[25] W. Wan, M.A. Perkowski, “A New <strong>Approach</strong> to the Decomposition of Incompletely Specified Multi-Output<br />

Functions <strong>Based</strong> on Graph-Coloring and Local Trans<strong>for</strong>mations and its Application to FPGA mapping,” Proc. of<br />

EURO-DAC’92, pp. 230-235, 1992.


DAC'99, pages 231-236<br />

Maximizing Per<strong>for</strong>mance by Retiming and Clock Skew Scheduling<br />

Xun Liu, Marios C. Papaefthymiou<br />

Department of Electrical Engineering and Computer Science, University of Michigan<br />

<strong>An</strong>n Arbor, Michigan 48109<br />

Eby G. Friedman<br />

Department of Electrical and Computer Engineering, University of Rochester<br />

Rochester, New York 14627<br />

Abstract<br />

The application of retiming and clock skew scheduling <strong>for</strong> improving the operating speed of<br />

synchronous circuits under setup and hold constraints is investigated in this paper. It is shown<br />

that when both long and short paths are considered, circuits optimized by the simultaneous<br />

application of retiming and clock scheduling can achieve shorter clock periods than optimized<br />

circuits generated by applying either of the two techniques separately. A mixed-integer linear<br />

programming <strong>for</strong>mulation and an efficient heuristic are given <strong>for</strong> the problem of simultaneous<br />

retiming and clock skew scheduling under setup and hold constraints. Experiments with<br />

benchmark circuits demonstrate the efficiency of this heuristic and the effectiveness of the<br />

combined optimization. All of the test circuits show improvement. For more than half of them,<br />

the maximum operating speed increases by more than 21% over the optimized circuits obtained<br />

by applying retiming or clock skew scheduling separately.<br />

References<br />

[1] S. Chakradhar and S. Dey. Resynthesis and retiming <strong>for</strong> optimum partial scan. In Proceedings of the 31st<br />

ACM/IEEE Design Automation Conf., pages 87–93, June 1994.<br />

[2] L.-F. Chao and E. H.-M. Sha. Retiming and clock skew <strong>for</strong> synchronous systems. In Proc. International Symp.<br />

on Circuits and Systems, pages 283–286, June 1994.<br />

[3] R. B. Deokar and S. S. Sapatnekar. A graph-theoretic approach to clock skew optimization. In Proc.<br />

International Symp. on Circuits and Systems, pages 407–410, May 1995.<br />

[4] S. Dey and S. Chakradhar. Retiming sequential circuits to enhance testability. In Proc. 12th IEEE VLSI Test<br />

Symp., pages 28–33, April 1994.<br />

[5] J. P. Fishburn. Clock skew optimization. IEEE Trans. on Computers, 39(7):945–951, July 1990.<br />

[6] E. G. Friedman. Clock Distribution Networks in VLSI Circuits and Systems. IEEE Press, 1995.<br />

[7] A. T. Ishii, C. E. Leiserson, and M. C. Papaefthymiou. Optimizing two-phase, level-clocked circuitry. Journal of<br />

the ACM, 41(1):148–199, January 1997.<br />

[8] K. N. Lalgudi and M. C. Papaefthymiou. DELAY: an efficient tool <strong>for</strong> retiming with realistic delay modeling. In<br />

Proc. 32nd ACM/IEEE Design Automation Conf., June 1995.<br />

[9] C. E. Leiserson and J. B. Saxe. Retiming synchronous circuitry. Algorithmica, 6(1), 1991. Also available as<br />

MIT/LCS/TM-372.<br />

[10] X. Liu, M. C. Papaefthymiou, and E. G. Friedman. Optimal clock skew scheduling tolerant to process<br />

variations. In Design, Automation, and Test in Europe, pages 643–649, March 1999.<br />

[11] B. Lockyear and C. Ebeling. Optimal retiming of multi-phase, level-clocked circuits. In Advanced Research in<br />

VLSI and Parallel Systems: Proc. 1992 Brown/MIT Conf. MIT Press, March 1992.<br />

[12] H.-G. Martin. Retiming by combination of relocation and clock delay adjustment. In Proc. European Design<br />

Automation Conf., pages 384–389, September 1993.<br />

[13] J. Monteiro, S. Devadas, and A. Ghosh. Retiming sequential circuits <strong>for</strong> low power. In Digest of Technical<br />

Papers of the 1993 IEEE International Conf. on CAD, pages 398–402, November 1993.<br />

[14] J. L. Neves and E. G. Friedman. Optimal clock skew scheduling tolerant to process variations. In Proc. 33rd<br />

ACM/IEEE Design Automation Conf., pages 623–628, June 1996.


[15] M. C. Papaefthymiou and K. H. Randall. TIM: a timing package <strong>for</strong> two-phase, level-clocked circuitry. In Proc.<br />

30th ACM/IEEE Design Automation Conf., June 1993. Also available as an MIT VLSI Memo 92–693, October<br />

1992.<br />

[16] N. Shenoy, R. K. Brayton, and A. Sangiovanni-Vincentelli. Retiming of circuits with single phase levelsensitive<br />

latches. In International Conf. on Computer Design, October 1991.<br />

[17] T. Soyata, E. G. Friedman, and J. H. Mulligan, Jr. Incorporating interconnect, register, and clock distribution<br />

delays into the retiming process. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems,<br />

16(1):105–120, January 1997.


DAC'99, pages 237-242<br />

A Practical <strong>Approach</strong> to Multiple-Class Retiming<br />

Klaus Eckl<br />

Institute of EDA, Technical Univ. of Munich, 80290 Munich, Germany<br />

Jean Christophe Madre<br />

Synopsys, Inc., 38610 Gieres, France<br />

Peter Zepter<br />

Synopsys Inc., Mountain View, CA-94043<br />

Christian Legl<br />

Institute of EDA, Technical Univ. of Munich, 80290 Munich, Germany<br />

Abstract<br />

Retiming is an optimization technique <strong>for</strong> synchronous circuits introduced by Leiserson and Saxe<br />

in 1983. Although powerful, retiming is not very widely used because it does not handle in a<br />

satisfying way circuits whose registers have load enable, synchronous and asynchronous set/clear<br />

inputs. We propose an extension of retiming whose basis is the characterization of registers into<br />

register classes. The new approach called multiple-class retiming handles circuits with an<br />

arbitrary number of register classes. We present results on a set of industrial FPGA designs<br />

showing the effectiveness and efficiency of multiple-class retiming.<br />

References<br />

[1] R. Camposano and P. G. Pl¨oger. Retiming and high-level synthesis. In International Workshop on High-Level-<br />

Synthesis, pages 191–201, Nov. 1992.<br />

[2] G. De Micheli. Synchronous logic synthesis: Algorithms <strong>for</strong> cycletime minimization. IEEE Transactions on<br />

Computer-Aided Design of Integrated Circuits and Systems, 10(1):63–73, Jan. 1991.<br />

[3] S. Dey, M. Potkonjak, and S. G. Rothweiler. Per<strong>for</strong>mance optimization of sequential circuits by eliminating<br />

retiming bottlenecks. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 504–509,<br />

Nov. 1992.<br />

[4] G. Even, I. Y. Spillinger, and L. Stok. Retiming revisited and reversed. IEEE Transactions on Computer-Aided<br />

Design of Integrated Circuits and Systems, 15(3):348–357, Mar. 1996.<br />

[5] S. Hassoun and C. Ebeling. Experiments in the iterative application of resynthesis and retiming. In ACM/IEEE<br />

International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Dec. 1997.<br />

[6] A. T. Ishii, C. E. Leiserson, and M. C. Papaefthymiou. Optimizing two-phase, level-clocked circuitry. In T.<br />

Knight and J. Savage, editors, Advanced Research in VLSI and Parallel Systems: Proceedings of the 1992<br />

Brown/MIT Conference, pages 245–264. MIT Press, 1992.<br />

[7] C. Legl, P. Vanbekbergen, and A. Wang. Retiming of edge-triggered circuits with multiple clocks and load<br />

enables. In International Workshop on Logic Synthesis (IWLS), volume 1, May 1997.<br />

[8] C. E. Leiserson and J. B. Saxe. Optimizing synchronous systems. Journal of VLSI and Computer Systems,<br />

1(1):41–67, Spring 1983.<br />

[9] C. E. Leiserson and J. B. Saxe. Retiming synchronous circuitry. Algorithmica, 6(1):5–35, 1991.<br />

[10] B. Lockyear and C. Ebeling. Optimal retiming of multi-phase, level-clocked circuits. In T. Knight and J.<br />

Savage, editors, Advanced Research in VLSI and Parallel Systems: Proceedings of the 1992 Brown/MIT<br />

Conference, pages 265–280. MIT Press, 1992.<br />

[11] N. Maheshwari and S. Sapatnekar. <strong>Efficient</strong> retiming of large circuits. IEEE Transactions on VLSI Systems,<br />

6(1):74–83, Mar. 1998.<br />

[12] N. Maheshwari and S. S. Sapatnekar. <strong>An</strong> improved algorithm <strong>for</strong> minimum-area retiming. In ACM/IEEE<br />

Design Automation Conference (DAC), pages 2–7, June 1997.<br />

[13] N. Maheshwari and S. S. Sapatnekar. Minimum area retiming with equivalent initial states. In IEEE/ACM<br />

International Conference on Computer-Aided Design (ICCAD), pages 216–219, Nov. 1997.


[14] S. Malik, E. M. Sentovich, R. K. Brayton, and A. Sangiovanni-Vincentelli. Retiming and resynthesis:<br />

Optimizing sequential networks with combinational techniques. IEEE Transactions on Computer-Aided Design of<br />

Integrated Circuits and Systems, 10(1):74–84, Jan. 1991.<br />

[15] P. Pan and C. L. Liu. Optimal clock period FPGA technology mapping <strong>for</strong> sequential circuits. In ACM/IEEE<br />

Design Automation Conference (DAC), pages 720–725, June 1996.<br />

[16] N. Shenoy and R. Rudell. <strong>Efficient</strong> implementation of retiming. In IEEE/ACM International Conference on<br />

Computer-Aided Design (ICCAD), pages 226–233, Nov. 1994.<br />

[17] N. V. Shenoy, K. J. Singh, R. K. Brayton, and A. L. Sangiovanni-Vincentelli. On the temporal equivalence of<br />

sequential circuits. In ACM/IEEE Design Automation Conference (DAC), pages 405–409, June 1992.<br />

[18] V. Singhal, S. Malik, and R. K. Brayton. The case <strong>for</strong> retiming with explicit reset circuitry. In IEEE/ACM<br />

International Conference on Computer-Aided Design (ICCAD), pages 618–625, Nov. 1996.<br />

[19] H. J. Touati and R. K. Brayton. Computing the initial states of retimed circuits. IEEE Transactions on<br />

Computer-Aided Design of Integrated Circuits and Systems, 12(1):157–162, Jan. 1993.<br />

[20] Xilinx Inc., San Jose, Cali<strong>for</strong>nia 95124. The Programmable Logic Data Book, 1996.


DAC'99, pages 243-246<br />

Per<strong>for</strong>mance-driven Integration of Retiming and Resynthesis<br />

Peichen Pan<br />

Strategic CAD Labs, Intel Corporation, Hillsboro, OR 97124<br />

Abstract<br />

We present a novel approach to per<strong>for</strong>mance optimization by integrating retiming and<br />

resynthesis. The approach is oblivious of register boundaries during resynthesis. In addition, it<br />

guides resynthesis by a criterion that is directly tied to the per<strong>for</strong>mance target. The proposed<br />

approach obtains provable results. Experimental results further demonstrate the effectiveness of<br />

our approach.<br />

References<br />

[1] S. Bommu, M. Ciesielski, N. O'Neill, and P. Kalla. Retiming-based factorization <strong>for</strong> multi-level logic<br />

optimization. In Intl. Workshop on Logic Synthesis, 1997.<br />

[2] S. T. Chakradhar, S. Dey, M. Potkonjak, and S. G. Rothweiler. Sequential circuit delay optimization using global<br />

path delays. In ACM/IEEE Design Automation Conf. (DAC), pages 483-489, 1993.<br />

[3] K. C. Chen and S. Muroga. Timing optimization <strong>for</strong> multi-level combinational circuits. In ACM/IEEE Design<br />

Automation Conf. (DAC), pages 339-344, 1990.<br />

[4] G. DeMicheli. Synchronous logic synthesis: algorithms <strong>for</strong> cycle-time minimization. IEEE Trans. on Computer-<br />

Aided Design, 10:63-73, 1991.<br />

[5] S. Dey, M. Potkonjak, and S. G. Rothweiler. Per<strong>for</strong>mance optimization of sequential circuits by eliminating<br />

retiming bottlenecks. In Intl. Conf. on Computer-Aided Design (ICCAD), pages 504-509, 1992.<br />

[6] S. Hassoun and C. Ebeling. Experiments in the iterative application of resynthesis and retiming. In Intl.<br />

Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 1997.<br />

[7] C. E. Leiserson and J. B. Saxe. Retiming synchronous circuitry. Algorithmica, 6:5-35, 1991.<br />

[8] B. Lin. Restructuring of synchronous logic circuits. In European Conf. on Design Automation, pages 205-209,<br />

1993.<br />

[9] S. Malik, K. J. Singh, R. Brayton, and A. L. Sangiovanni-Vincentelli. Per<strong>for</strong>mance optimization of pipelined<br />

logic circuits using peripheral retiming and resynthesis. IEEE Trans. on Computer-Aided Design, 12:568-578, 1993.<br />

[10] P. Pan and C.L. Liu. Optimal clock period FPGA technology mapping <strong>for</strong> sequential circuits with retiming.<br />

ACM Trans. on Design Automation of Electronic Systems, 3(3), 1998.<br />

[11] K. J. Singh, A. R. Wang, R. Brayton, and A. L. Sangiovanni-Vincentelli. Timing optimization of combinational<br />

logic. In Intl. Conf. on Computer-Aided Design (ICCAD), pages 282-285, 1988.<br />

[12] H. J. Touati, H. Savoj, and R. K. Brayton. Delay optimization of combinational logic circuits by clustering and<br />

partial collapsing. In Intl. Conf. on Computer-Aided Design (ICCAD), pages 188-191, 1991.


DAC'99, pages 247-252<br />

Kernel-<strong>Based</strong> Power Optimization of RTL Components:<br />

Exact and Approximate Extraction Algorithms<br />

L. Benini 1 , G. De Micheli 2 , E. Macii 3 , G. Odasso 3 , M. Poncino 3<br />

1 Università di Bologna, Bologna, ITALY 40136<br />

2 Stan<strong>for</strong>d University, Stan<strong>for</strong>d, CA 94305<br />

3 Politecnico di Torino, Torino, ITALY 10129<br />

Abstract<br />

Sequential logic optimization based on the extraction of computational kernels has proved to be<br />

very promising when the target is power minimization. <strong>Efficient</strong> extraction of the kernels is at<br />

the basis of the optimization paradigm; the extraction procedures proposed so far exploit<br />

common logic synthesis trans<strong>for</strong>mations, and thus assume the availability of a gate-level<br />

description of the circuit being optimized. In this paper we present exact and approximate<br />

algorithms <strong>for</strong> the automatic extraction of computational kernels directly from the functional<br />

specification of a RTL component. We show the effectiveness of such algorithms by reporting<br />

the results of an extensive experimentation we have carried out on a large set of standard<br />

benchmarks, as well as on some designs with known functionality.<br />

References<br />

[1] G. D. Hachtel, E. Macii, A. Pardo, F. Somenzi, “Markovian <strong>An</strong>alysis of Large Finite State Machines," IEEE<br />

Transactions on CAD, Vol. 15, No. 12, pp. 1479-1493, December 1996.<br />

[2] L. Benini, G. De Micheli, A. Lioy, E. Macii, G. Odasso, M. Poncino, “Computational Kernels and their<br />

Application to Sequential Power Optimization", DAC-35: ACM/IEEE 1998 Design Automation Conference, pp.<br />

764-769, San Francisco, CA, June 1998.<br />

[3] J. R. Burch, E. M. Clarke, K. L. McMillan, D. L. Dill, “Sequential Circuit Verification Using Symbolic Model<br />

Checking," DAC-27: ACM/IEEE Design Automation Conference, pp. 46-51, Orlando, FL, June 1990.<br />

[4] O. Coudert, J. C. Madre, “A Unified Framework <strong>for</strong> the Formal Verification of Sequential Circuits," ICCAD-90:<br />

IEEE International Conference on Computer-Aided Design, pp. 126-129, Santa Clara, CA, November 1990.<br />

[5] H. Touati, H. Savoj, B. Lin, R. K. Brayton, A. Sangiovanni-Vincentelli, “Implicit Enumeration of Finite State<br />

Machines Using BDDs," ICCAD-90: IEEE International Conference on Computer-Aided Design, pp. 130-133,<br />

Santa Clara, CA, November 1990.<br />

[6] H. Cho, G. D. Hachtel, S. W. Jeong, B. Plessier, E. Schwarz, F. Somenzi, “ATPG Aspects of FSM Verification,"<br />

ICCAD-90: IEEE International Conference on Computer-Aided Design, pp. 134-137, Santa Clara, CA, November<br />

1990.<br />

[7] K. Ravi, F. Somenzi, “High-Density Reachability <strong>An</strong>alysis," ICCAD-95: IEEE/ACM International Conference<br />

on Computer-Aided Design, pp. 154-158, San Jose, CA, November 1995.<br />

[8] H. Cho, G. D. Hachtel, E. Macii, B. Plessier, F. Somenzi, “Algorithms <strong>for</strong> Approximate FSM Traversal <strong>Based</strong> on<br />

State Space Decomposition,", IEEE Transactions on CAD, Vol. 15, No. 12, pp. 1465-1478, December 1996.<br />

[9] H. Cho, G. D. Hachtel, E. Macii, M. Poncino, F. Somenzi, “Automatic State Space Decomposition <strong>for</strong><br />

Approximate FSM Traversal <strong>Based</strong> on Circuit Structural <strong>An</strong>alysis," IEEE Transactions on CAD, Vol. 15, No. 12,<br />

pp. 1451-1464, December 1996.<br />

[10] M. Alidina, J. Monteiro, S. Devadas, A. Ghosh, M. Papaefthymiou, “Precomputation-<strong>Based</strong> Sequential Logic<br />

Optimization <strong>for</strong> Low Power," IEEE Transactions on VLSI Systems, Vol. 2, No. 4, pp. 426-436, December 1994.<br />

[11] J. Monteiro, S. Devadas, A. Ghosh, “Sequential Logic Optimization <strong>for</strong> Low Power Using Input-Disabling<br />

Precomputation Architectures," IEEE Transactions on CAD, Vol. 17, No. 3, pp. 279-284, March 1998.<br />

[12] L. Benini, P. Siegel, G. De Micheli, “Automatic Synthesis of Gated Clocks <strong>for</strong> Power Reduction in Sequential<br />

Circuits," IEEE Design and Test of Computers, Vol. 11, No. 4, pp. 32-40, December 1994.<br />

[13] L. Benini, G. De Micheli, “Trans<strong>for</strong>mation and Synthesis of FSMs <strong>for</strong> Low Power Gated Clock<br />

Implementation," IEEE Transactions on CAD, Vol. 15, No. 6, pp. 630-643, June 1996.


[14] L. Benini, G. De Micheli, E. Macii, M. Poncino, R. Scarsi, “Symbolic Synthesis of Clock-Gating Logic <strong>for</strong><br />

Power Optimization of Synchronous Controllers," ACM Transactions on Design Automation of Electronic Systems,<br />

To Appear.<br />

[15] S. H. Chow, Y. C. Ho, T. Hwang, C. L. Liu, “Lower Power Realization of Finite State Machines - A<br />

Decomposition <strong>Approach</strong>," ACM Transactions on Design Automation of Electronic Systems, Vol. 1, No. 3, pp. 315-<br />

340, July 1996.<br />

[16] J. Monteiro, A. Oliveira, “Finite State Machine Decomposition <strong>for</strong> Low Power," DAC-35: ACM/IEEE 1998<br />

Design Automation Conference, pp. 763-768, San Francisco, CA, June 1998.<br />

[17] L. Benini, G. De Micheli, Dynamic Power Management: Design Techniques and CAD Tools. Kluwer<br />

Academic Publishers, 1998.<br />

[18] E. Macii, M. Pedram, F. Somenzi, “High-Level Power Modeling, Estimation, and Optimization", IEEE<br />

Transactions on CAD, Vol. 17, No. 11, November 1998.<br />

[19] R. I. Bahar, C. Gaona, E. Frohm, G. D. Hachtel, E. Macii, A. Pardo, F. Somenzi, “Algebraic Decision Diagrams<br />

and Their Applications", Formal Methods in System Design, Vol. 10, pp. 171-206, 1997.<br />

[20] E. M. Sentovich, K. J. Singh, C. W. Moon, H. Savoj, R. K.Brayton, A. Sangiovanni-Vincentelli, “Sequential<br />

Circuits Design Using Synthesis and Optimization," ICCD-92: IEEE International Conference Computer Design,<br />

pp. 328-333, Cambridge, MA, October 1992.<br />

[21] F. Somenzi, CUDD: University of Colorado Decision Diagram Package, Release 2.3.0, Technical Report, Dept.<br />

of ECE, University of Colorado, Boulder, CO, September 1998.<br />

[22] F. Brglez, D. Bryan, K. Kozminski, “Combinational Profiles of Sequential Benchmark Circuits," ISCAS-89:<br />

IEEE International Symposium on Circuits and Systems, pp. 1929-1934, Portland, OR, May 1989.<br />

[23] A. Salz, M. Horowitz, “IRSIM: <strong>An</strong> Incremental MOS Switch-Level Simulator," DAC-26: ACM/IEEE Design<br />

Automation Conference, pp. 173-178, Las Vegas, NV, June 1989.<br />

[24] C. Y. Tsui, J. Monteiro, M. Pedram, S. Devadas, A. M. Despain, B. Lin, “Power Estimation Methods <strong>for</strong><br />

Sequential Logic Circuits," IEEE Transactions on VLSI Systems, Vol. 3, No. 3, pp. 404-416, September 1995.<br />

[25] G. Berry, H. Touati, “Optimized Controller Synthesis using Esterel," IWLS-93: ACM/IEEE International<br />

Workshop on Logic Synthesis, Paper 5b, Lake Tahoe, CA, May 1993.<br />

[26] S.-I. Minato, “Generation of BDDs from Hardware Algorithm Description," ICCAD-96: IEEE/ACM<br />

International Conference on Computer-Aided Design, pp. 644-649, San Jose, CA, November 1996.


DAC'99, pages 253-257 Customized Instruction-Sets For Embedded Processors<br />

Joseph A. Fisher<br />

Hewlett-Packard Laboratories Cambridge, Cambridge, MA 02142<br />

ABSTRACT<br />

It is generally believed that there will be little more variety in CPU architectures, and thus the<br />

design of Instruction-set Architectures (ISAs) will have no role in the future of embedded CPU<br />

design. Nonetheless, it is argued in this paper that architectural variety will soon again become<br />

an important topic, with the major motivation being increased per<strong>for</strong>mance due to the<br />

customization of CPUs to their intended use. Five major barriers that could hinder customization<br />

are described, including the problems of existing binaries, toolchain development and<br />

maintenance costs, lost savings/higher chip cost due to the lower volumes of customized<br />

processors, added hardware development costs, and some factors related to the product<br />

development cycle <strong>for</strong> embedded products. Each is discussed, along with potential, sometimes<br />

surprising, solutions.<br />

Keywords: Embedded processors, custom processors, instruction-level parallelism, VLIW, mass<br />

customization of toolchains<br />

REFERENCES<br />

[1] Fisher, J. A. Walk-Time Techniques: Catalyst <strong>for</strong> Architectural Change. Computer, 30, 9 (September 1997), 40-<br />

42.<br />

[2] Fisher, J. A., Faraboschi, P., and Desoli, G. Custom-Fit Processors: Letting Applications Define Architectures.<br />

International Symposium on Microarchitecture, Micro-29, Paris, France, 1996, 324-335.<br />

[3] John Markoff, New Computer Dazzles a Jaded Industry Crowd. The New York Times, October 4, 1995, D6.<br />

[4] Erick Schonfeld , The Customized, Digitized, Have-It-Your-Way Economy. Fortune Magazine, 138, 6,<br />

September 28, 1998.


DAC'99, pages 258-259<br />

System-Level Hardware/Software Trade-offs<br />

Samuel P. Harbison<br />

Texas Instruments, Monroeville, PA 15146<br />

ABSTRACT<br />

Operating systems and development tools can impose overly general requirements that prevent<br />

an embedded system from achieving its hardware per<strong>for</strong>mance entitlement. It is time <strong>for</strong><br />

embedded processor designers to become more involved with system software and tools.<br />

Keywords: Digital signal processors, instruction set architecture, compiler, real-time operating<br />

system, software configuration.<br />

REFERENCES<br />

[1] DSP/BIOS General Overview. URL http://www.ti.com/sc/docs/dsps/tools/dspbios/index.htm.<br />

[2] TMS320C600 product in<strong>for</strong>mation. URL http://www.ti.com/sc/docs/dsps/products/c6000/index.htm.<br />

[3] RTDX. URL http://www.ti.com/sc/docs/dsps/tools/c5000/c54x/rtdx.htm.<br />

[4] “Emulation Fundamentals <strong>for</strong> TI’s DSP Solutions.” URL http://www.ti.com/sc/docs/psheets/abstract/apps/<br />

spra439.htm.


DAC'99, pages 260-261<br />

Panel: Functional Verification: Real Users, Real Problems, Real Opportunities<br />

Chair: Jonah Mcleod – Silicon Strategies, Mountian View, CA<br />

Panel Members: Nozar Azarakhsh, Glen Ewing, Paul Gingras, Scott Reedstrom, Chris Rowen<br />

Abstract<br />

Achieving timely and comprehensive functional design verification is a ubiquitous problem in<br />

electronics. This panel offers perspectives on verification from designers of cardiac pacemakers,<br />

communications satellites, compute servers, networking equipment, and IP.<br />

The panelists will begin by dissecting the bottlenecks in their verification processes. For<br />

example, are simulators too slow? Or do test vector generation and coverage analysis consume<br />

the most time? The panelists will present their ideas <strong>for</strong> new EDA products which might<br />

accelerate verification. Finally, the panelists will discuss what compromises they would accept in<br />

order to achieve this acceleration. Would they learn a new HDL? Restrict their design styles?<br />

Forsake legacy designs?


DAC'99, pages 262-267<br />

A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning<br />

Hsiao-Pin Su 1;2 , Allen C.-H. Wu 1 and Youn-Long Lin 1<br />

1 Department of Computer Science, Tsing Hua University, Hsin-Chu, Taiwan, ROC<br />

2 Taiwan Semiconductor Manufacturing Company, Ltd., Hsin-Chu, Taiwan, ROC<br />

Abstract<br />

In this paper, we present a complete chip design method which incorporates a soft-macro<br />

resynthesis method in interaction with chip floorplanning <strong>for</strong> area and timing improvements. We<br />

develop a timing-driven design flow to exploit the interaction between HDL synthesis and<br />

physical design tasks. During each design iteration, we resynthesize soft macros with either a<br />

relaxed or a tightened timing constraint which is guided by the post-layout timing in<strong>for</strong>mation.<br />

The goal is to produce area-efficient designs while satisfying the timing constraints. Experiments<br />

on a number of industrial designs have demonstrated that by effectively relaxing the timing<br />

constraint of the non-critical modules and tightening the timing constraint of the critical modules,<br />

a design can achieve 13% to 30% timing improvements with little to no increase in chip area.<br />

References<br />

[1] B. T. Preas and M. J. Lorenzetti, Physical Design Automation of VLSI Systems, Benjamin Cummings, Menlo<br />

Park, CA., 1988.<br />

[2] N. Sherwani, Algorithms <strong>for</strong> VLSI Physical Design Automation, 2nd ed., Kluwer Academic Publishers, 1995.<br />

[3] C.J. Alpert and A. B. Kahng, “Recent Direction in Netlist Partitioning: A Survey," INTEGRATION: the VLSI<br />

Journal, N19, pp. 1-81, 1995.<br />

[4] M. Pedram and N. Bhat, “Layout Driven Technology Mapping," Proc. of the 28th Design Automation<br />

Conference, pp. 99-105, 1991.<br />

[5] S. Liu, K. Pan, M. Pedram, and A. M. Despain, “Alleviating Routing Congestion by Combing Logic Resynthesis<br />

and Linear Placement," Proc. of European Conference on Design Automation, pp. 578-582, 1993.<br />

[6] G. Stenz, B. M. Riess, B. Roheisch, F. M. Johannes, “Timing Driven Placement in Interaction with Netlist<br />

Trans<strong>for</strong>mations," Proc. of Int. Symp. on Physical Design, pp. 36-41, 1997.<br />

[7] G. Holt and A. Tyagi, “Minimizing Interconnect Energy Through Integrated Low-Power Placement and<br />

Combinational Logic Synthesis," Proc. of Int. Symp. on Physical Design, pp. 48-53, 1997.<br />

[8] C. M. Fiduccia and R. M. Mattheyses, “A Linear Time Heuristic <strong>for</strong> Improving Network Partitions," Proc. of the<br />

19th Design Automation Conference, pp. 175-181, 1982.<br />

[9] D. M. Schuler and E. G. Ulrich, “Clustering and linear placement," Proc. of the 9th Design Automation<br />

Conference, pp.412-419, 1972.<br />

[10] H.-P. Su, A. C.-H. Wu, Y.-L. Lin, “Per<strong>for</strong>mance-Driven Soft-Macro Clustering and Placement by Preserving<br />

HDL Design Hierarchy," Proc. of Int. Symp. on Physical Design, pp. 12-17, 1998.<br />

[11] “HDL Compiler <strong>for</strong> Verilog Reference Manual Version 3.4b", Synopsys, 1996.<br />

[12] “Silicon Ensemble Reference Manual Version 5.0", Cadence, 1996.<br />

[13] “Aquarious XO Reference Manual Version 2.1.2", AVANT!, 1998.<br />

[14] “STAR-RC Reference Manual Version 2.2", AVANT!, 1997.<br />

[15] “STAR-DC Reference Manual Version 2.1.2", AVANT!, 1996.<br />

[16] “TSMC ASIC Data Book TCB670", Taiwan Semiconductor Manufacturing Company, Ltd. 1997<br />

[17] “TSMC DSD Data Book ACB872", Taiwan Semiconductor Manufacturing Company, Ltd. 1998


DAC'99, pages 268-273<br />

<strong>An</strong> O-Tree Representation of Non-Slicing Floorplan and Its Applications<br />

Pei-Ning Guo, Chung-Kuan Cheng<br />

Mentor Graphics Corp., San Jose, CA 95131, U.S.A.<br />

Takeshi Yoshimura<br />

NEC Corp., 4-1-1 Miyazaki, Miyanae-Ku, Kawasaki 216, Japan<br />

ABSTRACT<br />

We present an ordered tree, O-tree, structure to represent non-slicing floorplans. The O-tree uses<br />

only n(2 + [lg n]) bits <strong>for</strong> a floorplan of n rectangular blocks. We define an admissible placement<br />

as a compacted placement in both x and y direction. For each admissible placement, we can find<br />

an O-tree representation. We show that the number of possible O-tree combinations is O(n!2<br />

/ n 1.5 ). This is very concise compared to a sequence pair representation which has O((n!) 2 )<br />

combinations. The approximate ratio of sequence pair and O-tree combinations is O(n 2 (n/4e) n ).<br />

The complexity of O-tree is even smaller than a binary tree structure <strong>for</strong> slicing floorplan which<br />

has O(n! 2 5n –3 / n 1.5 ) combinations. Given an O-tree, it takes only linear time to construct the<br />

placement and its constraint graph. We have developed a deterministic floorplanning algorithm<br />

utilizing the structure of O-tree. Empirical results on MCNC benchmarks show promising<br />

per<strong>for</strong>mance with average 16% improvement in wire length, and 1% less in dead space over<br />

previous CPU-intensive cluster refinement method.<br />

REFERENCES<br />

[1] K. Keeler and J. Westbrook, Short Encoding of Planar Graphs and Maps, Discrete Applied Mathematics, vol.<br />

58, pp. 239-252, 1995<br />

[2] D.E. Knuth, The Art of Computer Programming, 2nd Ed., Vol. 1, Addison-Wesley Publishing Co., pp. 385-395,<br />

1973<br />

[3] H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajatani, Rectangular-Packing-<strong>Based</strong> Module Placement, ICCAD,<br />

pp. 472-479, 1995<br />

[4] S. Nakatake, K. Fujiyoshi, H. Murata, and Y. Kajitani, Module Placement on BSG-Structure and IC Layout<br />

Applications, ICCAD, pp. 484-491, 1996<br />

[5] H. Onodera, Y. Taniguchi, K. Tamaru, Branch-and-Bound Placement <strong>for</strong> Building Block Layout, DAC, pp. 433-<br />

439, 1991<br />

[6] R. H. J. M. Otten, Automatic Floorplan Design, Proc. ACM/IEEE Design Automation Conf., pp. 261-267, 1982<br />

[7] P. Pan and C.L. Liu, Area Minimization <strong>for</strong> Floorplans, IEEE Transactions on Computer-Aided Design of<br />

Integrated Circuits and System, pp. 123-132, January 1995<br />

[8] B. T. Preas and W. M. VanCleemput, Placement Algorithms <strong>for</strong> Arbitrarily Shaped Blocks, DAC, pp. 474-480,<br />

1979<br />

[9] T. Takahashi, <strong>An</strong> Algorithm <strong>for</strong> Finding a Maximum-Weight Decreasing Sequence in a Permutation, Motivated<br />

by Rectangle Packing Problem, IEICE, vol. VLD96, pp. 31-35, 1996<br />

[10] T.-C. Wang, and D. F. Wong, <strong>An</strong> Optimal Algorithm <strong>for</strong> Floorplan Area Optimization, DAC, pp. 180-186,<br />

1990<br />

[11] D. F. Wong, and C. L. Liu, A New Algorithm <strong>for</strong> Floorplan Design, DAC, pp. 101-107, 1986<br />

[12] J. Xu, P.-N. Guo, and C.-K. Cheng, Cluster Refinement <strong>for</strong> Block Placement, DAC, pp. 762-765, 1997<br />

2n - 2


DAC'99, pages 274-279<br />

Module Placement <strong>for</strong> <strong>An</strong>alog Layout Using the Sequence-Pair Representation<br />

Florin Balasa, Koen Lampaert<br />

Conexant Systems, Newport Beach, CA 92660<br />

Abstract<br />

This paper addresses the problem of device-level placement <strong>for</strong> analog layout. Different from<br />

most of the existent approaches employing basically simulated annealing optimization<br />

algorithms operating on at Gellat-Jepsen spatial representations [2], we are using a more recent<br />

topological representation called sequence-pair [7], which has the advantage of not being<br />

restricted to slicing floorplan topologies. In this paper, we are explaining how specific features<br />

essential to analog placement, as the ability to deal with symmetry and device matching<br />

constraints, can be easily handled by employing the sequence-pair representation. Several analog<br />

examples substantiate the effectiveness of our placement tool, which is already in use in an<br />

industrial environment.<br />

References<br />

[1] J. Cohn, D. Garrod, R. Rutenbar, L. Carley, <strong>An</strong>alog Device-Level Automation, Kluwer Academic Publishers,<br />

1994.<br />

[2] D.W. Jepsen, C.D. Gellat Jr., “Macro placement by Monte Carlo <strong>An</strong>nealing", Proc. IEEE Int. Conf. on Comp.<br />

Design, pp. 495-498, Nov. 1984.<br />

[3] M. Kayal, S. Piguet, M. Declerq, B. Hochet, “SALIM: a layout generation tool <strong>for</strong> analog ICs," Proc. IEEE<br />

Custom Integrated Circuits Conf., pp. 7.5.1-4, 1988.<br />

[4] K. Lampaert, G. Gielen, W. Sansen, “A per<strong>for</strong>mance-driven placement tool <strong>for</strong> analog integrated circuits," IEEE<br />

J. of Solid-State Circ., Vol. SC-30, No. 7, pp. 773-780, July 1995.<br />

[5] E. Malavasi, E. Charbon, G. Jusuf, A. Sangiovanni-Vincentelli, “Virtual symmetry axes <strong>for</strong> the layout of analog<br />

IC's," Proc. 2nd ICVC, pp. 195-198, Seoul, Korea, Oct. 1991.<br />

[6] E. Malavasi, E. Charbon, E. Felt, A. Sangiovanni-Vincentelli, “Automation of IC layout with analog<br />

constraints," IEEE Trans. on Comp.-Aided Design of IC's and Systems, Vol. 15, No. 8, pp. 923-942, Aug. 1996.<br />

[7] H. Murata, K. Fujiyoshi, S. Nakatake, Y. Kajitani, “VLSI module placement based on rectangle-packing by the<br />

sequence-pair," IEEE Trans. on Comp.-Aided Design of IC's and Systems, Vol. 15, No. 12, pp. 1518-1524, Dec.<br />

1996.<br />

[8] S.W. Mehranfar, “STAT: a schematic to artwork translator <strong>for</strong> custom analog cells," Proc. 1990 IEEE Custom<br />

Integrated Circuits Conf., pp. 30.2.1-3, 1990.<br />

[9] H. Onodera, Y. Taniguchi, K. Tamaru, “Branch-and-bound placement <strong>for</strong> building block layout," Proc. 28 th<br />

ACM/IEEE Design Automation Conf., pp. 433-439, 1991.<br />

[10] R. Otten, “Complexity and diversity in IC layout design," Proc. IEEE Intn'l Symp. Circuits and Computers,<br />

1980.<br />

[11] J. Rijmenants, J.B. Litsios, T.R. Schwarz, M. Degrauwe, “ILAC: an automated layout tool <strong>for</strong> analog CMOS<br />

circuits," IEEE J. of Solid-State Circuits, Vol. SC-24, No. 2, pp. 417-425, April 1989.<br />

[12] W.-J. Sun, C. Sechen, “<strong>Efficient</strong> and effective placement <strong>for</strong> very large circuits," IEEE Trans. on Comp.-Aided<br />

Design of IC's and Systems, Vol. 14, No. 3, pp. 349-359, March 1995.<br />

[13] S. Sutanthavibul, E. Shragowitz, J.B. Rosen, “<strong>An</strong> analytical approach to floorplan design and optimization,"<br />

IEEE Trans. on Comp.-Aided Design of IC's and Systems, Vol. 10, No. 6, pp. 761-769, June 1991.<br />

[14] D.F.Wong, C.L. Liu, “A new algorithm <strong>for</strong> floorplan design," Proc. 23rd ACM/IEEE Design Automation<br />

Conf., pp. 101-107, 1986.


DAC'99, pages 280-285<br />

Genetic List Scheduling Algorithm <strong>for</strong> Scheduling and Allocation on a Loosely Coupled<br />

Heterogeneous Multiprocessor System<br />

Martin Grajcar<br />

University of Passau<br />

Abstract<br />

Our problem consists of a partially ordered set of tasks communicating over a shared bus which<br />

are to be mapped to a heterogeneous multiprocessor system. The goal is to minimize the<br />

makespan, while satisfying constrains implied by data dependencies and exclusive resource<br />

usage.<br />

We present a new efficient heuristic approach based on list scheduling and genetic algorithms,<br />

which finds the optimum in few seconds on average even <strong>for</strong> large examples (up to 96 tasks)<br />

taken from [3]. The superiority of our algorithm compared to some other algorithms is<br />

demonstrated.<br />

Keywords: heterogeneous system design, heuristic, genetic algorithms, list scheduling<br />

References<br />

[1] T. L. Adam, K. M. Chandy, J. R. Dickson: A comparison of list schedules <strong>for</strong> parallel processing systems; in<br />

Communications ACM, 1974, Vol. 17, p. 685<br />

[2] Armin Bender: Design of an Optimal Loosely Coupled Heterogeneous Multiprocessor System; in European<br />

Design&Test Conference 1996, Paris 1996, p. 275–281<br />

[3] Armin Bender: Ein praktikables und optimales Einplanungsverfahren für heterogene Mehrprozessorsysteme;<br />

PhD-thesis, Shaker, Aachen 1997<br />

[4] Tobias Blickle: Theory of Evolutionary Algorithms and Application to System Synthesis;<br />

http://www.tik.ee.ethz.ch/˜blickle/diss.html, 1996<br />

[5] Edward G. Coffman, R. L. Graham: Optimal scheduling <strong>for</strong> two-processor systems; in Acta In<strong>for</strong>matica, 1972, 1,<br />

p. 200<br />

[6] Muhammad. K. Dhodhi, Intiaz Ahmad, Robert Storer: SHEMUS: Synthesis of heterogeneous multiprocessor<br />

systems; in Microprocessors and Microsystems, 1995, Vol. 19, No. 6, p. 311<br />

[7] Kemal Efe: Heuristic Models of task Assignment Scheduling in Distributed Systems; in Computer, 1982, p. 50–<br />

56<br />

[8] Michael R. Garey, David S. Johnson: Computers and intractability - a guide to the theory of NP-completeness;<br />

Freeman, 1979<br />

[9] David E. Goldberg: Genetic Algorithms in search, optimization, and machine learning; Addison-Wesley, 1989<br />

[10]Hironori Kasahara, Seinosuke Narita: Practical Multiprocessor Scheduling Algorithms <strong>for</strong> <strong>Efficient</strong> Parallel<br />

Processing; in IEEE Trans. on Computers, 1984, Vol. C-33, No. 11, p. 1023<br />

[11]Yu-Kwong Kwok, Ishfaq Ahmad: Dynamic Critical-Path Scheduling: <strong>An</strong> Effective Technique <strong>for</strong> Allocating<br />

Task graphs to Multiprocessors; in IEEE Trans. on Parallel and Distributed Systems, 1996, Vol. 7, p. 506<br />

[12]Zbigniew Michalewicz: Genetic Algorithms + Data Structures = Evolution Programs; Springer, 1996<br />

[13]Stella C. S. Porto, Celso C. Ribeiro: A Tabu Search <strong>Approach</strong> to Task Scheduling on Heterogeneous Processors<br />

under Precedence Constrains; ftp://ftp.inf.puc-rio.br/pub/docs/techreports/93 03 porto.ps.gz, 1994<br />

[14]Shiv Prakash, Alice C. Parker: SOS: Synthesis of Application-Specific Heterogeneous Multiprocessor Systems;<br />

in Journal of Parallel and Distributed Computing 16, 1992, p. 338–351<br />

[15]V. Sarkar: Partitioning and Scheduling Parallel Programs <strong>for</strong> Multiprocessors; Cambridge, MIT Press, 1989<br />

[16]Vadim G. Timkovsky: A polynomial-time algorithm <strong>for</strong> the two-machine unit-time release-date job-shop<br />

schedule-length problem;Discrete Applied Mathematics 7, 1997, p. 185<br />

[17]M. Y. Wu, D. D. Gajski: Hypertool: A Programming Aid <strong>for</strong> Message-Passing Systems; in IEEE Trans. on<br />

Parallel and Distributed Systems, 1990, Vol. 1, No. 3, p. 330–343


DAC'99, pages 286-291<br />

Per<strong>for</strong>mance-Driven Scheduling with Bit-Level Chaining<br />

Sanghun Park and Kiyoung Choi<br />

School of Electrical Engineering, Seoul National University, Seoul 151-742, Korea<br />

Abstract<br />

This paper presents a new scheduling algorithm that maximizes the per<strong>for</strong>mance of a design<br />

under resource constraints in high-level synthesis. The algorithm tries to achieve the maximal<br />

utilization of resources and the minimal waste of clock slack time. Moreover, it exploits the<br />

technique of bit-level chaining to target high-speed designs. The algorithm tries non-integer<br />

multiple-cycling and chaining, which allows multiple cycle execution of chained operations, to<br />

further increase the per<strong>for</strong>mance at the cost of small increase in the complexity of the control<br />

unit. Experimental results on several datapath-intensive designs show significant improvement in<br />

execution time, over the conventional scheduling algorithms.<br />

References<br />

[1] K. S. Hwang, A. E. Casavant, C. T. Chang, and M. A. d’Abreu, “Scheduling and hardware sharing in pipelined<br />

data paths,” in Proc. Int’l Conf. on Computer Aided Design, 1989, pp. 24–27.<br />

[2] N. Park and A. C. Parker, “Sehwa: A software package <strong>for</strong> synthesis of pipelines from behavioral<br />

specifications,” IEEE Trans. on Computer-Aided Design, pp. 356–370, Mar. 1988.<br />

[3] S. Devadas and A. R. Newton, “Data path synthesis from behavioral description: <strong>An</strong> algorithmic approach,” in<br />

Proc. Int’l Symposium on Circuits and Systems, 1987, pp. 298–401.<br />

[4] M. R. Corazao, M. A. Khalaf, L. M. Guerra M. Potkonjak, and J. Rabaey, “Per<strong>for</strong>mance optimization using<br />

template mapping <strong>for</strong> datapath-intensive highlevel synthesis,” IEEE Trans. on Computer-Aided Design, vol. 15, no.<br />

8, pp. 877–888, Aug. 1996.<br />

[5] P. Kanthamanon, G. R. Hellestrand, and R. L.K. Chan, “A context sensitive scheduling technique under resource<br />

constraints,” in Proc. Asia Pacific Conf. on Hardware Description Language, 1997, pp. 92–99.<br />

[6] S. Narayan and D. D. Gajski, “System clock estimation based on clock slack minimization,” in Proc. European<br />

Design & Test Conf., 1992, pp. 66–71.<br />

[7] S. Parameswaran, P. Jha, and N. Dutt, “Resynthesizing controllers <strong>for</strong> minimum execution time,” in Proc. Asia<br />

Pacific Conf. on HardwareDescription Language, 1994, pp. 111–117.<br />

[8] H.P. Juan, D. D. Gajski, and V. Chaiyakul, “Clock-driven per<strong>for</strong>mance optimization in interactive behavioral<br />

synthesis,” in Proc. Int’l Conf. on Computer Aided Design, 1996, pp. 154–157.<br />

[9] S. Park and K. Choi, “Latency minimisation by system clock optimisation,” IEE Electronics Letters, vol. 34, no.<br />

9, pp. 862–864, Apr. 1998.<br />

[10] P. G. Paulin and J. P. Knight, “Force-directed scheduling <strong>for</strong> the behavioral synthesis of asic’s,” IEEE Trans.<br />

on Computer-Aided Design, vol. 8, no. 6, pp. 661–679, June 1989.<br />

[11] W. F. J. Verhaegh, P. E. R. Lippens, E. H. L. Aarts J. H. M. Korst, J. L. van Meerbergen, and A. van derWerf,<br />

“Improved <strong>for</strong>ce-directed scheduling in high-throughput digital signal processing,” IEEE Trans. on Computer-Aided<br />

Design, vol. 14, no. 8, pp. 945–960, Aug. 1995.<br />

[12] R. Camposano, “Path-based scheduling <strong>for</strong> synthesis,” IEEE Trans. on Computer-Aided Design, vol. 10, no. 1,<br />

pp. 85–93, Jan. 1991.<br />

[13] C.T. Hwang, J.H. Lee, and Y.C. Hsu, “A <strong>for</strong>mal approach to the scheduling problem in high level synthesis,”<br />

IEEE Trans. on Computer-Aided Design, vol. 10, no. 4, pp. 464–475, Apr. 1991.<br />

[14] J. Rabaey, C. Chu, P. Hoang, and M. Potkonjak, “Fast prototyping of datapath-intensive architectures,” IEEE<br />

Design & Test of Computers, pp. 40–51, June 1991.<br />

[15] K. Hwang, “Computer arithmetic: Principles, architecture, and design,” John Wiley & Sons, 1979.<br />

[16] S. Wu, “Hyper’s hardware library,” M.S. thesis, EECS Department, U.C. Berkeley, 1993–1995.<br />

[17] O. Bentz, “A hardware mapper <strong>for</strong> the hyper high level synthesis system,” M.S. thesis, EECS Department, U.C.<br />

Berkeley, 1993.<br />

[18] S. Note, F. Catthoor, G. Goossens, and H. De Man, “Combined hardware selection and pipelining in high<br />

per<strong>for</strong>mance deat-path design,” in Proc. Int’l Conf. on Computer Design, 1990, pp. 328–331.


[19] M. Potkonjak and J. Rabaey, “Retiming <strong>for</strong> scheduling,” in Proc. IEEE Workshop on VLSI Signal Processing,<br />

1990.<br />

[20] E. M. Sentovich and et al., “Sequential circuit design using synthesis and optimization,” in Proc. Int’l Conf. on<br />

Computer Aided Design, 1992, pp. 328–333.<br />

[21] A. Aziz, F. Balarin, R. Brayton and A. Sangiovanni-Vincentelli, “Sequential synthesis using sis,” in Proc. Int’l<br />

Conf. on Computer Aided Design, 1995, pp. 612–617.<br />

[22] S. Park and K. Choi, “Sequential circuit optimization by fsm trans<strong>for</strong>mation,” in Proc. Asia Pacific Conf. on<br />

Hardware Description Language, 1998, pp. 53–58.


DAC'99, pages 292-295<br />

A Model <strong>for</strong> Scheduling Protocol-Constrained Components and Environments<br />

Steve Haynal, Forrest Brewer<br />

Department of Electrical and Computer Engineering,<br />

University of Cali<strong>for</strong>nia, Santa Barbara, U.S.A.<br />

ABSTRACT<br />

This paper presents a technique <strong>for</strong> highly constrained event sequence scheduling. System<br />

resource protocols as well as an external interface protocol are described by non-deterministic<br />

finite automata (NFA). All valid schedules which adhere to interfacing constraints and resource<br />

bounds <strong>for</strong> flow graph described behavior are determined exactly. A model and scheduling<br />

results are presented <strong>for</strong> an extensive design example.<br />

Keywords: Interface protocols, protocol-constrained scheduling, automata.<br />

REFERENCES<br />

[1] R. Camposano, “Path-<strong>Based</strong> Scheduling <strong>for</strong> Synthesis”, IEEE Trans. CAD/ICAS, vol. 10, no. 1, pp. 85-93, Jan.<br />

1991.<br />

[2] C. N. Coelho Jr, G. De Micheli, “Dynamic Scheduling and Synchronization Synthesis of Concurrent Digital<br />

Systems under System-Level Constraints”, Proc. IEEE Int. Conf. Computer-Aided Design, pp. 175-181, 1994.<br />

[3] C. H. Gebotys and M. I. Elmasry, “Global Optimization <strong>Approach</strong> <strong>for</strong> Architectural Synthesis”, IEEE Trans.<br />

CAD/ICAS, vol. 12, no. 9, pp. 1266-1278, Sep. 1993.<br />

[4] S. Haynal and F. Brewer, “<strong>Efficient</strong> Encoding <strong>for</strong> Exact Symbolic Automata-<strong>Based</strong> Scheduling”, Proc. IEEE Int.<br />

Conf. Computer-Aided Design, to appear, 1998.<br />

[5] H. Hulgaard S.M. Burns, T. Amon, G. Borriello, “<strong>An</strong> Algorithm <strong>for</strong> Exact Bounds on the Time Separation of<br />

Events in Concurrent Systems”, IEEE Transactions on Computers, vol. 44, no.11, pp. 1306-1317, Nov. 1995.<br />

[6] C.-T. Hwang and Y.-C. Hsu, “A Formal <strong>Approach</strong> to the Scheduling Problem in High Level Synthesis”, IEEE<br />

Trans. CAD/ICAS, vol. 10, no. 4, pp. 464-475, Apr. 1991.<br />

[7] C. Monahan and F. Brewer, “Scheduling and Binding Bounds <strong>for</strong> RT-Level Symbolic Execution”, Proc. IEEE<br />

Int. Conf. Computer-Aided Design, pp. 230-235, 1997.<br />

[8] I. Radivojevic and F. Brewer, “A New Symbolic Technique <strong>for</strong> Control-Dependent Scheduling”, IEEE Trans.<br />

CAD/ICAS, vol. 15, no. 1, pp. 45-57, Jan. 1996.<br />

[9] A. Seawright and F. Brewer, “Clairvoyant: A Synthesis System <strong>for</strong> Production-<strong>Based</strong> Specification”, Proc. IEEE<br />

Trans. on VLSI Systems, vol. 2, no. 2, pp. 172-185, June 1994.<br />

[10] K. Wakabayashi and H. Tanaka, “Global Scheduling Independent of Control Dependencies <strong>Based</strong> on Condition<br />

Vectors”, Proc. 29th ACM/IEEE Design Automation Conf., pp. 112-115, 1992.<br />

[11] J. C.-Y. Yang, G. De Micheli, and M. Damiani, “Scheduling and Control Generation with Environmental<br />

Constraints based on Automata Representations”, IEEE Trans. CAD/ICAS, vol. 15, no. 2, pp. 166-183, Feb. 1996.


DAC'99, pages 296-299<br />

A Reordering Technique <strong>for</strong> <strong>Efficient</strong> Code Motion<br />

Luiz C. V. dos Santos, Jochen A. G. Jess<br />

Design Automation Section, Eindhoven University of Technology, Eindhoven, The Netherlands<br />

Abstract<br />

Emerging design problems are prompting the use of code motion and speculative execution in<br />

high-level synthesis to shorten schedules and meet tight time-constraints. However, some code<br />

motions are not worth doing from a worst-case execution perspective. We propose a technique<br />

that selects the most promising code motions, thereby increasing the density of optimal solutions<br />

in the search space.<br />

References<br />

[1] A. Aiken et al., “Resource-Constrained Software Pipelining", IEEE Trans. Parallel and Distributed Syst., vol.<br />

6(12), pp. 1248-1270, Dec. 1995.<br />

[2] U. Banerjee et al., “Automatic Program Parallelization", Proc. of the IEEE, vol. 81(2), pp. 211-243, Feb. 1993.<br />

[3] R. Bergamaschi et. al.,”Control-Flow Versus Data-Flow <strong>Based</strong> Scheduling: Combinining Both <strong>Approach</strong>es in an<br />

Adaptive Scheduling System", IEEE Trans. on VLSI Systems, vol. 5, no.1, pp.82-100, March 1997.<br />

[4] J. van Eijndhoven and L. Stok, “A Data Flow Exchange Standard", Proc. Europ. Conf. Design Automation, pp.<br />

193-199, 1992.<br />

[5] S.Huang et al.,"A tree-based scheduling algorithm <strong>for</strong> control dominated circuits", Proc. ACM/IEEE Design<br />

Automation Conference, pp. 578-58, 1993.<br />

[6] S.-M. Moon and K. Ebcioglu, “<strong>An</strong> <strong>Efficient</strong> Resource-Constrained Global Scheduling Technique <strong>for</strong> Superscalar<br />

and VLIW Processors", Proc. Int. Simp. on Microarchitecture, pp. 55-71, 1992.<br />

[7] L. C. V. dos Santos, “Exploiting instruction-level parallelism: a constructive approach", PhD Thesis, Eindhoven<br />

University of Technology, The Netherlands, November, 1998.<br />

[8] M. Smith et al., “<strong>Efficient</strong> Superscalar Per<strong>for</strong>mance Through Boosting", Proc. Int. Conf. Archit. Support <strong>for</strong><br />

Prog. Lang. and Operating Syst., pp. 248-259, 1992.


DAC'99, pages 300-305<br />

Coverage Estimation <strong>for</strong> Symbolic Model Checking<br />

Yatin Hoskote*, Timothy Kam*, Pei-Hsin Ho**, Xudong Zhao*<br />

*Strategic CAD Labs, Design Technology, Intel Corp.<br />

**Advanced Technology Group, Synopsys, Inc.<br />

Abstract<br />

Although model checking is an exhaustive <strong>for</strong>mal verification method, a bug can still escape<br />

detection if the erroneous behavior does not violate any verified property. We propose a<br />

coverage metric to estimate the "completeness" of a set of properties verified by model checking.<br />

A symbolic algorithm is presented to compute this metric <strong>for</strong> a subset of the CTL property<br />

specification language. It has the same order of computational complexity as a model checking<br />

algorithm. Our coverage estimator has been applied in the course of some real-world model<br />

checking projects. We uncovered several coverage holes including one that eventually led to the<br />

discovery of a bug that escaped the initial model checking ef<strong>for</strong>t.<br />

References<br />

[1]K. L. McMillan, “Symbolic Model Checking: <strong>An</strong> <strong>Approach</strong> to the State Explosion Problem,” Kluwer Academic,<br />

1993.<br />

[2]E. Clarke, E. Emerson and A. Sistla, “Automatic Verification of Finite-State Concurrent Systems Using<br />

Temporal Logic Specifications,” ACM Transactions on Programming Languages and Systems, vol 8, no. 2, pp.244-<br />

263, April, 1986.<br />

[3]K.-T. Cheng, A. Krishnakumar, “Automatic Functional Test Generation Using the Extended Finite State Machine<br />

Model,” Proceedings of DAC, pp.86-91, June 1993<br />

[4]R. Ho, C. Yang, M. Horowitz, D. Dill, “Architecture Validation <strong>for</strong> Processors,” Proceedings of the 22nd <strong>An</strong>nual<br />

Symposium on Computer Architecture, June 1995<br />

[5]Y. Hoskote, D. Moundanos, J. Abraham, “Automatic Extraction of the Control Flow Machine and Application to<br />

Evaluating Coverage of Verification Vectors,” Proceedings of ICCD, pp. 532-537, October 1995<br />

[6]M. Kantrowitz, L. Noack, “I’m Done Simulating: Now What? Verification Coverage <strong>An</strong>alysis and Correctness<br />

Checking of the DEC chip 21164 ALPHA Microprocessor,” Proceedings DAC, pp. 325-330, June 1996<br />

[7]R. Bryant, “Graph-based Algorithms <strong>for</strong> Boolean Function Manipulation,” IEEE Transactions on Computers,<br />

vol. C-35, no. 8, 1986<br />

[8]H. Cho, G. Hachtel, F. Somenzi, “Redundancy Identification and Test Generation <strong>for</strong> Sequential Circuits Using<br />

Implicit State Enumeration,” IEEE Transactions on CAD, vol 12, no. 7, pp. 935-945, 1993<br />

[9]P.-H. Ho, A.Isles, T.Kam, “Formal Verification of Pipeline Control using Controlled Token Nets and Abstract<br />

Interpretation," Proceedings of ICCAD, pp 529-536, November 1998.


DAC'99, pages 306-311<br />

Improving Symbolic Traversals by means of Activity Profiles<br />

Gianpiero Cabodi, Paolo Camurati, Stefano Quer<br />

Politecnico di Torino, Dip. di Automatica e In<strong>for</strong>matica, Turin, ITALY<br />

Abstract<br />

Symbolic techniques have undergone major improvements in the last few years. Nevertheless<br />

they are still limited by the size of the involved BDDs, and extending their applicability to larger<br />

and real circuits is a key issue.<br />

Within this framework, we introduce "activity profiles" as a novel technique to characterize<br />

transition relations. In our methodology a learning phase is used to collect activity measures,<br />

related to time and space cost, <strong>for</strong> each BDD node of the transition relation. We use inexpensive<br />

reachability analysis as learning technique, and we operate within inner steps of image<br />

computations involving the transition relation and state sets.<br />

The above in<strong>for</strong>mations can be used <strong>for</strong> several purposes. In particular, we present an application<br />

of activity profiles in the field of reachability analysis itself. We propose transition relation<br />

subsetting and partial traversals of the state transition graph. We show that a sequence of partial<br />

traversals is able to complete a reachability analysis problem with smaller memory requirement<br />

and improved time per<strong>for</strong>mance.<br />

References<br />

[1] K. Ravi and F. Somenzi. High–Density Reachability <strong>An</strong>alysis. In Proc. IEEE/ACM ICCAD’95, pages 154–158,<br />

San Jose, Cali<strong>for</strong>nia, November 1995.<br />

[2] K. Ravi, K. L. McMillan, T. R. Shiple, and F. Somenzi. Approximation and Decomposition of Binary Decision<br />

Diagram. In Proc. EDA/SIGDA/ACM/IEEE DAC’98, pages 445–450, San Francisco, Cali<strong>for</strong>nia, June 1998.<br />

[3] G. Cabodi, P. Camurati, and S. Quer. <strong>Efficient</strong> State Space Pruning in Symbolic Backward Traversal. In Proc.<br />

IEEE ICCD’94, pages 230–235, Cambridge, Massachussetts, October 1994.<br />

[4] G. Cabodi, P. Camurati, L. Lavagno, and S. Quer. Disjunctive Partitioning and Partial Iterative Squaring: an<br />

effective approach <strong>for</strong> symbolic traversal of large circuits. In Proc. EDA/SIGDA/ACM/IEEE DAC’97, pages 728–<br />

733, <strong>An</strong>aheim, Cali<strong>for</strong>nia, June 1997.<br />

[5] A. Narayan, A. J. Isles, J. Jain, R. K. Brayton, and A. Sangiovanni-Vincentelli. Reachability <strong>An</strong>alysis Using<br />

Partitioned–ROBDDs. In Proc. IEEE/ACM ICCAD’97, pages 388–393, San Jose, Cali<strong>for</strong>nia, November 1997.<br />

[6] M. Ganai and A. Aziz. <strong>Efficient</strong> Coverage Directed State Space Search. In IWLS’98: IEEE International<br />

Workshop on Logic Synthesis, Lake Tahoe, Cali<strong>for</strong>nia, June 1998.<br />

[7] F. Somenzi. CUDD: CU Decision Diagram Package – Release 2.3.0. Technical report, Dept. of Electrical and<br />

Computer Engineering, University of Colorado, Boulder, Colorado, October 1998.<br />

[8] http://www.polito.it/~fcabodi,querg.<br />

[9] R. K. Brayton et al. VIS. In Proc. FMCAD’96, Lecture Notes in Computer Science 1166, Springer Verlag, pages<br />

248–256, Palo Alto, Cali<strong>for</strong>nia, November 1996.


DAC'99, pages 312-316<br />

Improved Approximate Reachability using Auxiliary State Variables<br />

Shankar G. Govindaraju, David L. Dill and Jules P. Bergmann<br />

Computer Systems Laboratory, Stan<strong>for</strong>d University, Stan<strong>for</strong>d, CA 94305<br />

Abstract<br />

Approximate reachability techniques trade off accuracy <strong>for</strong> the capacity to deal with bigger<br />

designs. Cho et al [4] proposed partitioning the set of state bits into mutually disjoint subsets and<br />

doing symbolic <strong>for</strong>ward reachability on the individual subsets to obtain an over approximation of<br />

the reachable state set. Recently [7] this was improved upon by dividing the set of state bits into<br />

various subsets that could possibly overlap, and doing symbolic reachability over the<br />

overlapping subsets. In this paper, we further improve on this scheme by augmenting the set of<br />

state variables with auxiliary state variables. These auxiliary state variables are added to capture<br />

some important internal conditions in the combinational logic. Approximate symbolic <strong>for</strong>ward<br />

reachability on overlapping subsets of this augmented set of state variables yields much tighter<br />

approximations than earlier methods.<br />

References<br />

[1] Abadi, M. and Lamport, L., “The Existence of Refinement Mappings," LICS, pp. 165-177, July 1988.<br />

[2] Bryant, R. E., “Graph-<strong>Based</strong> Algorithms <strong>for</strong> Boolean Function Manipulation," IEEE Transactions on Computers,<br />

Vol. C-35, No. 8, pp. 677-691, August 1986.<br />

[3] Burch, J. R., Clarke, E. M., McMillan, K. L., Dill, D, L, and Hwang, L. J., “Symbolic Model Checking: 1020<br />

States and Beyond," LICS, pp. 428-439, 1990.<br />

[4] Cho, H., Hachtel, G., Macii, E., Pleisser, B., and Somenzi, F., “Algorithms <strong>for</strong> Approximate FSM Traversal<br />

<strong>Based</strong> on State Space Decomposition," IEEE TCAD, Vol. 15, No. 12, pp. 1465-1478, December 1996.<br />

[5] Cho, H., Hachtel, G., Macii, E., Poncino, M., and Somenzi, F., “Automatic State Space Decomposition <strong>for</strong><br />

Approximate FSM Traversal <strong>Based</strong> on Circuit <strong>An</strong>alysis," IEEE<br />

TCAD, Vol. 15, No. 12, pp. 1451-1464, December 1996.<br />

[6] Coudert, O., and Madre, J. C., “A Unified Framework <strong>for</strong> the Formal Verification of Sequential Circuits,"<br />

ICCAD, pp. 126-129, 1990.<br />

[7] Govindaraju, G. S., Dill, D. L., Hu, A. J, and Horowitz, M. A., “Approximate Reachability with BDDs Using<br />

Overlapping Projections," DAC, pp. 451-456, 1998.<br />

[8] Govindaraju, G. S. and Dill, D. L., “Verification by Approximate Forward and Backward Reachability," ICCAD,<br />

pp. 366-370, 1998.<br />

[9] Kuskin, J. et al, “The Stan<strong>for</strong>d FLASH Multiprocessor," ISCA, pp. 301-313, April 1994.


DAC'99, pages 317-320<br />

Symbolic Model Checking using SAT procedures instead of BDDs<br />

A. Biere 1; 2 , A. Cimatti 3 , E.M. Clarke 1; 2 , M. Fujita 4 1; 2<br />

, Y. Zhu<br />

1<br />

Computer Science Department, Carnegie Mellon University, Pittsburgh, PA 15213, U.S.A.<br />

2<br />

Verysys Design Automation, Inc., Fremont, CA 94538, U.S.A.<br />

3<br />

Istituto per la Ricerca Scientifica e Tecnolgica (IRST), 38055 Povo (TN), Italy<br />

4<br />

Fujitsu Laboratories of America, Inc. Sunnyvale, CA 94086-3922, U.S.A.<br />

Abstract<br />

In this paper, we study the application of propositional decision procedures in hardware<br />

verification. In particular, we apply bounded model checking, as introduced in [1], to<br />

equivalence and invariant checking. We present several optimizations that reduce the size of<br />

generated propositional <strong>for</strong>mulas. In many instances, our SAT-based approach can significantly<br />

outper<strong>for</strong>m BDD-based approaches. We observe that SAT-based techniques are particularly<br />

efficient in detecting errors in both combinational and sequential designs.<br />

References<br />

[1] BIERE, A., CIMATTI, A., CLARKE, E. M., AND ZHU, Y. Symbolic model checking without BDDs. In<br />

TACAS’99 (1999). to appear.<br />

[2] BOR ¨ALV, A. The industrial success of verification tools based on St°almarck’sMethod. In<br />

InternationalConference on Computer-Aided Verification (CAV’97) (1997), O. Grumberg, Ed., no. 1254 in LNCS,<br />

Springer-Verlag.<br />

[3] BRYANT, R. E. Graph-based algorithms <strong>for</strong> boolean function manipulation. IEEE Transactions on Computers<br />

35, 8 (1986), 677–691.<br />

[4] BURCH, J. R., CLARKE, E. M., AND MCMILLAN, K. L. Symbolic model checking: 1020 states and beyond.<br />

In<strong>for</strong>mation and Computation 98 (1992), 142–170.<br />

[5] CLARKE, E., AND EMERSON, E. A. Design and synthesis of synchronization skeletons using branching time<br />

temporal logic. In Proceedings of the IBM Workshop on Logics of Programs (1981), vol. 131 of LNCS, Springer-<br />

Verlag, pp. 52–71.<br />

[6] DAVIS, M., AND PUTNAM, H. A computing procedure <strong>for</strong> quantification theory. Journal of the Association<br />

<strong>for</strong> Computing Machinery 7 (1960), 201–215.<br />

[7] DEHARBE, D. Using induction and BDDs to model check invariants. In CHARME’97 (1997), D. Probst, Ed.,<br />

Chapman& Hall.<br />

[8] KUNZ, W. HANNIBAL: <strong>An</strong> efficient tool <strong>for</strong> logic verification based on recursive learning. In ICCAD’93<br />

(1993), pp. 538–543.<br />

[9] MARTIN, A. J. The design of a self-timed circuit <strong>for</strong> distributed mutual exclusion. In Proceedings of the 1985<br />

Chapel Hill Conference on Very Large Scale Integration (1985), H. Fuchs, Ed.<br />

[10] MCMILLAN, K. L. Symbolic Model Checking: <strong>An</strong> <strong>Approach</strong> to the State Explosion Problem. Kluwer<br />

Academic Publishers, 1993.<br />

[11] MCMILLAN, K. L. A conjunctively decomposed boolean representation <strong>for</strong> symbolic model checking. In<br />

CAV’96 (1996), vol. 1102 of LNCS, Springer-Verlag, pp. 13–25.<br />

[12] MUKHERJEE, R., JAIN, J., TAKAYAMA, K., FUJITA, M., ABRAHAM, J. A., AND FUSSELL, D. S.<br />

FLOVER: Filtering oriented combinational verification approach. In Proc. of International Workshop on Logic<br />

Synthesis (1995).<br />

[13] PLAISTED, D., AND GREENBAUM, S. A structure-preserving clause <strong>for</strong>m translation. Journal of Symbolic<br />

Computation 2 (1986), 293–304.<br />

[14] SENTOVICH, E. M., SINGH, K. J., LAVAGNO, L., M., C., MURGAI, R., SALDANHA, A., SAVOJ, H.,<br />

STEPHAN, P. R., BRAYTON, R. K., AND SANGIOVANNI-VINCENTELLI, A. SIS: A System <strong>for</strong> Sequential<br />

Circuit Synthesis. MemorandumNo. UCB/ERL M92/41, Electronics Research Laboratory, College of Engineering,<br />

University of Cali<strong>for</strong>nia, Berkeley, 1992.


[15] STÅLMARCK, G. A system <strong>for</strong> determining propositional logic theorems by applying values and rules to<br />

triplets that are generated from a <strong>for</strong>mula,1989. Swedish patent no. 467 076(1992), U.S. patent no. 5 276 897(1994),<br />

European patent no. 0404 454(1995).<br />

[16] ZHANG, H. SATO: <strong>An</strong> efficient propositional prover. In International Conference on Automated Deduction<br />

(CADE’97) (1997), no. 1249 in LNAI, Springer-Verlag, pp. 272–275.


DAC'99, pages 321-326 Power <strong>Efficient</strong> Mediaprocessors: Design Space Exploration<br />

Johnson Kin*, Chunho Lee**, William H. Mangione-Smith* and Miodrag Potkonjak**<br />

*Department of Electrical Engineering, UCLA<br />

**Department of Computer Science, UCLA<br />

Abstract<br />

We present a framework <strong>for</strong> rapidly exploring the design space of low power application-specific<br />

programmable processors (ASPP), in particular media processors. We focus on a category of<br />

processors that are programmable yet optimized to reduce power consumption <strong>for</strong> a specific set<br />

of applications.<br />

The key components of the framework presented in this paper are a retargetable instruction level<br />

parallelism (ILP) compiler, processor simulators, a set of complete media applications written in<br />

a high level language and an architectural component selection algorithm. The fundamental idea<br />

behind the framework is that with the aid of a retargetable ILP compiler and simulators it is<br />

possible to arrange architectural parameters (e.g., the issue width, the size of cache memory<br />

units, the number of execution units, etc.) to meet low power design goals under area constraints.<br />

REFERENCES<br />

[1] S. Banerjia, W. A. Havanki, and T. M. Conte. Treegion scheduling <strong>for</strong> highly parallel processors. In Euro-Par,<br />

pages 1074–1078, Passau, Germany, 1997.<br />

[2] A. P. Chandrakasan, M. Potkonjak, R. Mehra, J. Rabaey, and R. Broderson. Optimizing power using<br />

trans<strong>for</strong>mations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 14(1):12, 1995.<br />

[3] A. P. Chandrakasan, S. Sheng, and R.W. Broderson. Low-power CMOS digital design. IEEE Journal of Solid-<br />

State Circuits, 27(4):473–484, 1992.<br />

[4] A. P. Chandrakasan, M. Srivastava, and R. Broderson. Energy efficient programmable computation. In VLSI<br />

Design Conference, pages 261–264, 1994.<br />

[5] P. P. Chang, S. A. Mahlke, W. Y. Chen, N. J. Warter, and W. m. W. Hwu. IMPACT: <strong>An</strong> architectural<br />

framework <strong>for</strong> multiple-instruction-issue processors. In International Symposium on Computer Architecture, 1991.<br />

[6] A. Chatterjee and R. Roy. Synthesis of low power DSP circuits using activity metrics. In VLSI Design<br />

Conference, pages 265–270, 1994.<br />

[7] R. P. Colwell, R. P. Nix, J. J. O’Donnell, D. B. Papworth, and P. K. Rodman. A VLIW architecture <strong>for</strong> a trace<br />

scheduling compiler. In Proceedings of ASPLOSII, pages 180–192, 1982.<br />

[8] J. A. Fisher. Trace scheduling: A technique <strong>for</strong> global microcode compaction. IEEE Transactions on Computing,<br />

C-30:478–490, 1981.<br />

[9] M. J. Flynn. Computer Architecture: Pipelined and Parallel Processor Design. Jones and Bartlett, 1996.<br />

[10] M. R. Garey and D. S. Johnson. Computers and Intractability: A Guide to the Theory of NP-Completeness. W.<br />

H. Freeman and Company, New York, NY, 1979.<br />

[11] L. Goodby, A. Orailoglu, and P. Chau. Microarchitectural synthesis of per<strong>for</strong>mance-constrained low power<br />

VLSI designs. In International Conference on Computer Design, pages 323–326, 1994.<br />

[12] C. Hansen. MicroUnity’s MediaProcessor architecture. IEEE Micro, 17:34–41, 1997.<br />

[13] J. L. Hennessy and D. A. Patterson. Computer Architecture: A Quantitative <strong>Approach</strong>. Morgan Kaufman, San<br />

Francisco, CA, 1993.<br />

[14] I. Hong and M. M. Potkonjak. Power optimization using divide-and-conquer techniques <strong>for</strong> minimization of the<br />

number of operations. In ICCAD-97 IEEE/ACM International Conference on Computer-Aided Design, 1997.<br />

[15] P. Y. Hsu. Highly concurrent scalar processing. Technical Report CSG-49, Coordinated Science Laboratory,<br />

University of Illinois at Urbana-Champaign, 1986.<br />

[16] R. Jain. The Art of Computer Systems Per<strong>for</strong>mance <strong>An</strong>alysis. Wiley, 1991.<br />

[17] P. Kalapathy. Hardware-software interactions on MPACT. IEEE Micro, 17:20–26, 1997.<br />

[18] A. Kalavade and E.A. Lee. Complexity management in system-level design. Journal of VLSI Signal<br />

Processing, 14(2):157–169, 1996.


[19] M. B. Kamble and K. Ghosse. <strong>An</strong>alytical energy dissipation models <strong>for</strong> low power caches. In Proceedings 1997<br />

International Symposium on Low Power Electronics and Design, pages 143–148, 1997.<br />

[20] J. Kin, M. Gupta, and W.H. Mangione-Smith. The filter cache: <strong>An</strong> energy efficient memory structure. In<br />

Proceedings of 30th <strong>An</strong>nual International Symposium on Microarchitecture, 1997.<br />

[21] C. Lee, M. Potkonjak, and W. H. Mangione-Smith. Mediabench: A tool <strong>for</strong> evaluating and synthesizing<br />

multimedia and communications systems. In International Symposium on Microarchitectures, 1997.<br />

[22] R.B. Lee and M.D. Smith. Media processing: A new design target. IEEE Micro, 17:6–9, 1997.<br />

[23] W. m. W. Hwu, S. A. Mahlke, W. Y. Chen, P. P. Chang, N. J. Warter, R. A. Bringmann, R. G. Ouellette, R. E.<br />

Hank, T. Kiyohara, G. E. Haab, J. G. Holm, and D. M. Lavery. The superblock: <strong>An</strong> effective technique <strong>for</strong> VLIW<br />

and superscalar compilation. Journal of Supercomputing, 1993.<br />

[24] S. A. Mahlke, D. C. Lin, W. Y. Chen, R. E. Hank, and R. A. Bringmann. Effective compiler support <strong>for</strong><br />

predicated execution using the Hyperblock. In International Symposium on Microarchitecture, 1992.<br />

[25] J. Montanaro et al. A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor. IEEE Journal of Solid-State<br />

Circuits, 31(11):1703–1714, November 1996.<br />

[26] A. Peleg and U. Weiser. MMX technology extension to the Intel architecture. IEEE Micro, 16(4):42–50,<br />

August 1996.<br />

[27] A. Raghunathan and N. Jha. Behavioral synthesis <strong>for</strong> low power. In International Conference on Computer<br />

Design, pages 318–322, 1994.<br />

[28] D. Singh, J. Rabaey, M.Pedram, F. Catthoor, S. Rajgopal, N. Sehgal, and T. Mozdzen. Power conscious CAD<br />

tools and methodologies: A perspective. Proceedings of IEEE, 83(4):570–594, 1995.<br />

[29] M. Srivastava, A. P. Chadrakasan, and R. Broderson. Predictive system shutdown and other architectural<br />

techniques <strong>for</strong> energy efficient programmable computation. IEEE Transactions on VLSI Systems, 4(1):42–55, 1996.<br />

[30] V. Tiwari, S. Malik, and A. Wolfe. Power analysis of embedded software: A first step towards software power<br />

minimization. IEEE Transactions on VLSI Systems, 2(4):437–445, 1994.<br />

[31] J. Turley and H. Hakkarainen. TI’s new ‘C6x DSP screams at 1,600 MIPS. The Microprocessor Report, 11:14–<br />

17, 1997.


DAC'99, pages 327-332<br />

Global Multimedia System Design Exploration using Accurate Memory Organization<br />

Feedback<br />

Arnout Vandecappelle, Miguel Miranda, Erik Brockmeyer, Francky Catthoor, Diederik Verkest<br />

IMEC vzw, Kapeldreef 75, 3001 Heverlee, Belgium<br />

Abstract<br />

Successful exploration of system-level design decisions is impossible without fast and accurate<br />

estimation of the impact on the system cost. In most multimedia applications, the dominant cost<br />

factor is related to the organization of the memory architecture. This paper presents a systematic<br />

approach which allows effective system-level exploration of memory organization design<br />

alternatives, based on accurate feedback by using our earlier developed tools. The effectiveness<br />

of this approach is illustrated on an industrial application. Applying our approach, a substantial<br />

part of the design search space has been explored in a very short time, resulting in a cost-efficient<br />

solution which meets all design constraints.<br />

References<br />

[1] F. Balasa, F. Catthoor, and H. De Man. Dataflow-driven memory allocation <strong>for</strong> multi-dimensional signal<br />

processing systems. In Proc. IEEE Int. Conf. Comp. Aided Design, pages 32–34, San Jose, CA, Nov. 1994.<br />

[2] F. Balasa, F. Catthoor, and H. De Man. Background memory area estimation <strong>for</strong> multi-dimensional signal<br />

processing systems. IEEE Trans. on VLSI Systems, 3(2):157–172, June 1995.<br />

[3] F. Catthoor, F. Franssen, S.Wuytack, L. Nachtergaele, and H. De Man. Global communication and memory<br />

optimizing trans<strong>for</strong>mations <strong>for</strong> low power signal processing systems. In J. Rabaey, P. Chau, and J. Eldon, editors,<br />

VLSI Signal Processing VII, pages 178–187. IEEE Press, New York, 1994.<br />

[4] F. Catthoor, S. Wuytack, E. De Greef, F. Balasa, L. Nachtergaele, and A. Vandecappelle. Custom Memory<br />

Management Methodology, Exploration of memory organization <strong>for</strong> embedded multimedia system design. Kluwer<br />

Academic Publishers, Boston, MA, 1998.<br />

[5] E. De Greef, F. Catthoor, and H. De Man. Program trans<strong>for</strong>mation strategies <strong>for</strong> memory size and power<br />

reduction of pseudoregular multimedia subsystems mapped on multi-processor architectures. IEEE Trans. on<br />

Circuits and Systems <strong>for</strong> Video Technology, 8(6):719–723, Oct. 1998.<br />

[6] P. Ellervee, M. Miranda, F. Catthoor, and A. Hemani. Exploiting data transfer locality in memory mapping. In<br />

25th EUROMICRO Conference (submitted), Milan, Italy, Sept. 1999.<br />

[7] T. H. Meng, B. Gordon, E. Tsern, and A. Hung. Portable video-on-demand in wireless communication.<br />

Proceedings of the IEEE, special issue on “Low power electronics”, 83(4):659–680, Apr. 1995.<br />

[8] L. Nachtergaele, D. Moolenaar, B. Vanhoof, F. Catthoor, and H. De Man. System-level power optimization of<br />

video codecs on embedded cores: a systematic approach. Journal of VLSI Signal Processing, special issue on<br />

“Future directions in the design and implementation of DSP systems” (eds. W. Burleson, K. Konstantinos),<br />

18(2):89–110, Feb. 1998.<br />

[9] L. Ramachandran, D. Gajski, and V. Chaiyakul. <strong>An</strong> algorithm <strong>for</strong> array variable clustering. In Proc. 5th<br />

ACM/IEEE Europ. Design and Test Conf., pages 262–266, Paris, France, Feb. 1994.<br />

[10] J. Robinson. <strong>Efficient</strong> general-purpose image compression with binary tree predictive coding. IEEE Trans. on<br />

Image Processing, 6(4):601–608, Apr. 1997.<br />

[11] H. Schmit and D. Thomas. Synthesis of application-specific memory designs. IEEE Trans. on VLSI Systems,<br />

5(1):101–111, Mar. 1997.<br />

[12] P. Slock, S. Wuytack, F. Catthoor, and G. de Jong. Fast and extensive system-level memory exploration <strong>for</strong><br />

ATM applications. In Proc. 10th ACM/IEEE Int. Symp. on System Synthesis, pages 74–81, 1997.<br />

[13] J. Van Meerbergen, P. Lippens, W. Verhaegh, and A. van der Werf. PHIDEO: high-level synthesis <strong>for</strong> high<br />

throughput applications. Journal of VLSI signal processing, special issue on “Design environments <strong>for</strong> DSP”, year =<br />

1995, volume = 9, number = 1/2, month = jan, editor = Verbauwhede, I. and Rabaey, Jan, pages = 89–104.<br />

[14] I. Verbauwhede, F. Catthoor, J. Vandewalle, and H. De Man. Background memory management <strong>for</strong> the<br />

synthesis of algebraic algorithms on multi-processor dsp chips. In Proc. VLSI’89, Int. Conf. on VLSI, pages 209–<br />

218, Munich, Germany, Aug. 1989.


[15] I. Verbauwhede, C. Scheers, and J. Rabaey. Memory estimation <strong>for</strong> high-level synthesis. In Proc. 31st<br />

ACM/IEEE Design Automation Conf., pages 143–148, San Diego, CA, June 1994.<br />

[16] W. Verhaegh, P. Lippens, E. Aarts, J. van Meerbergen, and A. van der Werf. Multidimensional periodic<br />

scheduling: A solution approach. In Proc. European Design Automation Conf., pages 468–474, Paris, France, Mar.<br />

1997.<br />

[17] S. Wuytack, F. Catthoor, G. de Jong, and H. De Man. Minimizing the required memory bandwidth in VLSI<br />

system realizations. Accepted <strong>for</strong> IEEE Trans. on VLSI Systems, 7, 1999.<br />

[18] S. Wuytack, J.-P. Diguet, F. Catthoor, and H. De Man. Formalized methodology <strong>for</strong> data reuse exploration <strong>for</strong><br />

low-power hierarchical memory mappings. IEEE Trans. on VLSI Systems, special issue on “Low-power systems and<br />

designs”, 6(4):529–537, Dec. 1998.


DAC'99, pages 333-336<br />

Implementation of a scalable MPEG-4 wavelet-based visual texture compression system<br />

L. Nachtergaele, B. Vanhoof, M. Peón, G. Lafruit, J. Bormans, I. Bolsens<br />

IMEC, Kapeldreef 75, B3000 Leuven, Belgium,<br />

ABSTRACT<br />

The realization of new MPEG-4 functionality, applicable to 3D graphics texture compression<br />

and image database access over the Internet, is demonstrated in a PC-based compression system.<br />

Applying our system-level design methodologies effectively removes all implementation<br />

bottlenecks. A first-of-a-kind ASIC, called Ozone, accelerates the Embedded Zero Tree based<br />

encoding and is capable of compressing 30 color CIF images per second.<br />

REFERENCES<br />

[1] ISO/IEC JTC1/SC29/WG11, Coding of audio-visual objects, ISO/IEC 14496, ‘98.<br />

[2] “Episode I trailer movie”, http://www.starwars.com<br />

[3] Catthoor F., et. al., “Proposal <strong>for</strong> unified system design meta flow in task-level and instruction-level design<br />

technology research <strong>for</strong> multi-media applications”, ISSS'98, Hsinchu, Taiwan, December 1998.<br />

[4] Catthoor F., et. al., “Custom Memory Management Methodology - Exploration of Memory Organisation <strong>for</strong><br />

Embedded Multimedia System Design”, Kluwer Academic Publishers, Boston, ‘98.<br />

[5] Chakrabarti C., et. al., “Architectures <strong>for</strong> Wavelet Trans<strong>for</strong>ms: A Survey”, Journal of VLSI Signal Processing<br />

Systems <strong>for</strong> Signal Image and Video Technology, Vol. 14, No. 2, November ’96, 171-192.<br />

[6] Clarke P., “MPEG-4 project in Europe achieves wavelet silicon”, EE Times, 28 November ‘98,<br />

http://www.eetimes.com/story/OEG19981125S0008.<br />

[7] Knowles G., “A single chip wavelet zero-tree processor <strong>for</strong> video compression and decompression”, DATE ’98,<br />

February ’98, 61-65.<br />

[8] Lafruit G., et. al., “Optimal memory organisation <strong>for</strong> scalable texture codecs in MPEG-4”, IEEE Tr. on Circuits<br />

and Systems <strong>for</strong> Video Technologies, in press.<br />

[9] Lafruit G., et. al., "The Local Wavelet Trans<strong>for</strong>m: a memory-efficient, high-speed architecture <strong>for</strong> a Region-<br />

Oriented ZeroTree coder," Journal of Integrated Computer-Aided Engineering, ‘99, in press.<br />

[10] R. Lang, “Parallel VLSI architectures <strong>for</strong> one-, two-, and tree-dimensional discrete wavelet trans<strong>for</strong>ms”, PhD<br />

thesis, Department of Electrical and Computer Engineering, The University of Newcastle New South Wales, 2308<br />

Australia, March 1996.<br />

[10] Peón M., et. al., “Design of an arithmetic coder <strong>for</strong> a hardware wavelet compression engine”, IEEE Signal<br />

Processing Symposium, March 1998, Leuven, Belgium, 151-154.<br />

[11] Schaumont P., et. al., “A Programming Environment <strong>for</strong> the Design of Complex High Speed ASICs”, DAC,<br />

June ’98, 315-320.<br />

[12] Shapiro J.M., “Embedded image coding using the zerotrees of wavelet coefficients”, IEEE Tr. on Image<br />

Processing, Vol. 41, No. 12, , Dec. ’93, 3445-3462.<br />

[13] Sweldens W., “The Lifting Scheme: A new Philosophy in Biorthogonal Wavelets constructions,” Proc. of the<br />

SPIE conference, Vol. 2569, 1995, 68-79.<br />

[14] Vanhoof B., et. al., “A Scalable Architecture <strong>for</strong> MPEG-4 Embedded Zero Tree Coding”, CICC’99, in press.<br />

[15] Vishwanath M., et. al., “VLSI Architectures <strong>for</strong> the Discrete Wavelet trans<strong>for</strong>m”, IEEE Tr. on Circuits and<br />

Systems-II, Vol. 42, No. 5, May ’95, 305-316.


DAC'99, pages 337-340<br />

A 10 Mbit/s Upstream Cable Modem with Automatic Equalization<br />

Patrick Schaumont, Radim Cmar, Serge Vernalde, Marc Engels<br />

IMEC vzw, B-3001 Leuven Belgium<br />

Abstract<br />

A fully digital QAM16 burst receiver ASIC is presented. The BO4 receiver demodulates at 10<br />

Mbit/s and uses an advanced signal processing architecture that per<strong>for</strong>ms per burst automatic<br />

equalization. It is a critical building block in a broadband access system <strong>for</strong> HFC networks. The<br />

chip was designed using a C++ based flow and is implemented as a 80 Kgate 0.7u CMOS<br />

standard cell design.<br />

References<br />

[1] W. Geurts, F. Catthoor, S. Vernalde, and H. Deman. Accelerator Data-Path Synthesis <strong>for</strong> High-Throughput<br />

Signal Processing Applications. Kluwer Publishing, 1997.<br />

[2] Siemens Atea R&D Technology Homepage. http://www.siemens.be/atea/products services/rd technology/rd-<br />

frames.htm.<br />

[3] H. S. Jun and S. Y. Hwang. Design of a pipelined datapath synthesis system <strong>for</strong> digital signal processing. IEEE<br />

Trans. VLSI Syst., 2(3):292-303, September 1994.<br />

[4] W. Pugh and G. Boyer. Broadband access: Comparing alternatives. IEEE Communications Magazine, pages 34 -<br />

46, August 1995.<br />

[5] P. Schaumont, S. Vernalde, L. Rijnders, M. Engels, and I. Bolsens. A programming environment <strong>for</strong> the design<br />

of complex high speed asics. In Proceedings 35th Design Automation Conference, pages 315 - 320, San Francisco,<br />

CA, 1998.


DAC'99, pages 341-342 Panel: Cell Libraries - Build vs. Buy; Static vs. Dynamic<br />

Chair: Kurt Keutzer – University of Cali<strong>for</strong>nia at Berkeley, Berkeley, CA<br />

Panel Members: Kurt Wolf, David Pietromonaco, Jay Maxey, Jeff Lewis, Martin Lefebvre,<br />

Jeff Burns<br />

Cell libraries determine the final density, per<strong>for</strong>mance, and power of most IC designs much as<br />

the construction materials determine the quality of a building. Nevertheless, the importance of<br />

libraries has often been a tertiary consideration in design projects – falling behind both design<br />

skill and tool quality. Choosing the right cell library <strong>for</strong> your project can have a significant<br />

impact on the characteristics of the circuit you design, and thus, the success of your product.<br />

Design teams need to consider a host of technical and business factors when selecting a library.<br />

Technical considerations include density, speed, power, design <strong>for</strong> reliability, and support <strong>for</strong> the<br />

designer's tools and flow. Business considerations include price, risk, time to market, and control<br />

of one's own destiny.<br />

This panel examines technical, as well as current business issues, associated with cell libraries.<br />

On the technical front, the advantages and disadvantages of static libraries versus ``on the fly" or<br />

dynamic libraries will be discussed and quantified. On the business front, while designers have<br />

traditionally used the cell libraries provided by their silicon source (internal division or<br />

semiconductor vendor), recent changes in technology and business practices make several celllibrary<br />

sources available to design groups: silicon vendors, third party library vendors, and<br />

internally created. This panel will explore the business issues associated with the library choice<br />

and debate when designers should use each available source of cell libraries.


DAC'99, pages 343-348<br />

Multilevel k-way Hypergraph Partitioning<br />

George Karypis and Vipin Kumar<br />

Department of Computer Science & Engineering, University of Minnesota,<br />

Minneapolis, MN 55455<br />

Abstract<br />

In this paper, we present a new multilevel k-way hypergraph partitioning algorithm that<br />

substantially outper<strong>for</strong>ms the existing state-of-the-art K-PM/LR algorithm <strong>for</strong> multi-way<br />

partitioning. Both <strong>for</strong> optimizing local as well as global objectives. Experiments on the ISPD98<br />

benchmark suite show that the partitionings produced by our scheme are on the average 15% to<br />

23% better than those produced by the K-PM/LR algorithm, both in terms of the hyperedge cut<br />

as well as the (K – 1) metric. Furthermore, our algorithm is significantly faster, requiring 4 to 5<br />

times less time than that required by K-PM/LR.<br />

References<br />

[1] B. W. Kernighan and S. Lin. <strong>An</strong> efficient heuristic procedure <strong>for</strong> partitioning graphs. The Bell System Technical<br />

Journal, 49(2):291–307, 1970.<br />

[2] C. M. Fiduccia and R. M. Mattheyses. A linear time heuristic <strong>for</strong> improving network partitions. In In Proc. 19th<br />

IEEE Design Automation Conference, pages 175–181, 1982.<br />

[3] L. A. Sanchis. Multiple-way network partitioning. IEEE Transactions on Computers, pages 62–81, 1989.<br />

[4] C.W. Yeh, C. K. Cheng, and T. T. Lin. A general purposemultiple-way partitioning algorithm. In Proc. of the<br />

Design Automation Conference, pages 421–426, 1991.<br />

[5] P. Chan, M. Schlag, and J. Zien. Spectral k-way ratio-cut partitioning and clustering. In Proc. of the Design<br />

Automation Conference, pages 749–754, 1993.<br />

[6] L. A. Sanchis. Multiple-way network partitioning with different cost functions. IEEE Transactions on<br />

Computers, pages 1500–1504, 1993.<br />

[7] Horst D. Simon and Shang-Hua Teng. How good is recursive bisection? Technical Report RNR-93-012, NAS<br />

Systems Division, NASA, Moffet Field, CA, 1993.<br />

[8] C. J. Alpert and A. B. Kahng. Multi-way partitioning via space-filling curves and dynamic programming. In<br />

Proc. of the Design Automation Conference, pages 652–657, 1994.<br />

[9] Charles J. Alpert and <strong>An</strong>drew B. Kahng. Recent directions in netlist partitioning. Integration, the VLSI Journal,<br />

19(1-2):1–81, 1995.<br />

[10] S. Hauck and G. Borriello. <strong>An</strong> evaluation of bipartitioning technique. In Proc. Chapel Hill Conference on<br />

Advanced Research in VLSI, 1995.<br />

[11] J. Cong, W. Labio, and N. Shivakumar. Multi-way VLSI circuit partitioning based on dual net representation.<br />

IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pages 396–409, 1996.<br />

[12] B. Mobasher, N. Jain, E.H. Han, and J. Srivastava. Web mining: Pattern discovery from world wide web<br />

transactions. Technical Report TR-96-050, Department of Computer Science, University of Minnesota,<br />

Minneapolis, 1996.<br />

[13] S. Shekhar and D. R. Liu. Partitioning similarity graphs: A framework <strong>for</strong> declustering problmes. In<strong>for</strong>mation<br />

Systems Journal, 21(4), 1996.<br />

[14] C. J. Alpert, J. H. Huang, and A. B. Kahng. Multilevel circuit partitioning. In Proc. of the 34th ACM/IEEE<br />

Design Automation Conference, 1997.<br />

[15] George Karypis and Vipin Kumar. A coarse-grain parallel multilevel k-way partitioning algorithm. In<br />

Proceedings of the eighth SIAM conference on Parallel Processing <strong>for</strong> Scientific Computing, 1997.<br />

[16] C. J. Alpert. The ISPD98 circuit benchmark suite. In Proc. of the Intl. Symposium of Physical Design, pages<br />

80–85, 1998.<br />

[17] Jason Cong and Sung Kyu Lim. Multiway Partitioning with Pairwise Movement. In Intl. Conference on<br />

Computer Aided Design, 1998.<br />

[18] G. Karypis and V. Kumar. hMETIS 1.5: A hypergraph partitioning package. Technical report, Department of<br />

Computer Science, University of Minnesota, 1998. Available on the WWW at URL http://www.cs.umn.edu/˜metis.


[19] G. Karypis and V. Kumar. Multilevel algorithms <strong>for</strong> multi-constraint graph partitioning. In Proceedings of<br />

Supercomputing, 1998. Also available on WWW at URL http://www.cs.umn.edu/˜karypis.<br />

[20] G. Karypis and V. Kumar. Multilevel k-way hypergraph partitioning. Technical Report TR 98-036, Department<br />

of Computer Science, University of Minnesota, 1998.<br />

[21] Sverre Wichlund and Einar J. Aas. On Multilevel Circuit Partitioning. In Intl. Conference on Computer Aided<br />

Design, 1998.<br />

[22] C. Berge. Graphs and Hypergraphs. American Elsevier, New york, 1976.<br />

[23] Michael R. Garey and David S. Johnson. Computers and Instractability: A Guide to the Theory of NP–<br />

Completeness. W.H Freeman, San Francisco, CA, 1979.<br />

[24] George Karypis, Rajat Aggarwal, Vipin Kumar, and Shashi Shekhar. Multilevel hypergraph partitioning:<br />

Application in vlsi domain. IEEE Transactions on VLSI Systems, 1998 (to appear). A short version appears in the<br />

proceedings of DAC 1997.


DAC'99, pages 349-354<br />

Hypergraph Partitioning <strong>for</strong> VLSI CAD: Methodology <strong>for</strong> Heuristic Development,<br />

Experimentation and Reporting<br />

<strong>An</strong>drew E. Caldwell, <strong>An</strong>drew B. Kahng, <strong>An</strong>drew A. Kennings† and Igor L. Markov<br />

UCLA Computer Science Department, Los <strong>An</strong>geles, CA 90095-1596<br />

†Cypress Semiconductor, Beaverton, OR 97008<br />

Abstract<br />

We illustrate how technical contributions in the VLSI CAD partitioning literature can fail to<br />

provide one or more of: (i) reproducible results and descriptions, (ii) an enabling account of the<br />

key understanding or insight behind a given contribution, and (iii) experimental evidence that is<br />

not only contrasted with the state-of-the-art, but also meaningful in light of the driving<br />

application. Such failings can lead to reporting of spurious and misguided conclusions. For<br />

example, new ideas may appear promising in the context of a weak experimental testbed, but in<br />

reality do not advance the state of the art. The resulting inefficiencies can be detrimental to the<br />

entire research community. We draw on several models (chiefly from the metaheuristics<br />

community) [5] <strong>for</strong> experimental research and reporting in the area of heuristics <strong>for</strong> hard<br />

problems, and suggest that such practices can be adopted within the VLSI CAD community. Our<br />

focus is on hypergraph partitioning.<br />

References<br />

[1] C. J. Alpert, “Partitioning Benchmarks <strong>for</strong> the VLSI CAD Community,<br />

http://vlsicad.cs.ucla.edu/~cheese/benchmarks.html<br />

[2] C. J. Alpert, “The ISPD-98 Circuit Benchmark Suite”, Proc. ACM/IEEE International Symposium on Physical<br />

Design, April 98, pp. 80-85. See errata at http://vlsicad.cs.ucla.edu/~cheese/errata.html<br />

[3] C. J. Alpert, J.-H. Huang and A. B. Kahng,“Multilevel Circuit Partitioning”, ACM/IEEE Design Automation<br />

Conference, pp. 530-533.<br />

[4] C. J. Alpert and A. B. Kahng, “Recent Directions in Netlist Partitioning: A Survey”, Integration, 19(1995) 1-81.<br />

[5] R. S. Barr, B. L. Golden, J. P. Kelly, M. G. C. Resende andW. R. Stewart, “Designing and Reporting on<br />

Computational Experiments with Heuristic Methods”, technical report (extended version of J. Heuristics paper),<br />

June 27, 1995.<br />

[6] F. Brglez, “ACM/SIGDA Design Automation Benchmarks: Catalyst or <strong>An</strong>athema?”, IEEE Design and Test,<br />

10(3) (1993), pp. 87-91.<br />

[7] F. Brglez, “Design of Experiments to Evaluate CAD Algorithms: Which Improvements Are Due to Improved<br />

Heuristic and Which are Merely Due to Chance?”, technical report CBL-04-Brglez, NCSU Collaborative<br />

Benchmarking Laboratory, April 1998.<br />

[8] T. Bui, S. Chaudhuri, T. Leighton and M. Sipser, “Graph Bisection Algorithms with Good Average Behavior”,<br />

Combinatorica 7(2), 1987, pp. 171-191.<br />

[9] A. E. Caldwell, A. B. Kahng and I. L. Markov, “Hypergraph Partitioning With Fixed Vertices”, in Proc.<br />

ACM/IEEE Design Automation Conf., June 1999.<br />

[10] A. E. Caldwell, A. B. Kahng and I. L. Markov, “Design and Implementation of the Fiduccia-Mattheyses<br />

Heuristic <strong>for</strong> VLSI Netlist Partitioning”, Proc. Workshop on Algorithm Engineering and Experimentation<br />

(ALENEX), Baltimore, Jan. 1999.<br />

[11] P. K. Chan andM. D. F. Schlag and J. Y. Zien, “Spectral K-Way Ratio-Cut Partitioning and Clustering”, IEEE<br />

Transactions on Computer-Aided Design, vol. 13 (8), pp. 1088-1096.<br />

[12] J. Cong, H. P. Li, S. K. Lim, T. Shibuya and D. Xu, “Large Scale Circuit Partitioning with Loose/Stable Net<br />

Removal and Signal Flow <strong>Based</strong> Clustering”, Proc. IEEE International Conference on Computer-Aided Design,<br />

1997, pp. 441-446.<br />

[13] W. Deng, personal communication, July 1998.<br />

[14] A. E. Dunlop and B.W. Kernighan, “A Procedure <strong>for</strong> Placement of Standard Cell VLSI Circuits”, IEEE<br />

Transactions on Computer-Aided Design 4(1) (1985), pp. 92-98


[15] S. Dutt and W. Deng, “VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement<br />

Techniques”, Proc. IEEE International Conference on Computer-Aided Design, 1996, pp. 194-200<br />

[16] S. Dutt and H. Theny, “Partitioning Using Second-Order In<strong>for</strong>mation and Stochastic Gain Function”, Proc.<br />

IEEE/ACMInternational Symposium on Physical Design, 1998, pp. 112-117<br />

[17] C. M. Fiduccia and R. M. Mattheyses, “A Linear Time Heuristic <strong>for</strong> Improving Network Partitions”, Proc.<br />

ACM/IEEE Design Automation Conference, 1982, pp. 175-181.<br />

[18] M. R. Garey and D. S. Johnson, “Computers and Intractability, a Guide to the Theory of NP-completeness”, W.<br />

H. Freeman and Company: New York, 1979, pp. 223<br />

[19] I. P. Gent, S. A. Grant, E. MacIntyre, P. Prosser, P. Shaw, B. M. Smith and T. Walsh, “How Not To Do It”,<br />

research report 97-27, Univ. of Leeds School of Computer Studies, May 1997.<br />

[20] S. Hauck and G. Borriello, “<strong>An</strong> Evaluation of Bipartitioning Techniques”, IEEE Transactions on Computer-<br />

Aided Design 16(8) (1997), pp. 849-866.<br />

[21] L.W. Hagen, D. J. Huang and A. B. Kahng, “On Implementation Choices <strong>for</strong> Iterative Improvement<br />

Partitioning Methods”, Proc. European Design Automation Conference, 1995, pp. 144-149.<br />

[22] A. B. Kahng, “Futures <strong>for</strong> Partitioning in Physical design”, Proc. IEEE/ACM International Symposium on<br />

Physical Design, April 1998, pp. 190-193.<br />

[23] G. Karypis and V. Kumar, “<strong>An</strong>alysis of Multilevel Graph Partitioning”, draft, 1995<br />

[24] G. Karypis and V. Kumar, “Multilevel k-way Partitioning Scheme For Irregular Graphs”, Technical Report 95-<br />

064, University of Minnesota, Computer Science Department.<br />

[25] G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar, “Multilevel Hypergraph Partitioning: Applications in<br />

VLSI Design”, Proc. ACM/IEEE Design Automation Conference, 1997, pp. 526-529. Additional publications and<br />

benchmark results <strong>for</strong> hMetis-1.5 are available at http://www-users.cs.umn.edu/~karypis/metis/hmetis/main.html<br />

[26] G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar, “Multilevel Hypergraph Partitioning: Applications in<br />

VLSI Domain”, technical report, University of Minnesota Computer Science Department, March 27, 1998.<br />

[27] G. Karypis and V. Kumar, “Multilevel Algorithms <strong>for</strong> Multi-Constraint Graph Partitioning”, Technical Report<br />

98-019, University ofMinnesota, Department of Computer Science.<br />

[28] G. Karypis and V. Kumar, “hMetis: A Hypergraph Partitioning Package Version 1.5”, user manual, June 23,<br />

1998.<br />

[29] B. W. Kernighan and S. Lin, “<strong>An</strong> <strong>Efficient</strong> Heuristic Procedure <strong>for</strong> Partitioning Graphs”, Bell System Tech.<br />

Journal 49 (1970), pp. 291-307.<br />

[30] B. Krishnamurthy, “<strong>An</strong> Improved Min-cut Algorithm <strong>for</strong> Partitioning VLSI Networks”, IEEE Transactions on<br />

Computers, vol. C-33, May 1984, pp. 438-446.<br />

[31] L. T. Liu, M. T. Kuo, S. C. Huang and C. K. Cheng, “A Gradient Method on the Initial Partition of Fiduccia-<br />

Mattheyses Algorithm”, Proc. IEEE International Conference on Computer-Aided Design, 1995, pp. 229-234.<br />

[32] L. Sanchis, “Multiple-way network partitioning with different cost functions”, IEEE Transactions on<br />

Computers, Dec. 1993, vol.42, (no.12):1500-4.<br />

[33] G. R. Schreiber and O. C. Martin, “Procedure <strong>for</strong> Ranking Heuristics Applied to Graph Partitioning”, Proc. 2nd<br />

International Conference on Metaheuristics, July 1997, pp. 1-19.<br />

[34] G. R. Schreiber and O. C.Martin, “Cut Size Statistics of Graph Bisection Heuristics”, manuscript in submission<br />

to SIAM J. Optimization, 1997.<br />

[35] P. R. Suaris and G. Kedem, “Quadrisection: A New <strong>Approach</strong> to Standard Cell Layout”, Proc. IEEE/ACM<br />

International Conference on Computer-Aided Design, 1987, pp. 474-477.<br />

[36] W. Sun and C. Sechen, “<strong>Efficient</strong> and Effective Placements <strong>for</strong> Very Large Circuits”, Proc. IEEE/ACM<br />

International Conference on Computer-Aided Design, 1993, pp. 170-177.<br />

[37] Y. C. Wei and C. K. Cheng, “Towards <strong>Efficient</strong> Design by Ratio-cut Partitioning”, Proc. IEEE International<br />

Conference on Computer-Aided Design, 1989, pp. 298-301.


DAC'99, pages 355-359<br />

Hypergraph Partitioning With Fixed Vertices<br />

<strong>An</strong>drew E. Caldwell, <strong>An</strong>drew B. Kahng and Igor L. Markov<br />

UCLA Computer Science Department, Los <strong>An</strong>geles, CA 90095-1596<br />

Abstract<br />

We empirically assess the implications of fixed terminals <strong>for</strong> hypergraph partitioning heuristics.<br />

Our experimental testbed incorporates a leading-edge multilevel hypergraph partitioner [14] [3]<br />

and IBM-internal circuits that have recently been released as part of the ISPD-98 Benchmark<br />

Suite [2, 1]. We find that the presence of fixed terminals can make a partitioning instance<br />

considerably easier (possibly to the point of being "trivial"): much less ef<strong>for</strong>t is needed to stably<br />

reach solution qualities that are near best-achievable. Toward development of partitioning<br />

heuristics specific to the fixed-terminals regime, we study the pass statistics of flat FM-based<br />

partitioning heuristics. Our data suggest that with more fixed terminals, the improvements in a<br />

pass are more likely to occur near the beginning of the pass. Restricting the length of passes –<br />

which degrades solution quality in the classic (free-hypergraph) context - is relatively safe <strong>for</strong> the<br />

fixed-terminals regime and considerably reduces run time of our FM-based heuristic<br />

implementations. We believe that the distinct nature of partitioning in the fixed-terminals regime<br />

has deep implications (i) <strong>for</strong> the design and use of partitioners in top-down placement, (ii) <strong>for</strong> the<br />

context in which VLSI hypergraph partitioning research is pursued, and (iii) <strong>for</strong> the development<br />

of new benchmark instances <strong>for</strong> the research community.<br />

References<br />

[1] C. J. Alpert, “Partitioning Benchmarks <strong>for</strong> VLSI CAD Community”, http://vlsicad.cs.ucla.edu/<br />

~cheese/benchmarks.html<br />

[2] C. J. Alpert, “The ISPD-98 Circuit Benchmark Suite”, Proc. ACM/IEEE International Symposium on Physical<br />

Design, April 98, pp. 80-85. See errata at http://vlsicad.cs.ucla.edu/~cheese/errata.html<br />

[3] C. J. Alpert, J.-H. Huang and A. B. Kahng,“Multilevel Circuit Partitioning”, ACM/IEEE Design Automation<br />

Conference, pp. 530-533.<br />

[4] C. J. Alpert and A. B. Kahng, “Recent Directions in Netlist Partitioning: A Survey”, Integration, 19(1995) 1-81.<br />

[5] J. A. Davis, V. K. De and J. D. Meindl, “A Stochastic Wire-Length Distribution <strong>for</strong> Gigascale Integration (GSI) -<br />

Part I: Derivation and Validation”, IEEE Transactions on Electron Devices, vol. 45(3), pp. 580-589.<br />

[6] A. E. Dunlop and B. W. Kernighan, “A Procedure <strong>for</strong> Placement of Standard Cell VLSI Circuits”, IEEE<br />

Transactions on Computer-Aided Design 4(1) (1985), pp. 92-98<br />

[7] S. Dutt andW. Deng, “VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques”,<br />

Proc. IEEE International Conference on Computer-Aided Design, 1996, pp. 194-200<br />

[8] C. M. Fiduccia and R. M. Mattheyses, “A Linear Time Heuristic <strong>for</strong> Improving Network Partitions”, Proc.<br />

ACM/IEEE Design Automation Conference, 1982, pp. 175-181.<br />

[9] M. R. Garey and D. S. Johnson, “Computers and Intractability, a Guide to the Theory of NP-completeness”, W.<br />

H. Freeman and Company: New York, 1979, pp. 223<br />

[10] M. K. Goldberg and M. Burstein, “Heuristic Improvement Technique <strong>for</strong> Bisection of VLSI Networks”, IEEE<br />

Transactions on Computer-Aided Design, 1983, pp. 122-125.<br />

[11] S. Hauck and G. Borriello, “<strong>An</strong> Evaluation of Bipartitioning Techniques”, IEEE Transactions on Computer-<br />

Aided Design 16(8) (1997), pp. 849-866.<br />

[12] D. J. Huang and A. B. Kahng, “Partitioning-<strong>Based</strong> Standard Cell Global Placement with an Exact Objective”,<br />

Proc. ACM/IEEE International Symposium on Physical Design, 1997, pp. 18-25.<br />

[13] B. W. Kernighan and S. Lin, “<strong>An</strong> <strong>Efficient</strong> Heuristic Procedure <strong>for</strong> Partitioning Graphs”, Bell System Tech.<br />

Journal 49 (1970), pp. 291-307.<br />

[14] G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar, “Multilevel Hypergraph Partitioning: Applications in<br />

VLSI Design”, Proc. ACM/IEEE Design Automation Conference, 1997, pp. 526-529.


[15] B. Landman and R. Russo, “On a Pin Versus Block Relationship <strong>for</strong> Partitioning of Logic Graphs”, IEEE<br />

Transactions on Computers C-20(12) (1971), pp. 1469-1479.<br />

[16] P. R. Suaris and G. Kedem, “Quadrisection: A New <strong>Approach</strong> to Standard Cell Layout”, Proc. IEEE/ACM<br />

International Conference on Computer-Aided Design, 1987, pp. 474-477.<br />

[17] D. Sylvester and K. Keutzer, “Getting to the Bottom of Deep-Submicron”, to appear in Proc. IEEE Intl.<br />

Conference on Computer-Aided Design, November 1998.


DAC'99, pages 360-366<br />

Relaxation and Clustering in a Local Search Framework: Application to Linear Placement<br />

Sung-Woo Hur and John Lillis<br />

Dept. of Electrical Eng. and Comp. Sci., University of Illinois at Chicago<br />

Abstract<br />

This paper presents two primary results relevant to physical design problems in CAD/VLSI<br />

through a case study of the linear placement problem. First a local search mechanism which<br />

incorporates a neighborhood operator based on constraint relaxation is proposed. The strategy<br />

exhibits many of the desirable features of analytical placement while retaining the flexibility and<br />

non-determinism of local search. The second and orthogonal contribution is in netlist clustering.<br />

We characterize local optima in the linear placement problem through a simple visualization tool<br />

- the displacement graph. This characterization reveals the relationship between clusters and<br />

local optima and motivates a dynamic clustering scheme designed specifically <strong>for</strong> escaping such<br />

local optima. Promising experimental results are reported.<br />

References<br />

[1] C. K. Cheng and E. S. Kuh, “Module Placement <strong>Based</strong> on Resistive Network Optimization," IEEE Transactions<br />

on CAD, pp. 218-225, 1984.<br />

[2] G. Sigl, K. Doll, and F. Johannes, “<strong>An</strong>ylytical Placement: A Linear or a Quadratic Objective Function?," in 28th<br />

ACM/IEEE DAC, pp. 427-432, 1991.<br />

[3] M. B. Jackson and E. S. Kuh, “Per<strong>for</strong>mance-Driven Placement of Cell <strong>Based</strong> IC's," in 25th DAC, pp. 370-375,<br />

1988.<br />

[4] J. Frankle and R. M. Karp, “Circuit Placements and Cost Bounds by Eigenvector Decomposition," in ICCAD,<br />

pp. 414-417, 1986.<br />

[5] M. A. Breuer, “A Class of Min-cut Placement Algorithms <strong>for</strong> the Placement of Standard Cells," in DAC, pp.<br />

284-290, 1977.<br />

[6] P. R. Suaris and G. Kedem, “Quadrisection: A New <strong>Approach</strong> to Standard Cell Layout," in ICCAD, pp. 474-477,<br />

1987.<br />

[7] C. Sechen and A. Sangiovanni-Vincentelli, “TimberWolf3.2: A New Standard Cell Placement and Global<br />

Routing Package," in 23rd DAC, pp. 432-439, 1986.<br />

[8] D. Mitra, F. Romeo, and A. Sangiovanni-Vincentelli, “Convergence and Finite-Time Behavior of Simulated<br />

<strong>An</strong>nealing," Advances in Applied Probability, pp. 747-771, 1986.<br />

[9] G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar, “Multilevel Hypergraph Partitioning: Application in VLSI<br />

Domain," in DAC, pp. 526-529, 1997.<br />

[10] C. Alpert, J.-H. Huang, and A. B. Kahng, “Multilevel Circuit Partitioning," in DAC, pp. 530-533, 1997.<br />

[11] Y. G. Saab, “<strong>An</strong> Improved Linear Placement Algorithm Using Node Compaction," IEEE Trans. on CAD of<br />

Intergrated Circuits and Systems, vol. 15, no. 8, pp. 952-958, 1996.<br />

[12] J. Li, J. Lillis, L.-T. Liu, and C. K. Cheng, “New Spectral Linear Placement and Clustering <strong>Approach</strong>," in 33rd<br />

DAC, pp. 88-93, 1996.<br />

[13] S. Sato, “Simulated Quenching: New Placement Method <strong>for</strong> Module Generation," in ICCAD, pp. 538-541,<br />

IEEE Computer Society Press, Nov. 1997.<br />

[14] “ftp://ftp.es.ele.tue.nl/pub/lp solve."<br />

[15] S.-W. Hur and J. Lillis, “Relaxation and Clustering in a Local Search Framework: Application to Linear<br />

Placement," in Technical Report UIC-EECS-99-2, 1999.<br />

[16] H. Yang and D. F. Wong, “<strong>Efficient</strong> Network Flow <strong>Based</strong> Min-Cut Balanced Partitioning," in ICCAD, pp. 50-<br />

55, IEEE Computer Society Press, Nov. 1994.<br />

[17] H. Liu and D. F. Wong, “Network Flow <strong>Based</strong> Multi-Way Partitioning with Area and Pin Constraints," in<br />

ISPD, pp. 12-17, ACM/IEEE, Apr. 1997.<br />

[18] C. J. Alpert and A. B. Kahng, “A General Framework <strong>for</strong> Vertex Orderings, with Applications to Netlist<br />

Clustering," in ICCAD, pp. 63-69, IEEE Computer Society Press, Nov. 1994.


DAC'99, pages 367-372<br />

<strong>An</strong> a-approximate algorithm <strong>for</strong> delay-constraint technology mapping<br />

Sumit Roy, Krishna Belkhale, Prithviraj Banerjee*<br />

Cadence Design Systems, Santa Clara, CA 95054, USA<br />

*Electrical and Comp. Engineering, Northwestern University, Evanston, IL-60208, USA<br />

Abstract<br />

Variants of delay-cost functions have been used in a class of technology mapping algorithms [1,<br />

2, 3, 4]. We illustrate that in an industrial environment the delay-cost function can grow<br />

unboundedly and lead to very large run-times. The key contribution of this work is a novel<br />

bounded compression algorithm. We introduce a concept of a delay-cost curve, (a-DC-curve)<br />

that requires up to exponentially less delay-cost points to be stored compared to that stored by<br />

the delay function. We prove that the solution obtained by this exponential compaction of the<br />

delay-function is bounded to alpha% of the optimal solution. We also suggest a large set of CAD<br />

applications which may benefit from using a-DC-curve. Finally, we demonstrate the<br />

effectiveness of our compaction scheme on one such application, namely technology mapping<br />

<strong>for</strong> low power. Experimental results on industrial environment show that we are more than 17<br />

times faster than [2] on certain MCNC circuit.<br />

References<br />

[1] K. Chaudhary, M. Pedram, and A. M. Despain, “A near-optimal algorithm <strong>for</strong> technology mapping minimizing<br />

area under delay constraints," in Proceedings of the Design Automation Conference, pp. 492-498, June 1992.<br />

[2] C.-Y. Tsui, M. Pedram, and A. M. Despain, “Technology decomposition and mapping targeting low power<br />

dissipation," in Proceedings of the Design Automation Conference, pp. 68-73, June 1993.<br />

[3] J. Lou, A. H. Salek, and M. Pedram, “<strong>An</strong> exact solution to simultaneous technology mapping and linear<br />

placement problem," in Proceedings of the International Conference on Computer-Aided Design, pp. 671-675, Nov.<br />

1997.<br />

[4] A. H. Salek, J. Lou, and M. Pedram, “A dsm design flow: Putting oorplanning, technology mapping, and gateplacement<br />

together," in Proceedings of the Design Automation Conference, pp. 128-133, June 1998.<br />

[5] K. Keutzer, “DAGON: Technology binding and local optimization by DAG matching," in Proceedings of the<br />

Design Automation Conference, pp. 341-347, June 1987.<br />

[6] H. J. Taouti, C. W. Moon, R. K. Brayton, and A. Wang, “Per<strong>for</strong>mance-oriented technology mapping," in<br />

Proceedings of the 6th MIT Conference, Advanced Research in VLSI, pp. 79-97, 1990.<br />

[7] V. Tiwari, S. Malik, and P. Ashar, “Technology mapping <strong>for</strong> low power," in Proceedings of the Design<br />

Automation Conference, pp. 74-79, June 1993.<br />

[8] BuildGates. Ambit Design Group, Cadence Design Systems, Santa Clara, CA, 1999.<br />

[9] S. Roy, Low-Power-Driven Synthesis Algorithms <strong>for</strong> Sequential and Combinational Circuits. PhD thesis,<br />

University of Illinois, Urbana-Champaign, IL, 1998.<br />

[10] F. Najm, “Transition density:a new measure of activity in digital circuits," IEEE Transactions on Computer<br />

Aided Design, vol. 12, pp. 310-323, Feb. 1993.


DAC'99, pages 373-378<br />

Technology Mapping <strong>for</strong> FPGAs with Nonuni<strong>for</strong>m Pin Delays and Fast Interconnections<br />

Jason Cong, Yean-Yow Hwang, Songjie Xu<br />

Department of Computer Science, University of Cali<strong>for</strong>nia, Los <strong>An</strong>geles, CA 90095<br />

Abstract<br />

In this paper we study the technology mapping problem <strong>for</strong> FPGAs with nonuni<strong>for</strong>m pin delays<br />

and fast interconnects. We develop the PinMap algorithm to compute the delay optimal mapping<br />

solution <strong>for</strong> FPGAs with nonuni<strong>for</strong>m pin delays in polynomial time based on the efficient cut<br />

enumeration. Compared with FlowMap [5] without considering the nonuni<strong>for</strong>m pin delays,<br />

PinMap is able to reduce the circuit delay by 15% without any area penalty. For mapping with<br />

fast interconnects, we present two algorithms, an iterative refinement based algorithm, named<br />

ChainMap, and a Boolean matching based algorithm, named HeteroBM, which combines the<br />

Boolean matching techniques proposed in [2] and [3] and the heterogeneous technology mapping<br />

mechanism presented in [1]. It is shown that both ChainMap and HeteroBM are able to<br />

significantly reduce the circuit delay by making efficient use of the FPGA fast interconnects<br />

resources.<br />

References<br />

[1] J. Cong and S. Xu, "Delay-Optimal Technology Mapping <strong>for</strong> FPGAs with Heterogeneous LUTs", Proc. 35th<br />

ACM/IEEE Design Automation Conf., San Francisco, CA, June, 1998, pp. 704-707.<br />

[2] J. Cong and Y.-Y. Hwang, "Partially-Dependent Functional Decomposition with Applications in FPGA<br />

Synthesis and Mapping", Proc. ACM 5th Int'l Symposium on FPGA, Feb. 1997, pp. 35-42.<br />

[3] J. Cong and Y.-Y. Hwang, "Boolean Matching <strong>for</strong> Complex PLBs in LUT-based FPGAs with Application to<br />

Architecture Evaluation", Proc. ACM 6th Int'l Symposium on FPGA, Feb. 1998, pp. 27-34.<br />

[4] K. Chung and J. Rose, "TEMPT: Technology Mapping <strong>for</strong> the Exploration of FPGA Architectures with Hard-<br />

Wired Connections", 29th ACM/IEEE Design Automation Conference, 1992, pp. 361-367.<br />

[5] J. Cong and Y. Ding, "FlowMap: <strong>An</strong> Optimal Technology Mapping Algorithm <strong>for</strong> Delay Optimization in<br />

Lookup-Table <strong>Based</strong> FPGA Designs", IEEE Transactions on Computer-Aided Design, Feb. 1994, Vol. 13, No. 1,<br />

pp. 1-12.<br />

[6] J. Cong and Y. Ding, "Tutorial and Survey Paper - Combinational Logic Synthesis <strong>for</strong> L UT <strong>Based</strong> Field<br />

Programmable Gate Arrays", ACM Transactions on Design Automation of Electronic Systems, Vol. 1, No. 2, April<br />

1996, pp. 145-204.<br />

[7] J. Cong, Y. Ding, and C. Wu, "Cut Ranking and Pruning: Enabling A General <strong>An</strong>d <strong>Efficient</strong> FPGA Mapping<br />

Solution" Proc. ACM 4th International Symposium on FPGA, Feb. 1999, pp. 29-35.<br />

[8] J. Cong, Y.-Y. Hwang, and S. Xu, "Technology Mapping <strong>for</strong> FPGAs with Nonuni<strong>for</strong>m Pin Delays and Fast<br />

Interconnection", UCLA Computer Science Department Technical Report CSD-990018.<br />

[9] J. R. Hauler and J. Wawrzynek, "Garp: A MIPS Processor with a Reconfigurable Coprocessor", Proc. of IEEE<br />

Symposium on Field-Programmable Custom Computing Machines, 1997, pp 24-33, http://www.cs.berkeley.edu/<br />

projects/brass/documents/ GarpArchitecture.html.<br />

[10] Advanced Micro Devices, "VANTIS VF1 FPGA Data Sheet", Advanced Micro Devices, Inc., Sunnyvale, CA,<br />

1998.


DAC'99, pages 379-384<br />

Automated Phase Assignment <strong>for</strong> the Synthesis of Low Power Domino Circuits<br />

Priyadarshan Patra<br />

Strategic CAD Labs, Intel Corporation, Hillsboro, OR 97124-5961<br />

Unni Narayanan<br />

Design Technology, Intel Corporation, Santa Clara, CA 95052-8119<br />

Abstract<br />

High per<strong>for</strong>mance circuit techniques such as domino logic have migrated from the<br />

microprocessor world into more mainstream ASIC designs. The problem is that domino logic<br />

comes at a heavy cost in terms of total power dissipation. For mobile and portable devices such<br />

as laptops and cellular phones, a high power dissipation is an unacceptable price to pay <strong>for</strong> high<br />

per<strong>for</strong>mance. Hence, we study synthesis techniques that allow designers to take advantage of the<br />

speed of domino circuits while at the same time to minimize total power consumption.<br />

Specifically, in this paper we present three results related to automated phase assignment <strong>for</strong> the<br />

synthesis of low power domino circuits: (1) We demonstrate that the choice of phase assignment<br />

at the primary outputs of a circuit can significantly impact power dissipation in the domino block<br />

(2) We propose a method <strong>for</strong> efficiently estimating power dissipation in a domino circuit and (3)<br />

We apply the method to determine a phase assignment that minimizes power consumption in the<br />

final circuit implementation. Preliminary experimental results on a mixture of public domain<br />

benchmarks and real industry circuits show potential power savings as high as 34% over the<br />

minimum area realization of the logic. Furthermore, the low power synthesized circuits still meet<br />

timing constraints.<br />

References<br />

[1] R. Bryant. Graph-based algorithms <strong>for</strong> boolean manipulation. IEEE Transactions on Computers, C-35(8):677–<br />

691, 1986.<br />

[2] S. T. Chakradhar, A. Balakrishnan, and V. D. Agrawal. <strong>An</strong> exact algorithm <strong>for</strong> selecting partial scan flip-flops.<br />

In Design Automation Conference, pages 81–86, 1994.<br />

[3] S. Chakravarty. On the complexity of using bdds <strong>for</strong> the synthesis and analysis of boolean circuits. In Allerton<br />

Conference on Communication, Control and Computing, pages 730–739, 1989.<br />

[4] A. Chandrakasan and R. Broderson. Low Power Digital CMOS Design. Kluwer Academic Publishers, 1995.<br />

[5] H. Y. Chen and S. M. Kang. Per<strong>for</strong>mance optimization <strong>for</strong> domino cmos circuit modules. In ICCD, pages 522–<br />

525, 1997.<br />

[6] J. C. Costa, J.Monteiro, and S. Devadas. Switching activity estimation using limited depth reconvergent path<br />

analysis. In International Symposium on low power electronics and design, pages 184–189, 1997.<br />

[7] S. M. Kang. Data shifting and rotating apparatus. US Patent 4,396,994, August 1983.<br />

[8] U. K. Narayanan, H. Leong, K. Chung, and C. L. Liu. Low power multiplexer decomposition. In International<br />

Symposium on low power electronics and design, pages 269–274, 1997.<br />

[9] U. K. Narayanan and C. L. Liu. Low power logic synthesis <strong>for</strong> xor based circuits. In International Conference on<br />

Computer-Aided Design, 1997.<br />

[10] U. K. Narayanan, P. Pan, and C. L. Liu. Low power logic synthesis under a general delay model. In<br />

International Symposium on low power electronics and design, 1998.<br />

[11] R. Panda and F. Najm. Technology decomposition <strong>for</strong> low-power synthesis. In IEEE Custom Integrated<br />

Circuits Conference, pages 627–630, 1995.<br />

[12] P. Patra. <strong>Approach</strong>es to Design of Circuits <strong>for</strong> Low-Power Computation. PhD thesis, The University of Texas at<br />

Austin, 1995.<br />

[13] P. Patra and D. Fussell. Power-efficient delay-insensitive codes <strong>for</strong> data transmission. In Proc. of 28th Hawaii<br />

International Conference on System Sciences, Jan 1995.


[14] M. Pedram. Power minimization in IC design: Principles and applications. ACM Transactions on Design<br />

Automation of Electronic Systems, 1(1):3–56, 1996.<br />

[15] R. Puri, A. Bjorksten, and T. Rosser. Logic optimization by output phase assignment in dynamic logic<br />

synthesis. In International Conference on Computer Aided Design, pages 2–8, 1996.<br />

[16] N. Weste and K. Eshraghian. Principles of CMOS VLSI Design: A Systems Perspective. Addison-Wesley, 1993.


DAC'99, pages 385-390<br />

Enhancing Simulation with BDDs and ATPG<br />

Malay K. Ganai, Adnan Aziz<br />

Electrical and Computer Engineering, The University of Texas at Austin<br />

<strong>An</strong>dreas Kuehlmann<br />

IBM Thomas J. Watson Research Center, Yorktown Heights, NY, USA<br />

Abstract<br />

We introduce SImulation Verification with Augmentation (SIVA), a tool <strong>for</strong> checking safety<br />

properties on digital hardware designs. SIVA integrates simulation with symbolic techniques <strong>for</strong><br />

vector generation. Specifically, the core algorithm uses a combination of ATPG and BDDs to<br />

generate input vectors which cover behavior not excited by simulation. Experimental results<br />

demonstrate considerable improvement in state space coverage compared with either simulation<br />

or <strong>for</strong>mal verification in isolation.<br />

Keywords: Formal verification, ATPG, simulation, BDDs, coverage.<br />

References<br />

[1] Felice Balarin and A. L. Sangiovanni-Vincentelli. <strong>An</strong> Iterative <strong>Approach</strong> to Language Containment. In Proc. of<br />

the Computer Aided Verification Conf., June 1993.<br />

[2] R. Kurshan. Formal Verification in a Commercial Setting. In Proc. of the Design Automation Conf., June 1997.<br />

[3] J. Yuan, J. Shen, J. Abraham, and A. Aziz. On Combining Formal and In<strong>for</strong>mal Verification. In Proc. of the<br />

Computer Aided Verification Conf., July 1997.<br />

[4] R. K. Brayton et al. VIS: A System <strong>for</strong> Verification and Synthesis. In Proc. of the Computer Aided Verification<br />

Conf., July 1996.<br />

[5] B. Chen, M. Yamazaki, and M. Fujita. Bug Identification of a Real Chip Design by Symbolic Model Checking.<br />

In Proc. European Conf. on Design Automation, March 1994.<br />

[6] H. Cho, G. Hatchel, E. Macii, M. Poncino, and F. Somenzi. A State Space Decomposition Algorithm <strong>for</strong><br />

Approximate FSM Traversal <strong>Based</strong> on Circuit Structural <strong>An</strong>alysis. Technical report, ECE/VLSI, Univ. of Colorado<br />

at Boulder, 1993.<br />

[7] S. Devadas, A. Ghosh, and K. Keutzer. <strong>An</strong> Observability-<strong>Based</strong> Code Coverage Metric <strong>for</strong> Functional<br />

Simulation. In Proc. Intl. Conf. on Computer-Aided Design, November 1996.<br />

[8] David L. Dill. Embedded Tutorial: What's between Simulation and Formal Verification? In Proc. of the Design<br />

Automation Conf., San Francisco, CA, June 1998.<br />

[9] A. El-Maleh, T. Marchok, J. Rajski, and W. Maly. Behavior and Testability Preservation Under the Retiming<br />

Trans<strong>for</strong>mation. IEEE Transactions on Computer-Aided Design of Integrated Circuits, May 1997.<br />

[10] D. Geist, M. Farkas, A. Landver, Y. Lichtenstein, S. Ur, and Y. Wolfsthal. Coverage Directed Test Generation<br />

Using Formal Verification. In Proc. of the Formal Methods in CAD Conf., November 1996.<br />

[11] Daniel Geist and Ilan Beer. <strong>Efficient</strong> Model Checking by Automated Ordering of Transition Relation Partitions.<br />

In Computer Aided Verification, volume 818 of Lecture Notes in Computer Science, pages 52-71. Springer-Verlag,<br />

1994.<br />

[12] P. Goel. <strong>An</strong> Implicit Enumeration Algorithm to Generate Tests <strong>for</strong> Combinational Logic Circuits. IEEE<br />

Transactions on Computers, 1981.<br />

[13] R. Ho and M. Horowitz. Validation Coverage <strong>An</strong>alysis <strong>for</strong> Complex Digital Designs. In Proc. Intl. Conf. on<br />

Computer-Aided Design, November 1996.<br />

[14] Richard C. Ho, C. Han Yang, Mark A. Horowitz, and David L. Dill. Architectural Validation <strong>for</strong> Processors. In<br />

Proceedings of the International Symposium on Computer Architecture, June 1995.<br />

[15] Y. Hoskote, D. Moundanos, and J. Abraham. Automatic Extraction of the Control Flow Machine and<br />

Application to Evaluating Coverage of Verification Vectors. In Proc. Intl. Conf. on Computer Design, Austin, TX,<br />

October 1995.<br />

[16] <strong>An</strong>dreas Kuehlmann and Florian Krohm. Equivalence Checking Using Cuts and Heaps. In Proc. of the Design<br />

Automation Conf., June 1997.


[17] W. Lee, A. Pardo, G. D. Hachtel, J. Jang, A. Pardo, and F. Somenzi. Tearing <strong>Based</strong> Automatic Abstraction <strong>for</strong><br />

CTL Model Checking. In Proc. Intl. Conf. on Computer-Aided Design, 1996.<br />

[18] Kenneth L. McMillan. Symbolic Model Checking. Kluwer Academic Publishers, 1993.<br />

[19] K. L. McMillan. Verification of an Implementation of Tomasulo's Algorithm by Compositional Model<br />

Checking. In Proc. of the Computer Aided Verification Conf., Vancouver, BC, Canada, June 1998.<br />

[20] D. Moundanos, J. Abraham, and Y. Hoskote. A Unified Framework <strong>for</strong> Design Validation and Manufacturing<br />

Test. In Proc. Intl. Test Conf., 1996.<br />

[21] R. Mukherjee, J. Jain, K. Takayama, M. Fujita, J. A. Abraham, and D. S. Fussell. <strong>Efficient</strong> Combination<br />

Verification Using Cuts and Overlapping BDDs. In Proc. Intl. Workshop on Logic Synthesis, May 1997.<br />

[22] K. Ravi and F. Somenzi. High Density Reachability <strong>An</strong>alysis. In Proc. Intl. Conf. on Computer-Aided Design,<br />

Santa Clara, CA, November 1995.<br />

[23] R. Rudell. Dynamic Variable Ordering <strong>for</strong> Binary Decision Diagrams. In Proc. Intl. Conf. on Computer-Aided<br />

Design, November 1993.<br />

[24] P. Stephan, R. K. Brayton, and A. L. Sangiovanni-Vincentelli. Combination Test Generation using<br />

Satisfiability. IEEE Transactions on Computer-Aided Design of Integrated Circuits, September 1996.<br />

[25] U. Stern and D. L. Dill. Using Magnetic Disk instead of Main Memory in the Murphi Verifier. In Proc. of the<br />

Computer Aided Verification Conf., June 1998.<br />

[26] D. Xiang, S. Venkataraman, W. K. Fuchs, and J. H. Patel. Partial Scan Design <strong>Based</strong> on Circuit State<br />

In<strong>for</strong>mation. In Proc. of the Design Automation Conf., Las Vegas, NV, June 1996.<br />

[27] C. H. Yang and D. L. Dill. Validation with Guided Search of the State Space. In Proc. of the Design<br />

Automation Conf., June 1998.<br />

[28] UC Berkeley. www.cad.eecs.berkeley.edu/~vis.


DAC'99, pages 391-396<br />

Cycle-based Symbolic Simulation of Gate-level Synchronous Circuits<br />

Valeria Bertacco† Maurizio Damiani‡ Stefano Quer‡<br />

†Vera Group, Synopsys, Inc., Palo Alto, CA 94303<br />

‡Advanced Technology Group, Synopsys, Inc., Mountain View, CA 94043<br />

ABSTRACT<br />

Symbolic methods are often considered the state-of-the-art technique <strong>for</strong> validating digital<br />

circuits. Due to their complexity and unpredictable run-time behavior, however, their potential is<br />

currently limited to small-to-medium circuits. Logic simulation privileges capacity, it is nicely<br />

scalable, flexible, and it has a predictable run-time behavior. For this reason, it is the common<br />

choice <strong>for</strong> validating large circuits. Simulation, however, typically visits only a small fraction of<br />

the state space: The discovery of bugs heavily relies on the expertise of the designer of the test<br />

stimuli.<br />

In this paper we consider a symbolic simulation approach to the validation problem. Our<br />

objective is to trade-off between <strong>for</strong>mal and numerical methods in order to simulate a circuit<br />

with a "very large number" of input combinations and sequences in parallel. We demonstrate<br />

larger capacity with respect to symbolic techniques and better efficiency with respect to cyclebased<br />

simulation. We show that it is possible to symbolically simulate very large trace sets in<br />

parallel (over 100 symbolic inputs) <strong>for</strong> the largest ISCAS benchmark circuits, using 96 Mbytes<br />

of memory.<br />

References<br />

[1] O. Coudert, C. Berthet, and J. C. Madre. Verification of Sequential Machines <strong>Based</strong> on Symbolic Execution. In<br />

Lecture Notes in Computer Science 407, Springer Verlag, pages 365–373, Berlin, Germany, 1989.<br />

[2] H. Touati, H. Savoj, B. Lin, R. K. Brayton, and A. Sangiovanni-Vincentelli. Implicit state enumeration of finite<br />

state machines using BDD’s. In Proc. ICCAD, pages 130–133, November 1990.<br />

[3] J. Burch, E. Clarke, D. Long, K. McMillan, and D. Dill. Symbolic Model Checking <strong>for</strong> Sequential Circuit<br />

Verification. IEEE Transactions on CAD, 13(4):401–424, April 1994.<br />

[4] Z. Barzilai, J. L. Carter, B. K. Rosen, and J. D. Rutledge. Hss- a high-speed simulator. IEEE Trans. on<br />

CAD/ICAS, pages 601–617, July 1987.<br />

[5] C. Hansen. Hardware logic simulation by compilation. In Proc. DAC, pages 712–715, June 1987.<br />

[6] L.T. Wang, N. E. Hoover, E. H. Porter, and J. J. Zasio. Ssim: A software levelized compiled-code simulator. In<br />

Proc. DAC, June 1987.<br />

[7] C.J. DeVane. <strong>Efficient</strong> circuit partitioning to extend cycle simulation beyond synchronous circuits. In Proc.<br />

ICCAD, pages 154–161, nov 1997.<br />

[8] P. Jain and G. Gopalakrishnan. <strong>Efficient</strong> symbolic simulation-based verification using the parametric <strong>for</strong>m of<br />

boolean expressions. IEEE Trans. on CAD/ICAS, 13:1005–1015, August 1994.<br />

[9] R. E. Bryant. Graph-based algorithms <strong>for</strong> boolean function manipulation. IEEE Trans. on Computers,<br />

35(8):677–691, August 1986.<br />

[10] R. E. Bryant. Symbolic Boolean Manipulation with Ordered Binary–Decision Diagrams. ACM Computing<br />

Surveys, 24(3):293–318, September 1992.<br />

[11] H. Cho, G. Hachtel, S. Jeong, B. Plessier, E. Shwarz, and F. Somenzi. Atpg aspects of fsm verification. In Proc.<br />

ICCAD, pages 134–137, November 1990.<br />

[12] P. McGeer, K. McMillan, A. Saldanha, A. Sangiovanni-Vincentelli, and P. Scaglia. Fast discrete function<br />

evaluation using decision diagrams. In Proc. ICCAD, pages 402–407, November 1995.<br />

[13] P. Ashar and S. Malik. Fast Functional Simulation using Branching Programs. In Proc. ICCAD, pages 408–<br />

412, San Jose, Cali<strong>for</strong>nia, November 1995.<br />

[14] Y. Luo, T. Wongsonegoro, and A. Aziz. Hybrid Techniques <strong>for</strong> Fast Functional Simulation. In Proc.<br />

IEEE/ACM DAC’98, pages 664–667, San Francisco, Cali<strong>for</strong>nia, June 1998.


[15] A. Hu and D. Dill. Reducing bdd size by exploiting functional dependencies. In Proc. DAC, pages 266–271,<br />

June 1993.<br />

[16] C.A.J. van Eijk and J. A. G. Jess. Exploiting functional dependencies in fsm verification. In Proc. EDAC, pages<br />

9–14, February 1996.<br />

[17] F. Brglez, D. Bryan, and K. Kozminski. Combinatorial Profiles of Sequential Benchmark Circuits. In Proc.<br />

IEEE ISCAS’89, pages 1929–1934, May 1989.


DAC'99, pages 397-401<br />

Exploiting Positive Equality and Partial Non-Consistency<br />

in the Formal Verification of Pipelined Microprocessors<br />

Miroslav N. Velev*, Randal E. Bryant‡, *<br />

*Department of Electrical and Computer Engineering<br />

‡School of Computer Science<br />

Carnegie Mellon University, Pittsburgh, PA 15213, U.S.A.<br />

Abstract<br />

We study the applicability of the logic of Positive Equality with Uninterpreted Functions (PEUF)<br />

[2][3] to the verification of pipelined microprocessors with very large Instruction Set<br />

Architectures (ISAs). Abstraction of memory arrays and functional units is employed, while the<br />

control logic of the processors is kept intact from the original gate-level designs. PEUF is an<br />

extension of the logic of Equality with Uninterpreted Functions, introduced by Burch and Dill<br />

[4], that allows us to use distinct constants <strong>for</strong> the data operands and instruction addresses needed<br />

in the symbolic expression <strong>for</strong> the correctness criterion. We present several techniques that make<br />

PEUF scale very efficiently <strong>for</strong> the verification of pipelined microprocessors with large ISAs.<br />

These techniques are based on allowing a limited <strong>for</strong>m of non-consistency in the uninterpreted<br />

functions, representing initial memory state and ALU behaviors. Our tool required less than 30<br />

seconds of CPU time and 5 MB of memory to verify a 5-stage MIPS-like pipelined processor<br />

that implements 191 instructions of various classes. The verification was done by<br />

correspondence checking - a <strong>for</strong>mal method, where a pipelined microprocessor is compared<br />

against a non-pipelined specification.<br />

References<br />

[1] R.E. Bryant, “Symbolic Boolean Manipulation with Ordered Binary-Decision Diagrams,” ACM Computing<br />

Serveys, Vol. 24, No. 3 (September 1992), pp. 293-318.<br />

[2] R.E. Bryant, S. German, and M.N. Velev, “Exploiting Positive Equality in a Logic of Equality with<br />

Uninterpreted Functions,”2 Computer-Aided Verification, LNCS, Springer-Verlag, June 1999.<br />

[3] R.E. Bryant, S. German, and M.N. Velev, “Processor Verification Using <strong>Efficient</strong> Reductions of the Logic of<br />

Uninterpreted Functions to Propositional Logic,”2 Technical Report CMU-CS-99-115, Carnegie Mellon University,<br />

1999.<br />

[4] J.R. Burch, and D.L. Dill, “Automated Verification of Pipelined Microprocessor Control,” CAV‘94, D.L. Dill,<br />

ed., LNCS 818, Springer-Verlag, June 1994, pp. 68-80.<br />

[5] J.R. Burch, “Techniques <strong>for</strong> Verifying Superscalar Microprocessors,” 33rd Design Automation Conference<br />

(DAC’96), June 1996, pp. 552-557.<br />

[6] Y.-A. Chen, “Arithmetic Circuit Verification <strong>Based</strong> on Word-Level Decision Diagrams,” Ph.D. thesis, School of<br />

Computer Science, Carnegie Mellon University, May 1998.<br />

[7] A. Goel, K. Sajid, H. Zhou, A. Aziz, and V. Singhal, “BDD <strong>Based</strong> Procedures <strong>for</strong> a Theory of Equality with<br />

Uninterpreted Functions,” CAV‘98, Springer-Verlag, June 1998.<br />

[8] A.J. Isles, R. Hojati, and R.K. Brayton, “Computing Reachable Control States of Systems Modeled with<br />

Uninterpreted Functions and Infinite Memory,” CAV’98, Springer-Verlag, June 1998.<br />

[9] G. Kane, and J. Heinrich, MIPS RISC Architecture, Prentice Hall, Englewood Cliffs, NJ, 1992.<br />

[10] G. Nelson, and D.C. Oppen, “Simplification by Cooperating Decision Procedures,” ACM Transactions on<br />

Programming Languages and Systems, Vol. 1, No. 2, October 1979, pp. 245-257.<br />

[11] M. Pandey, “Formal Verification of Memory Arrays,” Ph.D. thesis, School of Computer Science, Carnegie<br />

Mellon University, May 1997.<br />

[12] D.A. Patterson, and J.L. Hennessy, Computer Organization and Design: The Hardware/Software Interface, 2nd<br />

edition, Morgan Kaufmann Publishers, San Francisco, CA, 1998.<br />

[13] M.N. Velev, R.E. Bryant, and A. Jain, “<strong>Efficient</strong> Modeling of Memory Arrays in Symbolic Simulation,”2<br />

CAV‘97, O. Grumberg, ed., LNCS 1254, Springer-Verlag, June 1997, pp. 388-399.


[14] M.N. Velev, and R.E. Bryant, “<strong>Efficient</strong> Modeling of Memory Arrays in Symbolic Ternary Simulation,”2<br />

TACAS’98, B. Steffen, ed., LNCS 1384, Springer-Verlag, March-April 1998, pp. 136-150.<br />

[15] M.N. Velev, and R.E. Bryant, “Bit-Level Abstraction in the Verification of Pipelined Microprocessors by<br />

Correspondence Checking,”2 FMCAD’98, G. Gopalakrishnan and P. Windley, eds., LNCS 1522, Springer-Verlag,<br />

November 1998, pp. 18-35.


DAC'99, pages 402-407<br />

Formal Verification Using Parametric Representations of Boolean Constraints<br />

Mark D. Aagaard, Robert B. Jones, Carl-Johan H. Seger<br />

Strategic CAD Labs, Intel Corporation, Hillsboro, OR 97124, USA<br />

Abstract<br />

We describe the use of parametric representations of Boolean predicates to encode data-space<br />

constraints and significantly extend the capacity of <strong>for</strong>mal verification. The constraints are used<br />

to decompose verifications by sets of case splits and to restrict verifications by validity<br />

conditions. Our technique is applicable to any symbolic simulator. We illustrate our technique on<br />

state-of-the-art Intel ® designs, without removing latches or modifying the circuits in any way.<br />

References<br />

[1] M. D. Aagaard, R. B. Jones, and C.-J. H. Seger. Combining theorem proving and trajectory evaluation in an<br />

industrial environment. In ACM/IEEE Design Automation Conference, pages 538–541. ACM/IEEE, July 1998.<br />

[2] G. Boole. The Mathematical <strong>An</strong>alysis of Logic. Macmillan 1847. Reprinted 1948, B. Blackwell, 1847.<br />

[3] R. E. Bryant. On the complexity of VLSI implementations and graph representations of boolean functions with<br />

applications to integer multiplication. IEEE Transactions on Computers, C-40(2):205–213, Feb. 1991.<br />

[4] J. Burch, E. Clarke, and K. McMillan. Sequential circuit verification using symbolic model checking. In<br />

ACM/IEEE Design Automation Conference, pages 46–51. ACM/IEEE, 1990.<br />

[5] Y.-A. Chen and R. Bryant. Verification of floating-point adders. In A. J. Hu and M. Y. Vardi, editors, Workshop<br />

on Computer-Aided Verification, pages 488–499, July 1998.<br />

[6] O. Coudert, C. Berthet, and J. C. Madre. Verification of sequential machines using Boolean functional vectors.<br />

In Proceedings of the IMEC-IFIP Workshop on Applied Formal Methods <strong>for</strong> Correct VLSI Design, pages 179–196,<br />

Nov. 1989.<br />

[7] O. Coudert and J. C. Madre. A unified framework <strong>for</strong> the <strong>for</strong>mal verification of sequential circuits. In<br />

International Conference on Computer-Aided Design, pages 78–82, Nov. 1990.<br />

[8] J. M. Feldman and C. T. Retter. Computer Architecture. McGraw-Hill, 1994.<br />

[9] S. Hazelhurst and C.-J. H. Seger. Symbolic trajectory evaluation. In T. Kropf, editor, Formal Hardware<br />

Verification, chapter 1, pages 3–78. Springer Verlag; New York, 1997.<br />

[10] IEEE. IEEE Standard <strong>for</strong> binary floating-point arithmetic. ANSI/IEEE Std 754-1985, 1985.<br />

[11] Intel. Pentium Processor User’s Manual, Volume 3: Architecture and Programming Manual. Intel Corporation,<br />

1993.<br />

[12] P. Jain and G. Gopalakrishnan. <strong>Efficient</strong> symbolic simulation-based verification using the parametric <strong>for</strong>m of<br />

boolean expressions. IEEE Transactions on Computer Aided Design, 1994.<br />

[13] C.-J. H. Seger and R. E. Bryant. Formal verification by symbolic evaluation of partially-ordered trajectories.<br />

Formal Methods in System Design, 6(2):147–189, Apr. 1994.


DAC'99, pages 408-413<br />

Vertical Benchmarks <strong>for</strong> CAD<br />

Christopher Inacio, Herman Schmit, David Nagle, <strong>An</strong>drew Ryan, Donald E. Thomas,<br />

Yingfai Tong, Ben Klass<br />

Dept. of Electrical and Computer Engineering, Carnegie Mellon University<br />

Pittsburgh, PA 15213, USA<br />

ABSTRACT<br />

Vertical benchmarks are complex system designs represented at multiple levels of abstraction.<br />

More effective than component-based CAD benchmarks, vertical benchmarks enable<br />

quantitative comparison of CAD techniques within or across design flows. This work describes<br />

the notion of vertical benchmarks and presents our benchmark, which is based on a commercial<br />

DSP, by comparing two alternative design flows.<br />

References<br />

[1] F. Brglez, D. Bryan, K. Kozminski. “Combinational Profiles of Sequential Benchmark Circuits”, ISCAS ‘89, pp.<br />

1929-1934, 1989.<br />

[2] J. Darnauer and W. Dai, “A Method <strong>for</strong> Generating Random CIrcuits and Its Application to Routability<br />

Measurement”, in 4th ACM/SIGDA Int’l Symp. on FPGAs, pp. 66-72, Feb. 1996.<br />

[3] N. Dutt. “Current Status of HLSW Benchmarks and Guidelines <strong>for</strong> Benchmark Submission”, HLSynth ’92<br />

Benchmark, Sept. 1992.<br />

[4] M. D. Hutton, J. P. Grossman, J. S. Rose, and D. G. Corneil, “Characterization and Parameterized Random<br />

Generation of Digital Circuits,” in 33rd ACM/SIGDA Design Automation Conference (DAC), pp. 94-99, June, 1996.<br />

[5] Motorola Corporation, DSP56000 Digital Signal Processor Family Manual. 1995.<br />

[6] Programmable Electronics Per<strong>for</strong>mance Corporation, URL: http://www.prep.org/synth.htm.<br />

[7] System Per<strong>for</strong>mance Evaluation Corporation (SPEC), SPEC CPU95 Version 1.1, URL: http://www.spec.org,<br />

August 21, 1995.<br />

[8] S. Yang. “Logic Synthesis and Optimization Benchmarks User Guide, Version 3.0”, Microelectronics Center of<br />

North Carolina, Research Triangle Park, NC, Jan. 1991.


DAC'99, pages 414-419<br />

A Framework <strong>for</strong> User Assisted Design Space Exploration<br />

X. Hu a , G. W. Greenwood b , S. Ravichandran b , G. Quan a<br />

a Dept. of Computer Science & Engineering, University of Notre Dame, Notre Dame, IN 46556<br />

b Dept. of Electrical & Computer Engineering, Western Michigan University,<br />

Kalamazoo, MI 49008<br />

Abstract<br />

Much ef<strong>for</strong>t in hardware/software co-design has been devoted to developing "push-button" types<br />

of tools <strong>for</strong> automatic hardware/software partitioning. However, given the highly complex nature<br />

of embedded system design, user guided design exploration can be more effective. In this paper ,<br />

we propose a framework <strong>for</strong> designer assisted partitioning that can be used in conjunction with<br />

any given search strategy. A key component of this framework is the visualization of the design<br />

space, without enumerating all possible design configurations. Furthermore, this design space<br />

representation provides a straight<strong>for</strong>ward way <strong>for</strong> a designer to identify promising partitions and<br />

hence guide the subsequent exploration process. Experiments have shown the effectiveness of<br />

this approach.<br />

References<br />

[1] W. H. Wolf. Hardware-software co-design of embedded systems. Proc. IEEE, 82:967-989, 1994.<br />

[2] M. Chiodo, P. Giusto, A. Jurecska, H. Hsieh, A. Sangiovanni-Vincentelli, and L. Lavagno. Hardware-software<br />

codesign of embedded systems. IEEE Micro, 14:26-36, 1994.<br />

[3] R. Ernst, J. Henkel, and T. Benner. Hardware-software cosynthesis <strong>for</strong> microcontrollers. IEEE Design & Test of<br />

Computers, 10:64-75, 1993.<br />

[4] R. Gupta and G. De Micheli. Hardware-software cosynthesis <strong>for</strong> digital systems. IEEE Design & Test of<br />

Computers, 10:29-40, 1993.<br />

[5] E. Barros, W. Rosenstiel, and X. Xiong. A method <strong>for</strong> partitioning unity language to hardware and software.<br />

Proc. European Design Automation Conf., pages 220-225, 1994.<br />

[6] S. Prakash and A. Parker. Sos: Synthesis of application-specific heterogeneous multiprocessor systems. J. Para.<br />

& Dist. Computers, 16:338-351, 1992.<br />

[7] S. Kumar, J. Aylor, B. Johnson, and W. Wulf. Object-oriented techniques in hardware design. IEEE Computer,<br />

27:64-70, 1994.<br />

[8] B. Dave, G. Lakshminarayana, and N. Jha. Cosyn: Hardware-software co-synthesis of embedded systems. Proc.<br />

Design Automation Conf., pages 703-708, 1997.<br />

[9] J. Teich, T. Blickle, and L. Thiele. <strong>An</strong> evolutionary approach to system-level synthesis. Proc. Int'l Workshop<br />

Hardware/Software Codesign, pages 167-171, 1997.<br />

[10] R. Dick and N. Jha. Mogac: A multiobjective genetic algorithm <strong>for</strong> the co-synthesis of hardware-software<br />

embedded systems. IEEE/ACM Int'l Conf. on CAD, pages 522-529, 1997.<br />

[11] L. Garber and D. Sims. In pursuit of hardware-software codesign. IEEE Computer, 31:12-14, 1998.<br />

[12] X. Hu and G. Greenwood. Evolutionary approach to hardware/software partitioning. IEE Proc.-Comput. Digit.<br />

Tech., 145:203-209, 1998.<br />

[13] W. Chapman and J. Rozenblit. The system design problem is np-complete. IEEE. Conf. Sys., Man, & Cyber.,<br />

pages 1880-1884, 1994.<br />

[14] Z. Michalewicz and M. Schoenauer. Evolutionary algorithms <strong>for</strong> constrained parameter optimization problems.<br />

Evolutionary Comp., 4:1-32, 1996.<br />

[15] E. Weinberger. Correlated and uncorrelated landscapes and how to tell the difference. J. Biol. Cybern., 63:325-<br />

336, 1990.<br />

[16] G. Greenwood and X. Hu. Are landscapes <strong>for</strong> constrained optimization problems statistically isotropic? Physica<br />

Scripta, 57:321-323, 1998.<br />

[17] Y. Saad and M. H. Schultz. Topological properties of hypercube. IEEE Trans. on Computers, 37:867-870,<br />

1988.<br />

[18] G. Greenwood and S. Ravichandran. Fitness landscapes on torus.


[19] R. Sambandam and X. Hu. Predicting timing behavior in architectural design exploration of real-time<br />

embedded systems. Proceedings of the 34th IEEE/ACM Design Automation Conference, pages 157-160, 1997.


DAC'99, pages 420-424<br />

Fast Prototyping: a system design flow applied to a complex System-On-Chip<br />

multiprocessor design<br />

Benoit Clement, Richard Hersemeule,<br />

STMicroelectronics, 5bis, Chemin de la Dhuy, F-38240 Meylan France<br />

Etienne Lantreibecq<br />

STMicroelectronics, 850 rue Jean Monnet - BP 16, F-38926 Crolles Cedex, France<br />

Bernard Ramanadin<br />

STMicroelectronics, STAR US RnD c/o Hitachi HMSI, San Jose, CA 95134, USA<br />

Pierre Coulomb, Francois Pogodalla<br />

STMicroelectronics, 5bis, Chemin de la Dhuy, F-38240 Meylan France<br />

ABSTRACT<br />

This paper describes a new design flow that significantly reduces time-to-market <strong>for</strong> highly<br />

complex multiprocessor-based System-On-Chip designs. This flow, called Fast Prototyping,<br />

enables concurrent hardware and software development, early verification and productive re-use<br />

of intellectual property. We describe how using this innovative system design flow, that<br />

combines different technologies, such as C modeling, emulation, hard Virtual Component re-use<br />

and CoWare N2C TM , we achieve better productivity on a multi-processor SOC design.<br />

Keywords: System design, Hardware/Software (HW/SW) co-design, Virtual Component (VC)<br />

re-use, Fast Prototyping, system verification, system modeling.<br />

REFERENCES<br />

[1] M. Genoe, Alcatel, “Requirements capturing and specification of Systems-on-Chip”, MEDEA/ESPRIT<br />

conference on HW/SW codesign, 1998<br />

[2] S. Tsasakou, C. Dre, H. Kharatanasis, A. Birbas, Univ. of Patras/Intracom SA, “Combined assessment of an<br />

industrial current practice and CoWare’s methodology to the codesign/cosimulation problem”, MEDEA/ESPRIT<br />

conference on HW/SW codesign, 1998<br />

[3] C. Berthet, G. Mas, F. Pogodalla & al., STMicroelectronics, “Functional verification methodology of Chameleon<br />

processor”, 33rd DAC, 1996<br />

[4] K. Hashmi, A. C. Bruce, “Design and use of a system-level specification and verification methodology”, EURO-<br />

DAC 95<br />

[5] J. Monaco, D. Holloway, R. Raina, “Functional verification methodology <strong>for</strong> the PowerPC 604 microprocessor”,<br />

33rd DAC, 1996<br />

[6] P. Paulin, “A flexible hardware/software development environment and its application to consumer multimedia<br />

products designs”, CODES/CASHE’98<br />

[7] A. Sangiovanni-Vincentelli, J. Liu, M. Lajolo, “Software timing analysis using HW/SW cosimulation and<br />

instruction set simulator”, CODES/CASHE’98<br />

[8] A.A. Jerraya, J.M. Daveau, G. Marchioro, “hardware/software codesign of an ATM network interface card: a<br />

case study”, CODES/CASHE’99<br />

[9] M. Benjamin, D. Geist, A. Hartman, G. Mas, R. Smeets, Y. Wolfsthal, STMicroelectronics and IBM Science and<br />

Technology, Haifa Research Lab. "A Study in Coverage-Driven Test Generation", DAC’99


DAC'99, pages 425-428<br />

Verification and Management of a multimillion-gate embedded core design<br />

Johann Notbauer, Thomas Albrecht, Georg Niedrist<br />

Siemens, Austria, A-1030 Vienna, Austria<br />

Stefan Rohringer<br />

Siemens Semiconductors, A-8020 Graz, Austria<br />

ABSTRACT<br />

Verification is one of the most critical and time-consuming tasks in today's design processes.<br />

This paper demonstrates the verification process of a 8.8 million gate design using HWsimulation<br />

and cycle simulation-based HW/SW-coverification. The main focuses are overall<br />

methodology, testbench management, the verification task itself and defect management. The<br />

chosen verification process was a real success: the quality of the designed hard- and software<br />

was increased and furthermore the time needed <strong>for</strong> integration and test of the design in the<br />

context of the overall system was greatly reduced.<br />

REFERENCES<br />

[1] Albrecht, Notbauer, Rohringer: "HW/SW Coverification Per<strong>for</strong>mance Estimation & Benchmark <strong>for</strong> a 24<br />

Embedded RISC Core Design", 35th ACM/IEEE Design Automation Conference, pages 808-811, 1998<br />

[2] Albrecht, "Concurrent Design Methodology and Configuration Management of the Siemens EWSD-CCS7E<br />

Processor System Simulation", 32nd ACM/IEEE Design Automation Conference, pages 222-227, 1995<br />

[3] Cohen: "VHDL, <strong>An</strong>swers to Frequently Asked Questions", Kluwer Academic Publishers, 1997<br />

[4] Jantsch, Notbauer, Albrecht, "Testcase Development <strong>for</strong> large Telecom Systems", 2nd IEEE International High<br />

Level Design Validation and Test Workshop, 1997<br />

[5] Pure Software, "Distributed Defect Tracking System (PureDDTS), Administrator's Manual", 1995<br />

[6] Synopsys Inc. "Cyclone VHDL Coding Style Guide V1.1b", 1997


DAC'99, page 429 Panel: Parasitic Extraction Accuracy: How Much Is Enough?<br />

Chair: Paul Franzon – North Carolina State University, Raleigh, NC<br />

Panel Mambers: Mark Basel, Aki Fujimura, Sharad Mehrotra, Ron Preston, Robin C. Sarma,<br />

Marty Walker<br />

The effect of parasitic elements on chip per<strong>for</strong>mance is well known, however the relative<br />

importance of this effect is becoming more critical to a chip's per<strong>for</strong>mance. To cope with this<br />

new design hazard there are a number of parasitic extraction tools and methodology approaches<br />

available to the circuit designer. Some developed <strong>for</strong> the general market and some developed <strong>for</strong><br />

internal use. With each product having its own claims and approaches, deciding on a tool or<br />

extraction strategy is a confusing exercise. The purpose of this panel is to help the designer and<br />

CAD manager determine how to properly compare extractors and how to put them to use. The<br />

panel will address a number of questions including; What is the best way to accurately handle<br />

parasitic extraction while dealing with increasingly large and complex (SOC, mixed signal)<br />

chips? How to determine and achieve the required extraction accuracy <strong>for</strong> a particular design<br />

situation? How is extraction accuracy measured? How can each extractor be compared and<br />

contrasted with some degree of confidence? Can circuit design techniques and/or tool<br />

methodologies be used to reduce the extraction ef<strong>for</strong>t? How should process variations or<br />

inductive effects can handled ? What's the best way to deal with the data volume problem?


DAC'99, page 430-435<br />

Mixed-Vth (MVT) CMOS Circuit Design Methodology <strong>for</strong> Low Power Applications<br />

Liqiong Wei, Zhanping Chen, and Kaushik Roy<br />

School of Electrical and Computer Engineering, Purdue University, W. Lafayette, IN 47907<br />

Yibin Ye and Vivek De<br />

Intel Corp., Hillsboro, OR 97124<br />

Abstract<br />

Dual threshold technique has been proposed to reduce leakage power in low voltage and low<br />

power circuits by applying a high threshold voltage to some transistors in non-critical paths,<br />

while a low-threshold is used in critical path(s) to maintain the per<strong>for</strong>mance. Mixed-Vth (MVT)<br />

static CMOS design technique allows different thresholds within a logic gate, thereby increasing<br />

the number of high threshold transistors compared to the gate-level dual threshold technique. In<br />

this paper, a methodology <strong>for</strong> MVT CMOS circuit design is presented. Different MVT CMOS<br />

circuit schemes are considered and three algorithms are proposed <strong>for</strong> the transistor-level<br />

threshold assignment under per<strong>for</strong>mance constraints. Results indicate that MVT CMOS design<br />

technique can provide about 20% more leakage reduction compared to the corresponding gatelevel<br />

dual threshold technique.<br />

References<br />

[1] J. M. C. Stork, “Technology Leverage <strong>for</strong> Ultra-Low Power In<strong>for</strong>mation Systems", Proceedings of the IEEE,<br />

Vol.83, No.4, pp. 607-618, 1995.<br />

[2] A. P. Chandrakasan, S. Sheng and R. W. Brodersen, “Low-Power CMOS Digital Design", IEEE Journal of<br />

Solid-State Circuits, Vol.27, No.4, pp.473, 1992.<br />

[3] S. Mutoh, et al., “1-V Power Supply High-Speed Digital Circuit Technology with Multithreshold-Voltage<br />

CMOS", IEEE Journal of Solid-State Circuits, Vol.30, No.8, pp. 847-854, 1995.<br />

[4] Z. Chen, C. Diaz, J. Plummer, M. Cao and W. Greene, “0.18um Dual Vt MOSFET Process and Energy-Delay<br />

Measurement", IEDM Digest, pp. 851, 1996.<br />

[5] L. Wei, Z. Chen, K. Roy, M.C. Johnson, Y. Ye and V. De, "Design and Optimization of Dual Threshold Circuits<br />

<strong>for</strong> Low Voltage Low Power Applications", IEEE Transactions on VLSI Systems, Vol.7, No. 1, pp. 16-24, 1999<br />

[6] N.Weste and K. Eshraghian, Principles of CMOS VLSI Design: a system perspective, Addison-Wesley<br />

Publishing Company, pp. 221-223, 1992<br />

[7] Q. Wang and S. Vrudhula, “Static Power Optimization of Deep Submicron CMOS Circuits <strong>for</strong> Dual Vt<br />

Technology", International Conference on Computer-Aided Design, pp. 490-494, 1998.<br />

[8] B.J. Sheu, D.L. Scharfetter, P.K. Ko, and M.C. Teng, “BSIM: Berkeley Short-Channel IGFET Model <strong>for</strong> MOS<br />

Transistors", IEEE J. Solid-State Circuits, SC-22, No.4, pp. 558-566, 1987.<br />

[9] M. Johnson, D. Somasekhar, and K. Roy, “Deterministic Estimation of Minimum and Maximum Leakage<br />

Conditions in CMOS Logic," IEEE Transactions on Computer-Aided Design of IC's, accepted <strong>for</strong> publication.


DAC'99, pages 436-441 Stand-by Power Minimization through Simultaneous<br />

Threshold Voltage Selection and Circuit Sizing<br />

Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Jingyan Zuo, Abhijit Dharchoudhury,<br />

Rajendran Panda, and David Blaauw<br />

Advanced Tools, Motorola Inc., Austin, TX<br />

Abstract<br />

We present a new approach <strong>for</strong> estimation and optimization of the average stand-by power<br />

dissipation in large MOS digital circuits. To overcome the complexity of state dependence in<br />

average leakage estimation, we introduce the concept of "dominant leakage states" and use state<br />

probabilities. Our method achieves speed-ups of 3 to 4 orders of magnitude over exhaustive<br />

SPICE simulations while maintaining accuracies within 9% of SPICE. This accurate estimation<br />

is used in a new sensitivity-based leakage and per<strong>for</strong>mance optimization approach <strong>for</strong> circuits<br />

using dual Vt processes. In tests on a variety of industrial circuits, this approach was able to<br />

obtain 81-100% of the per<strong>for</strong>mance achievable with all low Vt transistors, but with 1/3 to 1/6 the<br />

stand-by current.<br />

Keywords: Low-power-design, Dual-Vt, Leakage<br />

References<br />

[1] N. Rohrer, et al. “A 480MHz RISC microprocessor in a 0.12 um Leff CMOS technology with copper<br />

interconnects”, IEEE International Solid-State Circuits Conference, 1998.<br />

[2] Y. Oowaki, et al., “A Sub-0.1um Circuit Design with Substrate- over-Biasing”, ISSCC, pages88, February 1998.<br />

[3] J. Kao, A. Chandrakasan, D. <strong>An</strong>toniadis, “Transistor sizing issues and tool <strong>for</strong> multi-threshold CMOS<br />

technology”, Proc. Design Automation Conference, 1997<br />

[4] L.Wei, et al. “Design and Optimization of Low Voltage High Per<strong>for</strong>mance Dual Threshold CMOS Circuits”,<br />

35th Design Automation Conference, 1998<br />

[5] Qi Wang, et al. “Static power optimization of deep submicron CMOS circuits <strong>for</strong> dual V t technology,” ICCAD<br />

1998.<br />

[6] Z. Chen, et al. “Estimation of Standby Leakage Power in CMOS Circuits Considering Accurate Modeling of<br />

Transistor Stacks”, ISLPED, 1998.<br />

[7] J. Halter and F.N. Najm, “A gate-level leakage power reduction method <strong>for</strong> ultra-low-power CMOS circuits,”<br />

Custom Integrated Circuit Conference, 1997.<br />

[8] P. Pant, et. al., “Device-circuit optimization <strong>for</strong> minimal energy and power consumption in CMOS random logic<br />

networks,” 34th Design Automation Conference, June 1997.<br />

[9] S.Ercolani, M.Favalli, M.Damiani, P.Olivo, B.Ricco. “Testability Measures in Pseudorandom Testing”, IEEE<br />

Trans. on CAD, 1992, v.11, n.6, pp.794-800.<br />

[10] J.P. Fishburn, et al., “TILOS: A posynomial programming approach to transistor sizing,” ICCAD, Nov 1985<br />

[11] A. Dharchoudhury, et al., “Fast and accurate timing simulation with regionwise quadratic models of MOS I-V<br />

characteristics,” ICCAD, Nov. 1994, pp190-194<br />

[12] A. Dharchoudhury, et. al., “Transistor-level sizing and timing verification of domino circuits in the PowerPC TM<br />

microprocessor,” ICCD, October 1997.


DAC'99, pages 442-445<br />

Leakage Control With <strong>Efficient</strong> Use of Transistor Stacks in Single Threshold CMOS<br />

Mark C. Johnson<br />

Rose-Hulman Institute of Technology, Terre Haute, IN 47803-3999, USA<br />

Dinesh Somasekhar, Kaushik Roy<br />

Purdue University, West Lafayette, IN 47907-1285, USA<br />

ABSTRACT<br />

The state dependence of leakage can be exploited to obtain modest leakage savings in CMOS<br />

circuits. However, one can modify circuits considering state dependence and achieve larger<br />

savings. We identify a low leakage state and insert leakage control transistors only where<br />

needed. Leakage levels are on the order of 35% to 90% lower than those obtained by state<br />

dependence alone.<br />

REFERENCES<br />

[1] Chen, Z., Johnson, M., Wei, L., and Roy, K. Estimation of standby leakage power in CMOS circuits considering<br />

accurate modeling of transistor stacks. Proceedings of the Symposium on Low Power Design and Electronics<br />

(1998), 239-244.<br />

[2] Cormen, T.H., Leiserson, G.E., and Rivest, R.L. Introduction to Algorithms, The MIT Press, Cambridge, MA,<br />

1990.<br />

[3] Gil, J., Je, M., Lee, J., and Shin, H. A high speed and low power SOI inverter using active body bias.<br />

Proceedings of the Symposium on Low Power Electronics and Design.(1998), 59-63.<br />

[4] Halter, J.P., and Najm, F. A gate-level leakage power reduction method <strong>for</strong> ultra-low-power CMOS circuits.<br />

Proceedings of the IEEE Custom Integrated Circuits Conference (1997), 475-478.<br />

[5] Johnson, M.C., Somasekhar, D., and Roy, K. A model <strong>for</strong> leakage control by MOS transistor stacking. Tech.<br />

Rep. TRECE 97-12, Purdue University, School of Electrical and Computer Engineering, 1997.<br />

[6] Kobayashi, T., and Sakurai, T. Self-adjusting threshold-voltage scheme (SATS) <strong>for</strong> low-voltage high-speed<br />

operation. Proceedings IEEE Custom Integrated Circuits Conference (1994), 271-274.<br />

[7] Kuroda, T., et al. A 0.9v 150MHz 10 mW 4mm 2 2-D discrete cosine trans<strong>for</strong>m core processor with variablethreshold-voltage<br />

scheme. Proceedings IEEE International Solid-State Circuits Conference (1996), 166-167.<br />

[8] Maxwell, P.C., and Rearick, J.R. A simulation-based method <strong>for</strong> estimating defect-free IDDQ. Digest of Papers,<br />

IEEE International Workshop on IDDQ Testing (1997), 8O-84.<br />

[9] Mutoh, S., et al. 1-v power supply high-speed digital circuit technology with multithreshold-voltage CMOS.<br />

IEEE Journal of Solid-State Circuits, vol.30, no.8 (Aug. 1995), 847-853.<br />

[10] Shigematsu, S., et. al. A 1-V high-speed MTCMOS circuit scheme <strong>for</strong> power-down applications. IEEE<br />

Symposium on VLSI Circuits Digest of Technical Papers (1995), 125-126.<br />

[11] Vieri, C., et al. SOIAS: Dynamically variable threshold SOI with active substrate. Proceedings of the<br />

Symposium on Low Power Electronics (1995), 86-87.<br />

[12] Wei, L., Chen, Z., Roy, K., Johnson, M.C., Ye, Y., and De, V. Design and optimization of dual threshold<br />

circuits <strong>for</strong> low voltage low power applications. IEEE Transactions on Very Large Scale Integration (VLSI)<br />

Systems, vol.7, no.1 (March 1999), 16-24.


DAC'99, pages 446-451<br />

A Practical Gate Resizing Technique Considering Glitch Reduction <strong>for</strong> Low Power Design<br />

Masanori Hashimoto, Hidetoshi Onodera and Keikichi Tamaru<br />

Department of Communications and Computer Engineering,Kyoto University<br />

Abstract<br />

We propose a method <strong>for</strong> power optimization that considers glitch reduction by gate sizing based<br />

on the statistical estimation of glitch transitions. Our method reduces not only the amount of<br />

capacitive and short-circuit power consumption but also the power dissipated by glitches which<br />

has not been exploited previously. The effect of our method is verified experimentally using 8<br />

benchmark circuits with a 0.6 µm standard cell library. Our method reduces the power<br />

dissipation from the minimum-sized circuits further by 9.8% on average and 23.0% maximum.<br />

We also verify that our method is effective under manufacturing variation.<br />

References<br />

[1] A. Shen, A. Ghosh, S. Devadas and K. Keutzer, ‘‘On average power dissipation and random pattern testability of<br />

CMOS combinational logic networks,’’ Proc. ICCAD, pp. 402--407, 1992.<br />

[2] D. Brand and C. Visweswariah, ‘‘Inaccuracies in power estimation during logic synthesis,’’ Proc. ICCAD, pp.<br />

388--394, 1996.<br />

[3] F. N. Najm and M. Y. Zhang, ‘‘Extreme delay sensitivity and the worst-case switching activity in VLSI<br />

circuits,’’ Proc. DAC, pp. 623--627, 1995.<br />

[4] Y. Tamiya and Y. Matsunaga, ‘‘LP based cell selection with constraints of timing, area, and power<br />

consumption,’’ Proc. ICCAD, pp. 378--381, 1994.<br />

[5] M. Borah, R. M. Owens, and M. J. Irwin, ‘‘Transistor sizing <strong>for</strong> minimizing power consumption of CMOS<br />

circuits under delay constraint,’’ Proc. ISLPD, pp. 167--172, 1995.<br />

[6] H.-R. Lin and T. T. Hwang, ‘‘Power reduction by gate sizing with path-oriented slack calculation,’’ Proc. ASP-<br />

DAC, pp. 7--12, 1995.<br />

[7] S. S. Sapatnekar and W. Chuang, ‘‘Power vs. delay in gate sizing: Conflicting objectives?,’’ Proc. ICCAD, pp.<br />

463--466, 1995.<br />

[8] Y. Je Lim and M. Soma, ‘‘Statistical estimation of delaydependent switching activities in embedded CMOS<br />

combinational circuits,’’ IEEE Trans. on VLSI Systems, vol. 5, no. 3, pp. 309--319, September 1997.<br />

[9] M. Hashimoto, H. Onodera, and K. Tamaru, ‘‘A power optimization method considering glitch reduction by gate<br />

sizing,’’ Proc. ISLPED, pp. 221--226, 1998.<br />

[10] F. N. Najm, ‘‘Transition density, a stochastic measure of activity in digital circuits,’’ Proc. DAC, pp. 644--649,<br />

1991.<br />

[11] M. Berkelaar, ‘‘Statistical delay calculation, a linear time method,’’ Proc. TAU, pp. 15--24, 1997.<br />

[12] Synopsys Inc., Desigin Compiler Reference Manual, 1998.<br />

[13] Synopsys Inc., PowerMill Reference Manual, 1998.


DAC'99, pages 452-459<br />

Gradient-<strong>Based</strong> Optimization of Custom Circuits Using a Static-Timing Formulation<br />

A. R. Conn*, I. M. Elfadel*, W. W. Molzen, Jr.*, P. R. O’Brien**, P. N. Strenski*,<br />

C. Visweswariah*, C. B. Whan*<br />

*IBM Thomas J. Watson Research Center, Yorktown Heights, NY 10598<br />

**IBM Electronic Design Automation, Austin, TX 78758<br />

Abstract<br />

This paper describes a method of optimally sizing digital circuits on a static-timing basis. All<br />

paths through the logic are considered simultaneously and no input patterns need be specified by<br />

the user. The method is unique in that it is based on gradient-based, nonlinear optimization and<br />

can accommodate transistor-level schematics without the need <strong>for</strong> pre-characterization. It<br />

employs efficient time-domain simulation and gradient computation <strong>for</strong> each channel-connected<br />

component. A large-scale, general-purpose, nonlinear optimization package is used to solve the<br />

tuning problem. A prototype tuner has been developed that accommodates combinational circuits<br />

consisting of parameterized library cells. Numerical results are presented.<br />

References<br />

[1] W. Nye, D. C. Riley, A. Sangiovanni-Vincentelli, and A. L. Tits, “DELIGHT. SPICE: <strong>An</strong> optimization-based<br />

system <strong>for</strong> the design of integrated circuits,” IEEE Transactions on Computer-Aided Design of ICs and Systems, vol.<br />

CAD-7, pp. 501–519,April 1988.<br />

[2] A. R. Conn, R. A. Haring,C. Visweswariah, and C.W. Wu, “Circuit optimization via adjoint Lagrangians,” IEEE<br />

International Conference on Computer-Aided Design, pp. 281–288,November 1997.<br />

[3] A. R. Conn, P. K. Coulman, R. A. Haring, G. L. Morrill, C. Visweswariah, and C.W.Wu, “JiffyTune: circuit<br />

optimizationusing time-domainsensitivities,” IEEE Transactions on Computer-Aided Design of ICs and Systems,<br />

vol. 17, pp. 1292–1309, December 1998.<br />

[4] J. P. Fishburn and A. E. Dunlop, “TILOS: A posynomial programming approach to transistor sizing,” IEEE<br />

InternationalConference on Computer-Aided Design, pp. 326–328,November 1985.<br />

[5] W. C. Elmore, “The transient analysis of damped linear networks with particular regard to wideband amplifiers,”<br />

Journal of AppliedPhysics, vol. 19, no. 1, pp. 55–63, 1948.<br />

[6] P. Penfield and J. Rubinstein, “Signal delay in RC tree networks,” in Proceedings of the 2nd Caltech VLSI<br />

Conference, pp. 269–283,March 1981.<br />

[7] S. S. Sapatnekar, V. B. Rao, P. M. Vaidya, and S. M. Kang, “<strong>An</strong> exact solution to the transistor sizing problem<br />

<strong>for</strong> CMOS circuits using convex optimization,” IEEE Transactions on Computer-Aided Design of ICs and Systems,<br />

vol. CAD-12, pp. 1621–1634,November 1993.<br />

[8] A. Srinivasan, K. Chaudhary, and E. S. Kuh, “RITUAL: A per<strong>for</strong>mance driven placement algorithm <strong>for</strong> small<br />

cell ICs,” IEEE International Conference on Computer-Aided Design, pp. 48–51,November 1991.<br />

[9] A. R. Conn, N. I. M. Gould, and Ph. L. Toint, LANCELOT: A Fortran Package <strong>for</strong> Large-Scale Nonlinear<br />

Optimization (Release A). Springer Verlag, 1992.<br />

[10] C. Visweswariah and R. A. Rohrer, “Piecewise approximate circuit simulation,” IEEE Transactions on<br />

Computer-Aided Design of ICs and Systems, vol. 10, pp. 861–870, July 1991.<br />

[11] C. Visweswariah and J. A. Wehbeh, “Incremental event-driven simulation of digital FET circuits,” Proc. 1993<br />

Design Automation Conference, pp. 737–741, June 1993.<br />

[12] P. Feldmann, T. V. Nguyen, S. W. Director, and R. A. Rohrer, “Sensitivity computation in piecewise<br />

approximate circuit simulation,” IEEE Transactions on Computer-Aided Design of ICs and Systems, vol. 10, pp.<br />

171–183, February 1991.<br />

[13] A. R. Conn, N. I. M. Gould, and Ph. L. Toint, “Global convergence of a class of trust region algorithms <strong>for</strong><br />

optimization with simple bounds,” SIAM Journal on Numerical <strong>An</strong>alysis, vol. 25, pp. 433–460, 1988. See also same<br />

journal, pp. 764–767, volume 26, 1989.<br />

[14] A. R. Conn, N. I. M. Gould, and Ph. L. Toint, “A globally convergent augmented Lagrangian algorithm <strong>for</strong><br />

optimization with general constraints and simple bounds,” SIAM Journal on Numerical <strong>An</strong>alysis, vol. 28, no. 2, pp.<br />

545–572, 1991.


[15] A. R. Conn, L. N. Vicente, and C. Visweswariah, “Two-step algorithms <strong>for</strong> nonlinear optimization with<br />

structured applications,”Research Report RC21198(94689), IBM Research Division, T. J. Watson Research Center,<br />

Yorktown Heights, NY 10598, June 1998. Submitted to SIAM Journal on Optimization.<br />

[16] M. Ohlrich, C. Ebeling, E. Ginting, and L. Sather, “SubGemini: identifying subcircuits using a fast subgraph<br />

isomorphism algorithm,” Proc. 1993 Design Automation Conference, pp. 31–37, June 1993.<br />

[17] J. P. M. Silva and K. A. Sakallah, “GRASP–A new search algorithm <strong>for</strong> satisfiability,” IEEE International<br />

Conference on Computer-Aided Design, pp. 220–227, November 1996.<br />

[18] C. C. Douglas, D. A. George, and M. E. Henderson, “Object classes <strong>for</strong> numerical analysis,” in Proceedings of<br />

the second annual object-oriented numerics conference, pp. 32–49, Rogue Wave Software, Inc., Corvallis<br />

Oregon,April 1994.<br />

[19] A. R. Conn, R. A. Haring, and C. Visweswariah, “Noise considerations in circuit optimization,” IEEE<br />

International Conference on Computer-Aided Design, pp. 220–227, November 1998.


DAC'99, pages 460-465<br />

Simultaneous Circuit Partitioning/Clustering with Retiming <strong>for</strong> Per<strong>for</strong>mance Optimization<br />

Jason Cong, Honching Li, Chang Wu<br />

Department of Computer Science, University of Cali<strong>for</strong>nia, Los <strong>An</strong>geles, CA 90095<br />

Abstract<br />

Partitioning and clustering are crucial steps in circuit layout <strong>for</strong> handling large scale designs<br />

enabled by the deep submicron technologies. Retiming is an important sequential logic<br />

optimization technique <strong>for</strong> reducing the clock period by optimally repositioning flip flops [7]. In<br />

our exploration of a logical and physical co-design flow, we developed a highly efficient<br />

algorithm on combining retiming with circuit partitioning or clustering <strong>for</strong> clock period<br />

minimization. Compared with the recent result by Pan et al. [10] on quasi-optimal clustering with<br />

retiming, our algorithm is able to reduce both runtime and memory requirement by one order of<br />

magnitude without losing quality. Our results show that our algorithm can be over 1000X faster<br />

<strong>for</strong> large designs.<br />

References<br />

[1] J. Cong, H. Li, S. Lim, T. Shibuya, and D. Xu. Large Scale Circuit Partitioning With Loose/Stable Net Removal<br />

<strong>An</strong>d Signal Flow <strong>Based</strong> Clustering. In IEEE International Conference on CAD, pages 441-446, 1997.<br />

[2] J. Cong, H. Li, and C. Wu. Simultaneous Circuit Partitioning/Clustering with Retiming <strong>for</strong> Per<strong>for</strong>mance<br />

Optimization. UCLA-CSD 990019, Technique Report, March 1999.<br />

[3] T. H. Cormen, C. H. Leiserson, and R. L. Rivest. Introduction to Algorithms, chapter 25. The MIT Press, 1990.<br />

[4] C. Fiduccia and R. Matheyses. A Linear-Time Heuristic <strong>for</strong> Improving Network Partitions. In ACM/IEEE<br />

Design Automation Conference, pages 175-181, 1982.<br />

[5] L. Hagen and A. B. Kahng. New Spectral Methods <strong>for</strong> Ratio Cut Partitioning and Clustering. IEEE Trans. on<br />

Computer-Aided Design of Integrated Circuits <strong>An</strong>d Systems, 11(9):1074-1085, 1992.<br />

[6] E. L. Lawler, K. N. Levitt, and J. Turner. Module Clustering to Minimize Delay in Digital Networks. IEEE<br />

Trans. on Computers, 18:47-57, 1969.<br />

[7] C. E. Leiserson and J. B. Saxe. Retiming Synchronous Circuitry. Algorithmica, 6:5-35, 1991.<br />

[8] L. Liu, M. Kuo, C. K. Cheng, and T. C. Hu. Per<strong>for</strong>mance-Driven Partitioning using a Replication Graph<br />

<strong>Approach</strong>. In Prod. 32th ACM/IEEE Design Automation Conference, pages 206-210, 1995.<br />

[9] R. Murgai, R. K. Brayton, and A. Sangiovanni-Vincentelli. On Clustering <strong>for</strong> Minimum Delay/Area. In IEEE<br />

International Conference on CAD, pages 6-9, 1991.<br />

[10] P. Pan, A. K. Karandikar, and C. L. Liu. Optimal Clock Period Clustering <strong>for</strong> Sequential Circuits with<br />

Retiming. IEEE Trans. on Computer-Aided Design of Integrated Circuits <strong>An</strong>d Systems, 17(6):489-498, 1998.<br />

[11] Y. C. Wei and C. K. Cheng. Towards <strong>Efficient</strong> Hierarchical Designs by Ratio Cut Partitioning. In IEEE<br />

International Conference on CAD, pages 298-301, 1989.<br />

[12] H. Yang and D. F. Wong. Circuit Clustering <strong>for</strong> Delay Minimization under Area and Pin Constraints. In<br />

ED&TC, pages 65-70, 1995.


DAC'99, pages 466-471<br />

Wave Steering in YADDs: A Novel Non-Iterative Synthesis and Layout Technique<br />

Arindam Mukherjee 1 , Ranganathan Sudhakar 2 , Malgorzata Marek-Sadowska 1 , Stephen I. Long 1<br />

1 Dept. of ECE, University of Cali<strong>for</strong>nia, Santa Barbara, CA, USA<br />

2 Dept. of ECE, Stan<strong>for</strong>d University, Stan<strong>for</strong>d, CA, USA<br />

ABSTRACT<br />

In this paper we present a new synthesis and layout approach that avoids the normal iterations<br />

between synthesis, technology mapping and layout, and increases routing by abutment. It<br />

produces shorter and more predictable delays, and sometimes even layouts with reduced areas.<br />

This scheme equalizes delays along different paths, which makes low granularity pipelining a<br />

reality, and hence we can clock these circuits at much higher frequencies, compared to what is<br />

possible in a conventionally designed circuit. Since any circuit can be clocked at a fixed rate, this<br />

method does not require timing-driven synthesis. We propose the logic and layout synthesis<br />

schemes and algorithms, discuss the physical layout part of the process, and support our<br />

methodology with simulation results.<br />

References<br />

[1]. S. B. Akers, “A Rectangular Logic Array”, IEEE Trans. on Computers, vol. C-21, no.8, pp.848-856, August<br />

1972.<br />

[2] W.P.Burleson, M.Ciesielski,F.Klass and W.Liu, “Wave-Pipelining a Tutorial and Research Survey”; IEEE<br />

Transactions on VLSI Systems, Vol.6, No.3, Sep. 1998.<br />

[3]L.Cotten, “Maximum Rate Pipelined Systems”, Proc. AFIPS Spring Joint Comp. Conf., 1969.<br />

[4]. V. Bertacco et al, “Decision Diagrams and Pass Transistor Logic Synthesis”, Proc. of the ACM/IEEE Int’l<br />

Workshop on Logic Synthesis, pp. 1-5, May 1997.<br />

[5]. R.E. Bryant, “Graph-based algorithms <strong>for</strong> Boolean functions manipulation”, IEEE Trans. Computers, vol. C-35,<br />

pp. 677-691, Aug. 1986<br />

[6]. P. Buch et al, “On Synthesizing Pass Transistor Networks”, Proc. of the ACM/IEEE Int’l Workshop on Logic<br />

Synthesis, pp. 1-8, May 1997.<br />

[7]. M. Chrzanowska-Jeske, Z. Wang and Y.Xu, “A regular representation <strong>for</strong> mapping to fine-grain locallyconnected<br />

FPGAs”, Proc. Int. Symposium on Circuits and Systems, 1997.<br />

[8]. M. Chrzanowska-Jeske and Z.Wang “Mapping of symmetric and partially-symmetric functions to the CA-type<br />

FPGAs”, Proc.Midwest’ 95, pp.290-293, 1995.<br />

[9]W.K.C.Lam, R.K.Brayton and A.L.Sangiovanni-Vincentelli, “Valid Clock Frequencies and Their Computation in<br />

Wavepipelined Circuits”, IEEE Transactions on CAD of IC and Systems, Vol. 15, No.7, July 1996.<br />

[10] P.S.Lassen, S.I.Long, and K.R.Nary, “Ultra-Low Power GaAs MESFET MSI Circuits Using Two-Phase<br />

Dynamic FET Logic”, IEEE J. Solid State Circuits, Vol.28, pp.1038-1045, October 1993.<br />

[11]. M. Perkowski, E.Pierzchala and R.Drechsler, “Layout driven synthesis <strong>for</strong> a submicron technology: Mapping<br />

expansions to fat regular lattices”, Proc. Int. Symp. on Circuits and Systems, 1997.<br />

[12]. M. Perkowski, L.Jozwiak and R.Drechsler, “Two hierarchies of generalized Kronecker trees, <strong>for</strong>ms, decision<br />

diagrams and regular layouts”, Proc. 3rd International Workshop on Applications of the Reed-Muller Expansion in<br />

Circuit Design, (Reed-Muller’97), Sept. 19-20, 1997, Ox<strong>for</strong>d, UK.<br />

[13] J.M.Rabaey, “Digital Integrated Circuits: A Design Perspective”, Section 3.3.3 and Chapter 8, Prentice Hall,<br />

1996.<br />

[14] M. Shamanna et al, “Multiple-input, Multiple-output Pass Transistor Logic”, Int’l J. Electronics, vol. 79, no. 1,<br />

pp. 33-45.<br />

[15] R.Sudhakar, “YADDA: Layout Synthesis using Pass Transistor Logic”, MS Thesis, UCSB, 1998.<br />

[16] K.Yano et al, “A 3.8ns CMOS 16x16b Multiplier using Complementary Pass-Transistor Logic”, IEEE J.Solid-<br />

State Circuits, vol.25, no.2, pp.388-395, April, 1990.<br />

[17] In<strong>for</strong>mation Sciences Institute, MOS Implementation Service www.mosis.com Bloomington IN, 1995.


DAC'99, pages 472-478<br />

MERLIN: Semi-Order-Independent Hierarchical Buffered<br />

Routing Tree Generation Using Local Neighborhood Search<br />

Amir H. Salek, Jinan Lou, Massoud Pedram<br />

Department of Electrical Engineering – Systems, University of Southern Cali<strong>for</strong>nia<br />

Los <strong>An</strong>geles, Cali<strong>for</strong>nia 90089<br />

ABSTRACT<br />

This paper presents a solution to the problem of per<strong>for</strong>mance-driven buffered routing tree<br />

generation in electronic circuits. Using a novel bottom-up construction algorithm and a local<br />

neighborhood search strategy, this method finds the best solution of the problem in an<br />

exponential size solution sub-space in polynomial time. The output is a hierarchical buffered<br />

rectilinear Steiner routing tree that connects the driver of a net to its sink nodes. The two variants<br />

of the problem, i.e. maximizing the driver required time subject to a total buffer area constraint<br />

and minimizing the total buffer area subject to a minimum driver required time constraint, are<br />

handled by propagating three-dimensional solution curves during the construction phase.<br />

Experimental results prove the effectiveness of this technique compared to the other solutions <strong>for</strong><br />

this problem.<br />

REFERENCES<br />

[Be57] R. Bellman, Dynamic Programming, Princeton Univ. Press, 1957.<br />

[CHKM96] J. Cong, L. He, C. Koh, and P. Madden, “Per<strong>for</strong>mance optimization of VLSI interconnect layout,” In<br />

Integration, the VLSI Journal 21, pp. 1-94, 1996.<br />

[CLZ93] J. Cong, K. Leung, and D. Zhou, “Per<strong>for</strong>mance-driven interconnect design based on distributed RC delay<br />

model,” In Proceedings of the 30th Design Automation Conference, pp. 606-611, 1993.<br />

[El48] W. C. Elmore, “The transient response of damped linear network with particular regard to wideband<br />

amplifiers,” In Journal of Applied Physics 19, pp. 55-63, 1948.<br />

[Gr92] L. K. Grover, “Local search and the local structure of NP-complete problems,” In Operations Research<br />

Letters 12, pp. 235-243, Oct. 1992.<br />

[Gi90] L.P.P.P. van Ginneken, “Buffer placement in distributed RC-tree networks <strong>for</strong> minimal Elmore delay,“ In<br />

Proceedings of International Symposium on Circuits and Systems, pp. 865-868, 1990.<br />

[GJ79] M. R. Garey and D. S. Johnson, Computers and Intractability: A Guide to the Theory of NP-Completeness,<br />

W. H. Freeman, SF, CA, 1979.<br />

[Ha66] M. Hanan, “On Steiner’s problem with rectilinear distance,” SIAM Journal of Applied Mathematics, No. 14,<br />

pp. 255-265, 1966.<br />

[LCLH96] J. Lillis, C. K. Cheng, T. Y. Lin, and C. Ho, “New per<strong>for</strong>mance driven routing techniques with explicit<br />

area/delay tradeoff and simultaneous wire sizing,” In Proceedings of the 33th Design Automation Conference, pp.<br />

395-400, 1996.<br />

[LSP98] J. Lou, A. H. Salek, and M. Pedram, “<strong>An</strong> integrated flow <strong>for</strong> technology remapping and placement of subhalf-micron<br />

circuits,” In Proceedings of Asia and South Pacific Design Automation Conference, pp. 295-300, 1998.<br />

[OC96a] T. Okamoto, and J. Cong, “Buffered Steiner tree construction with wire sizing <strong>for</strong> interconnect layout<br />

optimization,” In Proceedings of International Conference on Computer-Aided Design, pp. 44-49, 1996.<br />

[OC96b] T. Okamoto, and J. Cong, “Interconnect layout optimization by simultaneous Steiner tree construction and<br />

buffer insertion,” In Proceedings of the 5’th ACM/SIGDA physical Design Workshop, pp. 1-6, 1996.<br />

[SLP98] A. H. Salek, J. Lou, and M. Pedram, “A simultaneous routing tree construction and fanout optimization<br />

algorithm,” In Proceedings of International Conference on Computer-Aided Design, 1998.<br />

[SSLM92] E. M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. R. Stephan, R.<br />

K. Brayton, and A. Sangiovanni-Vincentelli, ”SIS: A system <strong>for</strong> sequential circuit synthesis,” Memorandum No.<br />

UCB/ERL M92/41, Electronics Research Laboratory, College of Engineering, University of Cali<strong>for</strong>nia, Berkeley,<br />

CA 94720, May 1992.<br />

[To90] H. Touati, “Per<strong>for</strong>mance-oriented technology mapping,” Ph.D. thesis, University of Cali<strong>for</strong>nia, Berkeley,<br />

Technical Report UCB/ERL M90/109, November 1990.


[WM89] W.S. Wong, and R.J.T. Morris, “A new approach to choosing initial points in local search,” In In<strong>for</strong>mation<br />

Processing Letters 30, pp. 67-72, January 1989.<br />

[Ya92] M. Yannakakis, “The <strong>An</strong>alysis of Local Search Problems and Their Heuristics,” In Proceedings of 7’th<br />

<strong>An</strong>nual Symposium on Theoretical Aspects of Computer Science, pp. 298-311, 1990.


DAC'99, pages 479-484<br />

Buffer Insertion With Accurate Gate and Interconnect Delay Computation<br />

Charles J. Alpert, <strong>An</strong>irudh Devgan<br />

IBM Austin Research Laboratory, Austin, TX 78717<br />

Stephen T. Quay<br />

IBM Server Group, Austin, TX 78717<br />

Abstract<br />

Buffer insertion has become a critical step in deep submicron design, and several buffer<br />

insertion/sizing algorithms have been proposed in the literature. However, most of these methods<br />

use simplified interconnect and gate delay models. These models may lead to inferior solutions<br />

since the optimized objective is only an approximation <strong>for</strong> the actual delay. We propose to<br />

integrate accurate wire and gate delay models into Van Ginneken's buffer insertion algorithm<br />

[18] via the propagation of moments and driving point admittances up the routing tree. We have<br />

verified the effectiveness of our approach on an industry design.<br />

References<br />

[1] C. J. Alpert and A. Devgan, “Wire Segmenting For Improved Buffer Insertion”, IEEE/ACM DAC,1997, pp. 588-<br />

593.<br />

[2] C. J. Alpert, A. Devgan, and S. T. Quay, “Buffer Insertion <strong>for</strong> Noise and Delay Optimization”, DAC, 1998, pp.<br />

362-367.<br />

[3] C. C. N. Chu and D. F. Wong, “Closed Form Solution to Simultaneous Buffer Insertion/Sizing andWire Sizing”,<br />

International Symposium on Physical Design, 1997, pp. 192-197.<br />

[4] C. C. N. Chu and D. F. Wong, “A New <strong>Approach</strong> to Simultaneous Buffer Insertion and Wire Sizing”,<br />

IEEE/ACM Intl. Conference on Computer-Aided Design, 1997, pp. 614-621.<br />

[5] J. Cong and C.-K. Koh, “Interconnect Layout Optimization Under Higher-Order RLC Model”, ICCAD, 1997,<br />

713-720.<br />

[6] S. Dhar and M. A. Franklin, “Optimum Buffer Circuits <strong>for</strong> Driving Long Uni<strong>for</strong>m Lines”, IEEE Journal of<br />

Solid-State Circuits, 26(1), 1991, pp. 32-40.<br />

[7] W. C. Elmore, “The Transient Response of Damped Linear Network with Particular Regard to Wideband<br />

Amplifiers”, J. Applied Physics, 19, 1948, pp. 55-63.<br />

[8] R. Gupta, B. Krauter, B. Tutuianu, J. Willis and L. T. Pileggi, “The Elmore Delay as a Bound <strong>for</strong> RC Trees with<br />

Generalized Input Signals”, DAC, 1995, pp. 364-369.<br />

[9] J. Lillis, C.-K. Cheng and T.-T. Y. Lin, “Optimal Wire Sizing and Buffer Insertion <strong>for</strong> Low Power and a<br />

Generalized Delay Model”, IEEE J. Solid-State Circuits, 31(3), 1996, 437-447.<br />

[10] S. Lin and M. Marek-Sadowska, “A Fast and <strong>Efficient</strong> Algorithm <strong>for</strong> Determining Fanout Trees in Large<br />

Networks”, Proc. Euro. Conf. on Design Automation, 1991, pp. 539-544.<br />

[11] F.-J. Liu, J. Lillis and C.-K. Cheng, “Design and Implementation of a Global Router <strong>Based</strong> on a New Layout-<br />

Driven Timing Model with Three Poles”, ISCAS, 1997, pp. 1548-1551.<br />

[12] P. R. O’Brien and T. L. Savarino, “Modeling the Driving-Point Characteristic of Resistive Interconnect <strong>for</strong><br />

Accurate Delay Estimation”, IEEE/ACM ICCAD, 1989, pp. 512-515.<br />

[13] T. Okamoto and J. Cong, “Interconnect Layout Optimization by Simultaneous Steiner Tree Construction and<br />

Buffer Insertion”, ACM/SIGDA Physical Design Workshop, 1996, pp. 1-6.<br />

[14] L. T. Pillage and R. A. Rohrer. AsymptoticWave<strong>for</strong>m Evaluation <strong>for</strong> Timing <strong>An</strong>alysis. IEEE TCAD, 9(4), 1990,<br />

352-366.<br />

[15] J. Qian, S. Pulllela, and L. Pillage, “Modeling the “Effective Capacitance” <strong>for</strong> the RC Interconnect of CMOS<br />

Gates”, IEEE Trans. CAD,. 13(12), 1994, pp. 1526-1535.<br />

[16] C. Ratzlaff and L. T. Pillage, “RICE: Rapid Interconnect circuit Circuit Evaluator using Asymptotic Wave<strong>for</strong>m<br />

Evaluation”, IEEE Trans. on CAD, pp. 763-776, June 1994.<br />

[17] B. Tutuianu, F. Dartu, and L. Pileggi, “Explicit RC-Circuit Delay Approximation <strong>Based</strong> on the First Three<br />

Moments of the Impulse Response”, DAC, 1996, pp. 611-616.


[18] L. P. P. P. van Ginneken, “Buffer Placement in Distributed RC-tree Networks <strong>for</strong> Minimal Elmore Delay”, Intl.<br />

Symp.Circuits and Systems, 1990, pp. 865-868.


DAC'99, pages 485-490<br />

Reducing Cross-Coupling among Interconnect Wires in Deep-Submicron Datapath Design<br />

Joon-Seo Yim*, Chong-Min Kyung**<br />

*DSP Group, In<strong>for</strong>mation Technology Lab., LG Corporate Institute of Technology,<br />

16, Woomyeon-Dong, Seocho-Gu, Seoul, 137-140, Korea<br />

**Department of Electrical Engineering, KAIST, 373-1, Kusong-Dong, Yusong-Gu,<br />

Taejon, 305-701, Korea<br />

Abstract<br />

As the CMOS technology enters the deep submicron design era, the lateral inter-wire coupling<br />

capacitance becomes the dominant part of load capacitance and makes RC delay on the bus<br />

structures very data-dependent. Reducing the cross-coupling capacitance is crucial <strong>for</strong> achieving<br />

high-speed as well as lower power operation. In this paper, we propose two interconnect layout<br />

design methodologies <strong>for</strong> minimizing the coupling effect" in the design of full-custom datapath.<br />

Firstly, we describe the control signal ordering scheme which was shown to minimize the<br />

switching power consumption by 10% and wire delay by 15% <strong>for</strong> a given set of benchmark<br />

examples. Secondly, a track assignment algorithm based on evolutionary programming was used<br />

to minimize the cross-coupling capacitance. Experimental results have shown that the chip<br />

per<strong>for</strong>mance improvement as much as 40% can be obtained using the proposed interconnect<br />

schemes in various stages of the datapath layout optimization.<br />

References<br />

[1] Semiconductor Industry Association, National Technology Roadmap <strong>for</strong> Semiconductors, 1994<br />

[2] A.B.Kang et al., “Interconnect Tuning Strategies <strong>for</strong> High-Per<strong>for</strong>mance ICs", Proc. DATE, pp.471-478, 1998<br />

[3] D.Li et al., “A Repeater Optimization Methodology <strong>for</strong> Deep Submicron, High-Per<strong>for</strong>mance Processors", Proc.<br />

ICCD, pp.726-731, 1997<br />

[4] C.D.Kibler, Personal communication on “Interconnect design", SandCraft Inc. 1997<br />

[5] K.Chaudhary, A.Onozawa, and E.S.Kuh, “A spacing algorithm <strong>for</strong> per<strong>for</strong>mance enhancement and cross-talk<br />

reduction", Proc. ICCAD, pp.697-702, 1993<br />

[6] T. Gao and C.L.Liu “Minimum Crosstalk Channel Routing", IEEE Trans. CAD-15, pp.465-474, May. 1996<br />

[7] K.S.Jhang et.al., “COP: A Crosstalk OPtimizer <strong>for</strong> Gridded Channel Routing", IEEE Trans. CAD-15, pp.424-<br />

429, Apr. 1996<br />

[8] A.Vittal and M.Marek-Sadowska, “Crosstalk Reduction <strong>for</strong> VLSI", IEEE Trans. CAD-16, no.3, pp.290-298,<br />

March 1997<br />

[9] T.Xue et al., , “Post global routing crosstalk synthesis", IEEE Trans. CAD-16, no.12, pp.1418-1430, Dec. 1997<br />

[10] A.Onozawa et al., , “Per<strong>for</strong>mance driven Spacing Algorithm Using Attractive and Repulsive Constraints <strong>for</strong><br />

Submicron LSI's", IEEE Trans. CAD-14, no.6 pp.707-719, Jun. 1995<br />

[11] H.Zhou and D.F.Wong, “Global Routing with crosstalk constraints", Proc. 35th DAC, pp.374-377, June, 1998<br />

[12] H.-P.Tseng, L.Scheffer, and C.Sechen, “Timing and Crosstalk Driven Area Routing", Proc. 35th DAC, pp.378-<br />

381, June, 1998<br />

[13] S.S.Lai and W.Hwang, “Design and Implementation of Differential Cascode Voltage Switch with Pass-<br />

Gate(DCVSPG) Logic <strong>for</strong> High-Per<strong>for</strong>mance Digital Systems", IEEE JSSC , Vol.32, No.4, pp.563-573, April, 1997<br />

[14] D.Carlson, et al., “Multimedia Extension <strong>for</strong> a 550-MHz RISC Microprocessor", IEEE JSSC , Vol.32, No.11,<br />

pp.1618-1624, Nov., 1997<br />

[15] A.Hashimoto and J.Stevens, “Wire Routing by Optimizing Channel Assignment within Large Apertures", Proc.<br />

8th DAC, pp.155-169, June, 1971<br />

[16] C.Sechen,”<strong>An</strong> improved simulated annealing algorithm <strong>for</strong> row-based placement", Proc. ICCAD, pp.478-481,<br />

1987<br />

[17] Z.Michalewicz, “Genetic Algorithms + Data Structures = Evolution Programs", Springer-Verlag, pp.16-17,<br />

1992


[18] C.M. Kyung et al., “HK386: <strong>An</strong> x86-Compatible 32bit CISC Microprocessor", Proc. ASP-DAC '97, pp.661-<br />

662, 1997<br />

[19] J.S.Yim et al., “A C-<strong>Based</strong> RTL Design Verification Methodology <strong>for</strong> Complex Microprocessor", Proc. 34th<br />

DAC, pp.83-88, June, 1997


DAC'99, pages 491-496<br />

A Novel VLSI Layout Fabric <strong>for</strong> Deep Sub-Micron Applications<br />

Sunil P. Khatri, Amit Mehrotra, Robert K. Brayton, Alberto Sangiovanni-Vincentelli,<br />

Ralph H.J.M. Otten<br />

Abstract<br />

We propose a new VLSI layout methodology which addresses the main problems faced in Deep<br />

Sub-Micron (DSM) integrated circuit design. Our layout "fabric" scheme eliminates the<br />

conventional notion of power and ground routing on the integrated circuit die. Instead, power<br />

and ground are essentially "pre-routed" all over the die. By a clever arrangement of<br />

power/ground and signal pins, we almost completely eliminate the capacitive effects between<br />

signal wires. Additionally, we get a power and ground distribution network with a very low<br />

resistance at any point on the die. <strong>An</strong>other advantage of our scheme is that the arrangement of<br />

conductors ensures that on-chip inductances are uni<strong>for</strong>mly negligible. Finally, characterization of<br />

the circuit delays, capacitances and resistances becomes extremely simple in our scheme, and<br />

needs to be done only once <strong>for</strong> a design.<br />

We show how the uni<strong>for</strong>m parasitics of our fabric give rise to a reliable and predictable design.<br />

We have implemented our scheme using public domain layout software. Preliminary results<br />

show that it holds much promise as the layout methodology of choice in DSM integrated circuit<br />

design.<br />

References<br />

[1] “The National Tecnology Roadmap <strong>for</strong> Semiconductors.” http://notes.sematech.org/97melec.htm, 1997.<br />

[2] P. D. Fisher, “Clock Cycle Estimation <strong>for</strong> Future Microprocessor Generations,” tech. rep., SEMATECH, 1997.<br />

[3] “Physical Design Modelling and Verification Project (SPACE Project).” http://cas.et.tudelft.nl/research/<br />

space/html.<br />

[4] B. A. Gieseke et al., “A 600MHz Superscalar RISC Microprocessor with Out-of-Order Execution,” in Digest of<br />

Technical Papers, International Solid State Circuits Conference, 1997.<br />

[5] A. Rubio, N. Itazaki, and K. Kinoshita, “<strong>An</strong> approach to the analysis and detection of cross-talk faults in digital<br />

VLSI circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 13, pp. 387–<br />

95, March 1994.<br />

[6] D. Kirkpatrick and A. Sangiovanni-Vincentelli, “Digital Sensitivity: Predicting signal interaction using<br />

functional analysis,” in Proceedings of the International Conference on Computer-Aided Design, pp. 536–41, Nov<br />

1996.<br />

[7] D. Kirkpatrick and A. Sangiovanni-Vincentelli, “Techniques <strong>for</strong> cross-talk avoidance in the physical design of<br />

high-per<strong>for</strong>mance digital systems,” in Proceedings of the International Conference on Computer-Aided Design, pp.<br />

616–9, Nov 1994.<br />

[8] S. Y. Liao, Microwave Devices and Circuits. Prentice-Hall, 1980.<br />

[9] “<strong>An</strong>alysis of Silicon Inductors and Trans<strong>for</strong>mers <strong>for</strong> ICs.” http://kabuki.eecs.berkeley.edu/_niknejad/doc/asitic<br />

doc.html.<br />

[10] R. K. Brayton, “Logic Synthesis <strong>for</strong> Ultra Deep Sub-Micron (UDSM),” in Proceedings of the 35th Design<br />

Automation Conference, 1998.<br />

[11] J. Reed, M. Santomauro, and A. Sangiovanni-Vincentelli, “A new gridless channel router: Yet another channel<br />

router the second (YACR-II),” in Digest of Technical Papers International Conference on Computer-Aided Design,<br />

1984.<br />

[12] G. T. Hamachi, R. N. Mayo, and J. K. Ousterhout, “Magic: A VLSI Layout system,” in 21st Design Automation<br />

Conference Proceedings, 1984.<br />

[13] E. M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. R. Stephan, R. K.<br />

Brayton, and A. L. Sangiovanni-Vincentelli, “SIS: A System <strong>for</strong> Sequential Circuit Synthesis,” Tech. Rep.<br />

UCB/ERL M92/41, Electronics Research Laboratory, Univ. of Cali<strong>for</strong>nia, Berkeley, CA 94720, May 1992.


[14] A. Casotto, ed., Octtools-5.1 Manuals, (Electronics Research Laboratory, College of Engineering, University of<br />

Cali<strong>for</strong>nia, Berkeley, CA 94720), University of Cali<strong>for</strong>nia at Berkeley, Sept. 1991.<br />

[15] C. Sechen and A. Sangiovanni-Vincentelli, “The TimberWolf Placement and Routing Package,” IEEE Journal<br />

of Solid-State Circuits, 1985.<br />

[16] D. Sylvester and K. Keutzer, “Getting to the bottom of deep submicron,” in Proceedings of the International<br />

Conference on Computer-Aided Design, 1998. To Appear.<br />

[17] S. Yamashita, H. Sawada, and A. Nagoya, “A new method to express functional permissibilities <strong>for</strong> LUT based<br />

FPGAs and its applications,” in Proceedings of the International Conference on Computer-Aided Design, 1996.<br />

[18] R. Brayton, “Understanding SPFDs: A new method <strong>for</strong> specifying flexibility,” in Workshop Notes,<br />

International Workshop on Logic Synthesis, 1997.


DAC'99, pages 497-501<br />

Improved Delay Prediction <strong>for</strong> On-Chip Buses.<br />

Real G. Pomerleau, Paul D. Frazon, Griff L. Bilbro<br />

North Carolina State University, Raleigh, NC, 27695-7914<br />

ABSTRACT<br />

In this paper, we introduce a simple procedure to predict wiring delay in bi-directional buses and<br />

a way of properly sizing the driver <strong>for</strong> each of its port. In addition, we propose a simple<br />

calibration procedure to improve its delay prediction over the Elmore delay of the RC tree. The<br />

technique is fast, accurate, and ideal <strong>for</strong> implementation in floorplanner during behavioral<br />

synthesis.<br />

Keywords: RC wiring delay, High-Level Synthesis, Floorplanning, Buffer Optimization,<br />

Interconnect optimization.<br />

REFERENCES<br />

[1] Bakoglu H. B., “Circuits, Interconnections, and Packaging <strong>for</strong> VLSI”, Addison-Wesley Publishing Company,<br />

1990.<br />

[2] Choi J-S, and Lee K. “Design of CMOS Buffer <strong>for</strong> Minimum Power-Delay Product”, IEEE Journal of Solid-<br />

State Circuits, 29(9):1142-1145, 1994.<br />

[3] Cong J., He L., Khoo K-Y, Koh C-K, Pan Z. “Interconnect Design <strong>for</strong> Deep Submicron ICs”, IEEE/ACM<br />

International Conference on Computer-Aided Design, 478-485, 1997<br />

[4] Deutsche A., et al, “When are Transmission-Line Effects Important <strong>for</strong> On-Chip Interconnections”, 47th<br />

Electronic Components & Technology Conference, 704-711, 1997.<br />

[5] Li N., Haviland G., Tuszynski A., “CMOS Tapered Buffer”, IEEE Journal of Solid-State Circuits, 25(4):1005-<br />

1008, 1990.<br />

[6] Lynch W., “Power Supply Distribution and Other Wiring Issues <strong>for</strong> Deep-Submicron ICs”, NCSU VLSI Seminar,<br />

1997.<br />

[7] Prabhakaran P., and Banerjee P, “Simultaneous Scheduling, Binding, and Floorplanning in High-Level<br />

Synthesis”, Proc of the 11th International Conference on VLSI Design, 428-434, 1998.<br />

[8] Pedran M., “Panel: Physical Design and Synthesis Merge or Die”, Proc. 32sd Design Automation Conference,<br />

238-239,1995.<br />

[9] Penfield P., and Rubinstein J., “Signal Delay in RC Tree Networks”, Proc. 18th Design Automation Conference,<br />

613-617, 1981.<br />

[10] Sai-Halasz G., “Per<strong>for</strong>mance Trends in High-end Processors”, IEEE Proceeding, 83(-):20-36, 1995.<br />

[11] Sakurai T., “Closed-Form Expressions <strong>for</strong> Interconnection Delay, Coupling, and Crosstalk in VLSI's”, IEEE<br />

Transactions on Electronic Devices, 40(1):118-124, 1993.<br />

[12] Semiconductor Industry Association, “The National Technology Roadmap <strong>for</strong> Semiconductors”, 1997 Edition.


DAC'99, pages 502-506<br />

Noise-aware Repeater Insertion and Wire Sizing <strong>for</strong> On-chip Interconnect Using<br />

Hierarchical Moment-Matching<br />

Chung-Ping Chen and Noel Menezes<br />

Strategic CAD Labs, Design Technology, Intel Corporation, Hillsboro, OR 97124<br />

Abstract<br />

Recently, several algorithms <strong>for</strong> interconnect optimization via repeater insertion and wire sizing<br />

have appeared based on the Elmore delay model. Using the Devgan noise metric [6] a noiseaware<br />

repeater insertion technique has also been proposed recently. Recognizing the<br />

conservatism of these delay and noise models, we propose a moment-matching based technique<br />

to interconnect optimization that allows <strong>for</strong> much higher accuracy while preserving the<br />

hierarchical nature of Elmore-delay-based techniques. We also present a novel approach to noise<br />

computation that accurately captures the effect of several attackers in linear time with respect to<br />

the number of attackers and wire segments. Our practical experiments with industrial nets<br />

indicate that the corresponding reduction in error af<strong>for</strong>ded by these more accurate models<br />

justifies this increase in runtime <strong>for</strong> aggressive designs which is our targeted domain. Our<br />

algorithm yields delay and noise estimates within 5% of circuit simulation results.<br />

References<br />

[1]. W. C. Elmore, “The transient response of damped linear networks with particular regard to wideband<br />

amplifiers,” Journal of Applied Physics, vol. 19, no. 1, 1948.<br />

[2] P. Penfield and J. Rubinstein, “Signal delay in RC tree networks,” IEEE Trans. Computer-Aided Design, vol.<br />

CAD-2, pp. 202-211, July 1983.<br />

[3] J. Lillis. C.-K. Cheng, and T.-T. Lin, “Optimal and efficient buffer insertion and wire sizing,” Proc. Custom<br />

Integrated Circuits Conference, pp. 259–262, May 1995.<br />

[4] L. P. P. P. van Ginneken, “Buffer placement in distributed RC-tree networks <strong>for</strong> minimal Elmore Delay,” Proc.<br />

International Symposium on Circuits and Systems, pp. 865-868, 1990.<br />

[5] C. J. Alpert, A. Devgan, and S. T. Quay, “Buffer insertion <strong>for</strong> noise and delay optimization,” Proc. 35th<br />

ACM/IEEE Design Automation Conference, pp. 362-367, June 1997.<br />

[6] A. Devgan, “<strong>Efficient</strong> noise coupled noise estimation <strong>for</strong> on-chip interconnects,” Proc. of the Intl. Conf. on<br />

Computer-Aided Design, pp. 147-151, Nov. 1997.<br />

[7] J. Culetu, C. Amir, and J. MacDonald, “A practical repeater insertion method in high speed VLSI circuits,” Proc.<br />

35th ACM/IEEE Design Automation Conference, pp. 392-395, June 1997.<br />

[8] D. Li, A. Pua, P. Srivastava, and U. Ko, “A repeater optimization methodology <strong>for</strong> deep sub-micron, highper<strong>for</strong>mance<br />

processors,” Proc. of the Intl. Conf. on Computer Design, pp. 726-731, Oct. 1997.<br />

[9] N. Menezes and C.-P. Chen, “Spec-based repeater insertion and wire sizing <strong>for</strong> on-chip interconnect,” Proc. of<br />

the 12th Intl. Conf. on VLSI Design, pp. 476-483, Jan. 1999.<br />

[10] K. Rahmat, J. Neves, and J.-F. Lee, “Methods <strong>for</strong> calculating coupling noise in early design: a comparative<br />

analysis,” Proc. of the Intl. Conf. Computer Design, pp. 76-81, Oct. 1998.<br />

[11] P. Feldman and R.W. Freund, “<strong>Efficient</strong> linear circuit analysis by Pade approximation via the Lanczos<br />

process,” IEEE Trans. Computer-Aided Design., vol. 14, no. 5, pp. 639-649, May 1995.<br />

[12] F. Dartu, N. Menezes, J. Qian, and L.T. Pillage, “A gate-delay model <strong>for</strong> high-speed CMOS circuits,” Proc.<br />

31st ACM/IEEE Design Automation Conference, pp. 576–580, June 1994.<br />

[13] J. Qian, S. Pullela and L. T. Pillage, “Modeling the effective capacitance <strong>for</strong> the RC interconnect of CMOS<br />

gates,” IEEE Trans. Computer-Aided Design., vol. 13, no. 12, pp. 1526-1535, Dec. 1994.<br />

[14] L. T. Pillage and R. A. Rohrer, “Asymptotic wave<strong>for</strong>m evaluation <strong>for</strong> timing analysis,” IEEE Trans. Computer-<br />

Aided Design, vol. 9, no. 4, pp. 352-366, April 1990.<br />

[15] K. L. Shepard, et al. “Global harmony: coupled noise analysis <strong>for</strong> full-chip RC interconnect networks,” Proc. of<br />

the Intl. Conf. on Computer-Aided Design, pp. 139-146, Nov. 1997.<br />

[16] A. B. Kahng, and S. Muddu, “New efficient algorithms <strong>for</strong> computing effective capacitance,” Proc. of the 1998<br />

Intl. Symposium on Physical Design, pp. 147-151, April 1998.


DAC'99, pages 507-510<br />

Interconnect Estimation and Planning <strong>for</strong> Deep Submicron Designs<br />

Jason Cong, David Zhigang Pan<br />

Department of Computer Science, University of Cali<strong>for</strong>nia, Los <strong>An</strong>geles, CA<br />

Abstract<br />

This paper reports two sets of important results in our exploration of an interconnect-centric<br />

design flow <strong>for</strong> deep submicron (DSM) designs: (i) We obtain efficient yet accurate wiring area<br />

estimation models <strong>for</strong> optimal wire sizing (OWS). We also propose a simple metric to guide<br />

area-efficient per<strong>for</strong>mance optimization; (ii) Guided by our interconnect estimation models, we<br />

study the interconnect architecture planning problem <strong>for</strong> wire-width designs. We achieve a rather<br />

surprising result which suggests that two pre-determined wire widths per metal layer are<br />

sufficient to achieve near-optimal per<strong>for</strong>mance. This result will greatly simplify the routing<br />

architecture and tools <strong>for</strong> DSM designs. We believe that our interconnect estimation and<br />

planning results will have a significant impact on DSM designs.<br />

References<br />

[1] J. Cong, L. He, K.-Y. Khoo, C.-K. Koh, and D. Z. Pan, “Interconnect design <strong>for</strong> deep submicron ICs," in Proc.<br />

Int. Conf. on Computer Aided Design, pp. 478-485, 1997.<br />

[2] J. Cong and D. Z. Pan, “Interconnect delay estimation models <strong>for</strong> synthesis and design planning," in Proc. Asia<br />

and South Pacific Design Automation Conf., pp. 97-100, Jan., 1999.<br />

[3] J. Cong and K. S. Leung, “Optimal wiresizing under the distributed Elmore delay model," in Proc. Int. Conf. on<br />

Computer Aided Design, pp. 634-639, 1993.<br />

[4] J. Cong and D. Z. Pan, “Interconnect estimation and planning <strong>for</strong> deep submicron designs," Tech. Rep. 980035,<br />

UCLA CS Dept, 1998. http://cadlab.cs.ucla.edu/~pan/publications/.<br />

[5] J. Cong, L. He, C.-K. Koh, and D. Z. Pan, “Global interconnect sizing and spacing with consideration of<br />

coupling capacitance," in Proc. Int. Conf. on Computer Aided Design, pp. 628-633, 1997.<br />

[6] Semiconductor Industry Association, National Technology Roadmap <strong>for</strong> Semiconductors, 1997.<br />

[7] J. Davis and J. Meindl, “Is interconnect the weak link?," IEEE Circuits and Devices Magazine, vol. 14, no. 2, pp.<br />

30-36, 1998.<br />

[8] R. Otten and R. K. Brayton, “Planning <strong>for</strong> per<strong>for</strong>mance," in Proc. Design Automation Conf, pp. 122-127, June<br />

1998.<br />

[9] P. Fisher and R. Nesbitt, “The test of time. clock-cycle estimation and test challenges <strong>for</strong> future<br />

microprocessors," IEEE Circuits and Devices Magazine, vol. 14, pp. 37-44, March 1998.<br />

[10] J. Cong, L. He, A. B. Kahng, D. Noice, N. Shirali, and S. H.-C. Yen, “<strong>An</strong>alysis and justification of a simple,<br />

practical 2 1/2-d capacitance extraction methodology," in Proc. ACM/IEEE Design Automation Conf., pp. 40.1.1-<br />

40.1.6, June, 1997.<br />

[11] W. C. Elmore, “The transient response of damped linear networks with particular regard to wide-band<br />

amplifiers," Journal of Applied Physics, vol. 19, pp. 55-63, Jan. 1948.<br />

[12] C.-K. Koh, VLSI Interconnect Layout Optimization. PhD thesis, University of Cali<strong>for</strong>nia, Los <strong>An</strong>geles, 1998.<br />

[13] J. Davis, V. De, and J. Meindl, “A stochastic wire-length distribution <strong>for</strong> gigascale integration (GSI) i.<br />

derivation and validation," IEEE Transactions on Electron Devices, vol. 45, no. 3, pp. 580-9, 1998.


DAC'99, pages 511-516 ECL: A Specification Environment <strong>for</strong> System-Level Design<br />

Luciano Lavagno, Ellen Sentovich<br />

Cadence Berkeley Laboratories, Berkeley, CA 94704-1103, USA<br />

Abstract<br />

We propose a new specification environment <strong>for</strong> system-level design called ECL. It combines<br />

the Esterel and C languages to provide a more versatile means <strong>for</strong> specifying heterogeneous<br />

designs. It can be viewed as the addition to C of explicit constructs from Esterel <strong>for</strong> waiting,<br />

concurrency and pre-emption, and thus makes these operations easier to specify and more<br />

apparent. <strong>An</strong> ECL specification is compiled into a reactive part (an extended finite state machine<br />

representing most of the ECL program), and a pure data looping part, thus nicely supporting a<br />

mix of control and data. The reactive part can be robustly estimated and synthesized to hardware<br />

or software, while the data looping part is implemented in software as specified.<br />

References<br />

[1] F. Balarin, E. Sentovich, M. Chiodo, P. Giusto, H. Hsieh, B. Tabbara, A. Jurecska, L. Lavagno, C. Passerone, K.<br />

Suzuki, and A. Sangiovanni-Vincentelli. Hardware-Software Co-design of Embedded Systems – The POLIS<br />

experience. Kluwer Academic Publishers, 1997.<br />

[2] G. Berry. The Constructive Semantics of Pure Esterel. 1996. To Appear, available now at ftp:<br />

//www.inria.fr/meije/esterel/papers/constructiveness.ps.gz.<br />

[3] G. Berry. The Foundations of Esterel. 1998. See http://www.inria.fr/meije/Esterel.<br />

[4] F. Boussinot, G. Doumenc, and J.-B. Stefani. Reactive objects. <strong>An</strong>nales des Telecommunications, 51(9-10):459–<br />

473, September 1996.<br />

[5] P. Clarke. Felix tools pushed in research project. Electronic Engineering Times, October 1998. See<br />

http://www.eetimes.com/news/98/1029news/felix.html.<br />

[6] S. Edwards, L. Lavagno, E.A. Lee, and A. Sangiovanni-Vincentelli. Design of embedded systems: <strong>for</strong>mal<br />

models, validation, and synthesis. Proceedings of the IEEE, 85(3):366–390, March 1997.<br />

[7] N. Halbwachs. Synchronous Programming of Reactive Systems. Kluwer Academic Publishers, 1993.<br />

[8] D. Har’el, H. Lachover, A. Naamad, A. Pnueli, et al. STATEMATE: a working environment <strong>for</strong> the<br />

development of complex reactive systems. IEEE Transactions on Software Engineering, 16(4), April 1990.<br />

[9] E.A. Lee and D.G. Messerschmitt. Static scheduling of synchronous data flow graphs <strong>for</strong> digital signal<br />

processing. IEEE Transactions on Computers, January 1987.<br />

[10] S. Liao, S. Tjiang, and R. Gupta. <strong>An</strong> efficient implementation of reactivity <strong>for</strong> modeling hardware in the Scenic<br />

design environment. In Proceedings of the Design Automation Conference, pages 70–75, June 1997.<br />

[11] System Level Design Language Home page, 1998. See http://www.inmet.com/SLDL/.


DAC'99, pages 517-522<br />

Representation of Function Variants <strong>for</strong> Embedded System Optimization and Synthesis<br />

K. Richter, D. Ziegenbein, R. Ernst<br />

IDA / TU Braunschweig, D-38106 Braunschweig, Germany<br />

L. Thiele<br />

TIK / ETH Zürich, CH-8092 Zürich, Switzerland<br />

J. Teich<br />

DATE / UNI Paderborn, D-33098 Paderborn, Germany<br />

Abstract<br />

Many embedded systems are implemented with a set of alternative function variants to adapt the<br />

system to different applications or environments. This paper proposes a novel approach <strong>for</strong> the<br />

coherent representation and selection of function variants in the different phases of the design<br />

process. In this context, the modeling of re-configuration of system parts is supported in a natural<br />

way. Using a real example from the video processing domain, the approach is explained and<br />

validated.<br />

References<br />

[1] P. Chou and G. Boriello. <strong>An</strong> analysis-based approach to composition of distributed embedded systems. In<br />

Proceedings Codes/CASHE ’98, pages 3–7, Seattle, USA, March 1998.<br />

[2] R. Ernst. System architectures. Talk at NATO ASI on System Level Synthesis, August 1998.<br />

[3] R. Ernst, K. Henriss, and P. Rueffer. Software signal processing on image-engine platt<strong>for</strong>ms. Technical report,<br />

TU Braunschweig, August 1998.<br />

[4] A. Jerraya et al. Hardware/Software Co-Design: Principles and Practice, chapter Languages <strong>for</strong> System-Level<br />

Specification and Design. Kluwer Academic Publishers, Boston, USA, October 1997.<br />

[5] A. Kavalade and P. Subrahmanyam. Hardware/software partitioning <strong>for</strong> multi-function systems. In Proceedings<br />

ICCAD ’97, pages 516–521, San Jose, USA, November 1997.<br />

[6] K. Kim, R. Karri, and M. Potkonjak. Synthesis of application specific programmable processors. In Proceedings<br />

DAC ’97, pages 353–358, <strong>An</strong>aheim, USA, June 1997.<br />

[7] Philips Semiconductors. TriMedia Processor. http://www.semiconductors.philips.com/trimedia/.<br />

[8] D. Ziegenbein, R. Ernst, K. Richter, J. Teich, and L. Thiele. Combining multiple models of computation <strong>for</strong><br />

scheduling and allocation. In Proceedings Codes/CASHE ’98, pages 9–13, Seattle, USA, March 1998.<br />

[9] D. Ziegenbein, K. Richter, R. Ernst, J. Teich, and L. Thiele. Representation of process mode correlation <strong>for</strong><br />

scheduling. In Proceedings ICCAD ’98, San Jose, USA, November 1998.


DAC'99, pages 523-528<br />

Vex - A CAD toolbox<br />

Jules P. Bergmann and Mark A. Horowitz<br />

Computer Systems Laboratory, Stan<strong>for</strong>d University, Stan<strong>for</strong>d, CA 94305<br />

Abstract<br />

The increasing size and complexity of designs is making the use of hardware description<br />

languages (HDLs), such as Verilog and VHDL, more prevalent. They are able to describe both<br />

the initial design and intermediate representations of the design as it is readied <strong>for</strong> fabrication.<br />

For large designs, there inevitably are problems with the tool flow that require custom tools to be<br />

created. These tools must be able to access and modify the HDL <strong>for</strong> the design, requirements that<br />

often dwarf the tools’ actual functionality, making them difficult to create without a large ef<strong>for</strong>t<br />

or cutting corners. During the FLASH project at Stan<strong>for</strong>d we created Vex -- a toolbox of<br />

components <strong>for</strong> dealing with Verilog, tied together with an interactive scripting language -- that<br />

simplifies the creation of these tools. It was used to create a number of tools that were critical to<br />

our design's tape-out and has also been useful in creating design exploration and research tools.<br />

Bibliography<br />

[1] R.E. Bryant, “Graph-<strong>Based</strong> Algorithms <strong>for</strong> Boolean Function Manipulation,” IEEE Transactions on Computers,<br />

Vol. C-35, No. 8, August 1986.<br />

[2] J.R. Burch, E.M. Clarke, K.L. McMillan, D.L. Dill, L.J. Hwang, “Symbolic Model Checking: 1020 States and<br />

Beyond,” Proceedings of the Conference on Logic in Computer Science, 1990.<br />

[3] J. Ellson, E. Gasner, E. Koutsofios, S. North, “Graphviz -- Tools <strong>for</strong> Viewing and Interacting with Graph<br />

Diagrams,” URL: http://www.research.att.com/sw/tools/graphviz.<br />

[4] A. Greiner, et al. “Alliance: a Complete Set of CAD Tools <strong>for</strong> Teaching VLSI Design”, Proceedings of<br />

EUROCHIP Workshop on VLSI Circuits, September 1992. URL: http://wwwasim.lip6.fr/alliance.<br />

[5] R.C. Ho, M.A. Horowitz, “Validation Coverage <strong>An</strong>alysis <strong>for</strong> Complex Digital Designs,” Proceedings of the<br />

International Conference on Computer Aided Design, November 1996.<br />

[6] H. Kapadia, M.A. Horowitz, “Using Partitioning to Help Convergence in the Standard-cell Design Automation<br />

Methodology,” Proceedings of the Design Automation Conference, June 1999.<br />

[7] J. Kuskin, D. Ofelt, M. Heinrich, J. Heinlein, R. Simoni, K. Gharachorloo, J. Chapin, D. Nakahira, J. Baxter, M.<br />

Horowitz, A. Gupta, M. Rosenblum, and J. Hennessy, “The Stan<strong>for</strong>d FLASH Multiprocessor”, Proceedings of the<br />

International Symposium on Computer Architecture, June 1994.<br />

[8] J.K. Ousterhout, “Scripting: Higher Level Programming <strong>for</strong> the 21st Century”, IEEE Computer, March 1998.<br />

[9] D.E. Thomas, P.R. Moorby, The Verilog Hardware Description Language (2nd Edition), Kluwar Academic<br />

Publishers, 1995<br />

[10] L. Wall, T. Christiansen, R.L. Shwartz, Programming Perl (2nd Edition), O’Reilly & Associates, 1996.<br />

[11] T. Wang, T. Edsall, “Practical FSM <strong>An</strong>alysis <strong>for</strong> Verilog”, Proceedings of the International Verilog HDL<br />

Conference and VHDL International Users Forum, March 1998. URL: http://www.employees.org/~ciscofsm.


DAC'99, pages 529-534<br />

Constraint Management <strong>for</strong> Collaborative Electronic Design<br />

Juan <strong>An</strong>tonio Carballo<br />

EECS Department, University of Michigan, <strong>An</strong>n Arbor, MI 48109, USA<br />

Stephen W. Director<br />

College of Engineering, University of Michigan, <strong>An</strong>n Arbor, MI 48109, USA<br />

ABSTRACT<br />

Today's complex design processes feature large numbers of varied, interdependent constraints,<br />

which often cross interdisciplinary boundaries. There<strong>for</strong>e, a computer-supported constraint<br />

management methodology that automatically detects violations early in the design process,<br />

provides useful violation notification to guide redesign ef<strong>for</strong>ts, and can be integrated with<br />

conventional CAD software can be a great aid to the designer. We present such a methodology<br />

and describe its implementation in the Minerva II design process manager, along with an<br />

example design session.<br />

REFERENCES<br />

[1] C. Bessiere and J. Regin, “Arc consistency <strong>for</strong> general constraint networks: preliminary results”, Proc. IJCAI’97:<br />

398-404.<br />

[2] F.L. Chan, M.D. Spiller, and A.R. Newton, “Weld - an environment <strong>for</strong> web-based electronic design”, Proc.<br />

35th DAC, June 1998.<br />

[3] T.F. Chiueh and R.H. Katz, “Intelligent VLSI Design Object Management”, in Proc. EDAC, pp. 410-414, 1992.<br />

[4] J. Cohn et al., “KOAN/ANAGRAM II: New tools <strong>for</strong> device-level analog placement and routing”, IEEE JSSC,<br />

26(3):330-342, March 1991.<br />

[5] J. D’Ambrosio, ConstrLib: <strong>An</strong> Interval Constraint Propagation Library, AI Lab, The University of Michigan,<br />

1998.<br />

[6] M. Fitting, First-Order Logic and Automated Theorem Proving, Springer Verlag, New York, second edition,<br />

1996.<br />

[7] S.M. Fohn et al., “A Constraint-system Shell to Support Concurrent Engineering <strong>Approach</strong>es to Design”, AI in<br />

Engineering, (9):1–17, 1994.<br />

[8] S.T. Frezza, S.P. Levitan, and P.C. Chrysanthis, “Requirements-based Design Evaluation”, Proc. 32nd DAC,<br />

June 1995.<br />

[9] D. Kuokka et al., “A parametric design assistant <strong>for</strong> concurrent engineering”, AI-EDAM, no. 9: 135-144, 1995.<br />

[10] M.Jacome and S.Director, “A <strong>for</strong>mal basis <strong>for</strong> design process planning and management”, IEEE Trans. CAD,<br />

15(10):1197–1211, Oct. 1996.<br />

[11] V. Kumar, “Algorithms <strong>for</strong> Constraint Satisfaction”, AI Magazine, 13(1):32–44, 1992.<br />

[12] A. Silberschatz et al., Database System Concepts, McGraw-Hill, 1996.<br />

[13] P. R. Sutton, J.B. Brockman, and S.W. Director, “Design Management Using Dynamically Defined Flows”,<br />

Proc. DAC: 648–653, 1993.<br />

[14] P. R. Sutton and S. W. Director, “A Description Language <strong>for</strong> Design Process Management”, Proc. 33rd DAC,<br />

1996.<br />

[15] P. R. Sutton and S. W. Director, “Framework Encapsulations: A New <strong>Approach</strong> to CAD Tool Interoperability”,<br />

Proc. 35th DAC, June 1998.<br />

[16] K.O. ten Bosch et al., “Design Flow Management in the Nelsis CAD Framework”, Proc. 28th DAC: 711–716,<br />

June 1991.<br />

[17] M. Zaman, MISTIC User’s Guide, Univ. of Michigan, 1997.


DAC'99, pages 535-536<br />

Panel: MEMS CAD Beyond Multi-Million Transistors<br />

Chair: Kris Pister - University of Cali<strong>for</strong>nia Berkeley, Berkeley, CA<br />

Panel Members: Albert P. Pisano, Nicholas Swart, Mike Horton, John Rychcik,<br />

John R. Gilbert, Gerry K. Fedder<br />

Existing MEMS products boast more than a million electrical and mechanical components on a<br />

single chip. With several billion dollars in sales in 1997 and exponential growth it is clear that<br />

MEMS fabrication technology has leveraged decades of IC expertise to great advantage. As a<br />

result, the fabrication capabilities far outstrip the design capabilities in both industry and<br />

university environments. MEMS CAD tools are only now beginning to leverage corresponding<br />

decades of IC CAD expertise to address the exciting and unique electro-mechanical co-design<br />

problems from the physical through system level design. Perspectives represented in this panel<br />

include the industry needs at the system and device levels, tool developers at all levels, and the<br />

government research vision.<br />

Questions to be addressed include:<br />

- How do the current tools help or impede the development of new products?<br />

- What are the breakthrough markets <strong>for</strong> MEMS and how will they challenge the existing CAD<br />

tools?<br />

- What are the challenges <strong>for</strong> the next generation?


DAC'99, pages 537-542 A Multiscale Method <strong>for</strong> Fast Capacitance Extraction<br />

Johannes Tausch<br />

Dept. of Mathematics, Southern Methodist University, Dallas, TX 75275-0156<br />

Jacob White<br />

Department of EECS, MIT, Cambridge, MA 02139<br />

Abstract<br />

The many levels of metal used in aggressive deep submicron process technologies has made fast<br />

and accurate capacitance extraction of complicated 3-D geometries of conductors essential, and<br />

many novel approaches have been recently developed. In this paper we present an accelerated<br />

boundary-element method, like the well-known FASTCAP program, but instead of using an<br />

adaptive fast multipole algorithm we use a numerically generated multiscale basis <strong>for</strong><br />

constructing a sparse representation of the dense boundary-element matrix. Results are presented<br />

to demonstrate that the multiscale method can be applied to complicated geometries, generates a<br />

sparser boundary-element matrix than the adaptive fast multipole method, and provides an<br />

inexpensive but effective preconditioner. Examples are used to show that the better sparsification<br />

and the effective preconditioner yield a method that can be 25 times faster than FASTCAP while<br />

still maintain accuracy in the smallest coupling capacitances.<br />

References<br />

[1] W. Shi, J. Liu, N. Kakani, and T. Yu, A Fast Hierarchical Algorithm <strong>for</strong> 3-D Capacitance Extraction Proceeding<br />

of the 29th Design Automation Conference, San Francisco, CA, June, 1997, pp. 212-217.<br />

[2] V. Veremey and R. Mittra, A Technique <strong>for</strong> Fast Calculation Of Capacitance Matrices of Interconnect Structures<br />

IEEE Transactions of Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, Vol<br />

21, No 3, pp. 241-249.<br />

[3] W. Hong, W. K. Sun, Z. H. Zhu, H. Ji, B. Song, and W. Dai, A Novel Dimension-Reduction Technique <strong>for</strong> the<br />

Capacitance Extraction of 3-D VLSI Interconnects MTT, Vol. 46, No. 8, pp 1037-1044.<br />

[4] J. R. Phillips and J. K. White, “A Precorrected-FFT method <strong>for</strong> Electrostatic <strong>An</strong>alysis of Complicated 3-D<br />

Structures,” IEEE Trans. on Computer-Aided Design, October 1997, Vol. 16, No. 10, pp. 1059-1072.<br />

[5] S. Kapur and J. Zhao,”A fast method of moments solver <strong>for</strong> efficient parameter extraction of MCMs”Design<br />

Automation Conference, 1997 pp. 141–146.<br />

[6] G. Beylkin, R. Coifman, and V. Rohklin. Fast wavelet trans<strong>for</strong>ms and numerical algorithms. Comm. Pure Appl.<br />

Math., XLIV:141–183, 1991.<br />

[7] K. Nabors and J. White, “FastCap: A Multipole-Accelerated 3-D Capacitance Extraction Program,” IEEE<br />

Transactions on Computer-Aided Design, vol. 10 no. 10, November 1991, p1447-1459.<br />

[8] R. F. Harrington, Field Computation by Moment Methods. New York: MacMillan, 1968.<br />

[9] A. E. Ruehli and P. A. Brennan, “<strong>Efficient</strong> capacitance calculations <strong>for</strong> three-dimensional multiconductor<br />

systems,” MTT, vol. 21, pp. 76–82, February 1973.<br />

[10] Y. L. Le Coz and R. B. Iverson, “A stochastic algorithm <strong>for</strong> high speed capacitance extraction in integrated<br />

circuits,” Solid State Electronics, vol. 35, no. 7, pp. 1005–1012, 1992.<br />

[11] Lesslie Greengard. The Rapid Evaluation of Potential Fields in Particle Systems. MIT Press, Cambridge,<br />

Massachusetts, 1988.<br />

[12] Harry Yserentant. On the multi-level splitting of finite element spaces. Numer. Math., 49:379–412, 1986.<br />

[13] K. Nabors, F. T. Korsmeyer, F. T. Leighton, and J. White. Preconditioned, adaptive, multipole-accelerated<br />

iterative methods <strong>for</strong> three-dimensional first-kind integral equations of potential theory. SIAM J. Sci. Statist.<br />

Comput., 15(3):713–735, 1994.


DAC'99, pages 543-548<br />

<strong>Efficient</strong> Capacitance Computation <strong>for</strong> Structures with Non-Uni<strong>for</strong>m<br />

Adaptive Surface Meshes<br />

Vikram Jandhyala, Scott Savage, Eric Bracken, Zoltan Cendes<br />

<strong>An</strong>soft corporation, Pittsburgh, PA 15219<br />

Abstract<br />

Circuit parasitic extraction problems are typically <strong>for</strong>mulated using discretized integral equations<br />

that use basis functions defined over tesselated surface meshes. The Fast Multipole Method<br />

(FMM) accelerates the solution process by rapidly evaluating potentials and fields due to these<br />

basis functions. Un<strong>for</strong>tunately, the FMM suffers from the drawback that its efficiency degrades<br />

if the surface mesh has disparately-sized elements in close proximity to each other. Closelyspaced<br />

non-uni<strong>for</strong>mly sized elements can appear in realistic situations <strong>for</strong> a variety of reasons:<br />

owing to mesh refinement, due to accurate modeling requirements <strong>for</strong> fine structural features,<br />

and because of the presence of thin doubly-walled structures. In this paper, modifications to the<br />

standard multilevel FMM are presented that permit efficient potential and field evaluation over<br />

specific non-uni<strong>for</strong>m meshes. The efficiency of the new technique is demonstrated through<br />

examples involving large surface meshes with non-uni<strong>for</strong>mly sized elements in close proximity.<br />

References<br />

[1] R.F. Harrington, Field Computation by Moment Methods, Krieger, Malabar, FL, 1982.<br />

[2] Y. Saad, Iterative Methods <strong>for</strong> Sparse Systems, PWS Publishing Company, New York, NY, 1996.<br />

[3] C. R. <strong>An</strong>derson, “<strong>An</strong> implementation of the fast multipole method without multipoles," SIAM J. Sci. Stat.<br />

Comput., vol. 16, pp. 1082-1091, July 1992.<br />

[4] L. Greengard and V. Rokhlin, “A fast algorithm <strong>for</strong> particle simulations," J. Comp. Phys., vol. 73, pp. 1447-<br />

1459, 1987.<br />

[5] K. Nabors, F.T. Korsmeyer, F.T. Leighton, and J. White, “Preconditioned, adaptive, multipole-accelerated<br />

iterative methods <strong>for</strong> three-dimensional potential problems," SIAM J. Sci. Stat. Comput., vol. 15, pp. 713-735, May<br />

1994.<br />

[6] L. Greengard, The Rapid Evaluation of Potential Fields in Particle Systems, MIT Press, Cambridge, MA, 1988.<br />

[7] S. Rao, T. Sarkar, and R. Harrington, “The electrostatic field of conducting bodies in multiple dielectric media,"<br />

IEEE Trans. Microwave Theory Tech., vol. 32, pp. 1441-1448, November 1984.<br />

[8] K. Nabors and J. White, “Fastcap : a multipole accelerated 3-d capacitance extraction program," IEEE Trans.<br />

Computer-Aided Design, vol. 10, pp. 1447-1459, November 1991.<br />

[9] V. Jandhyala, E. Michielssen, and R. Mittra, “Multipole-accelerated capacitance computation <strong>for</strong> 3-d structures<br />

in a stratified dielectric medium using a closed <strong>for</strong>m green's function," Int. J. Microwave Millimeter-Wave<br />

Computer-Aided Engg., vol. 5, pp. 68-78, May 1995.<br />

[10] J.R. Phillips and J.K. White, “A precorrected-fft method <strong>for</strong> electrostatic analysis of complicated 3-d<br />

structures," IEEE Trans. Computer-Aided Design Integ. Circuits Syst., vol. 16, pp. 1059-1072, October 1997.<br />

[11] J. M. Song and W. C. Chew, “Multilevel fast-multipole algorithm <strong>for</strong> solving combined field integral equations<br />

of electro-magnetic scattering," Microwave Opt. Tech. Lett., vol. 10, pp.<br />

14-19, September 1995.<br />

[12] Z. Wang, Y. Yuan, and Q. Wu, “A parallel multipole accelerated 3-d capacitance simulator based on an<br />

improved model," IEEE Trans. Computer-Aided Design Integ. Circuits Syst., vol. 15, pp. 1441-1450, December<br />

1996.


DAC'99, pages 549-554<br />

Substrate Modeling and Lumped Substrate Resistance Extraction <strong>for</strong> CMOS ESD/Latchup<br />

Circuit Simulation<br />

Tong Li*, Ching-Han Tsai**, Elyse Rosenbaum**, Sung-Mo (Steve) Kang**<br />

*Silicon Perspective Corp., Santa Clara, CA 95054<br />

**Coordinated Science Laboratory, Department of Electrical and Computer Engineering<br />

University of Illinois at Urbana-Champaign, Urbana, IL 61802<br />

ABSTRACT<br />

Due to interactions through the common silicon substrate, the layout and placement of devices<br />

and substrate contacts can have significant impacts on a circuit's ESD (Electrostatic Discharge)<br />

and latchup behavior in CMOS technologies. Proper substrate modeling is thus required <strong>for</strong><br />

circuit-level simulation to predict the circuit's ESD per<strong>for</strong>mance and latchup immunity. In this<br />

work we propose a new substrate resistance network model, and develop a novel substrate<br />

resistance extraction method that accurately calculates the distribution of injection current into<br />

the substrate during ESD or latchup events. With the proposed substrate model and resistance<br />

extraction, we can capture the three-dimensional layout parasitics in the circuit as well as the<br />

vertical substrate doping profile, and simulate these effects on circuit behavior at the circuit-level<br />

accurately. The usefulness of this work <strong>for</strong> layout optimization is demonstrated with an industrial<br />

circuit example.<br />

References<br />

[1] A. Amerasekera, C. Duvvury, V. Reddy and M. Rodder, “Substrate Triggering and Salicide Effects on ESD<br />

Per<strong>for</strong>mance and Protection Circuit Design in Deep Submicron CMOS Processes," International Electron Devices<br />

Meeting, pp. 547-550, 1995.<br />

[2] A. Amerasekera, S. Ramaswamy, M. Chang and C. Duvvury, “Modeling MOS Snapback and Parasitic Bipolar<br />

Action <strong>for</strong> Circuit-Level in ESD and High Current Simulations," International Reliability Physics Symposium, pp.<br />

318-326, 1996.<br />

[3] J. Chen, A. Amerasekera and C. Duvvury, “Design Methodology <strong>for</strong> Optimizing Gate Driven ESD Protection<br />

Circuits in Submicron CMOS Processes," EOS/ESD Symposium, pp. 230-239.<br />

[4] C. Diaz, S. M. Kang and C. Duvvury, “Circuit-level Electrothermal Simulation of Electrical Over-stress Failures<br />

in Advanced MOS I/O Protection Devices," IEEE Trans. on CAD, vol. 13, no. 4, pp. 482-493, 1994.<br />

[5] C. Duvvury and R. Rountree, “A Synthesis of ESD Input Protection Scheme," EOS/ESD Symposium, pp. 88-97,<br />

1991.<br />

[6] C. Duvvury and A. Amerasekera, “ESD: A Pervasive Reliability Concern <strong>for</strong> IC Technologies," Proc. of the<br />

IEEE, vol. 81, no. 5, pp. 690-702, May 1993.<br />

[7] Y. Fong and C. Hu, “Internal ESD Transients in Input Protection Circuits," IEEE International Reliability<br />

Symposium, pp. 77-81, 1989.<br />

[8] R. Gharpurey and R. G. Meyer, “Modeling and <strong>An</strong>alysis of Substrate Coupling in Integrated Circuits," IEEE<br />

Custom Integrated Circuits Conference, pp. 125-128, 1995.<br />

[9] X. Guggenmos and R. Holzner, “A New ESD Protection Concept For VLSI CMOS Circuits Avoiding Circuit<br />

Stress," EOS/ESD Symposium, pp. 74-82, 1991.<br />

[10] M. J. Hargrove, S. Voldman, R. Gauthier, J. Brown, K. Duncan, and W. Craig, “Latchup in CMOS<br />

Technology," pp. 269-278, International Relibility Physics Symposium, 1998.<br />

[11] F. C. Hsu, P. K. Ko, S. Tam, C. Hu and R. S. Muller, “<strong>An</strong> <strong>An</strong>alytical Breakdown Model <strong>for</strong> Short-Channel<br />

MOSFET's", IEEE Trans. on Electron Devices, Vol. 29, No. 11, pp. 1735-1740, 1982.<br />

[12] J. Huang, Z. Liu, M. Jeng, K. Hui, M. Chan, P. Ko and C. Hu, “BSIM3 Manual (version 2)," University of<br />

Cali<strong>for</strong>nia, Berkeley, 1994.<br />

[13] C. C. Johnson and T. J. Maloney “Two Unusual HBM ESD Failure Mechanims on a Mature CMOS Process,"<br />

EOS/ESD Symposium, pp. 225-231, 1993.


[14] K. J. Kerns and A. T. Yang, “Stable and <strong>Efficient</strong> Reduction of Large, Multiport RC Networks by Pole<br />

<strong>An</strong>alysis via Congrunence Trans<strong>for</strong>mations," IEEE Trans. on Computer-Aided Design, Vol. 16, No. 7, July 1997.<br />

[15] S. Laux and F. Gaensslen, “A Study of Channel Avalanche Breakdown in Scaled n-MOSFETs", IEEE Trans.<br />

on Electron Devices, Vol. ED-34, No. 5, pp. 1066-1073, 1987.<br />

[16] T. Li and S. M. Kang, “Layout Extraction and Verification Methodology <strong>for</strong> CMOS I/O Circuits," IEEE/ACM<br />

Design Automation Conference, pp. 291-296, 1998.<br />

[17] T. Li, “Design Automation <strong>for</strong> Reliable CMOS Chip I/O Circuits" Ph.D. Dissertation, University of Illinois at<br />

Urbana-Champaign, 1998.<br />

[18] S. Ramaswamy, C. Duvvury, A. Amerasekera, V. Reddy and S. M. Kang, “EOS/ESD <strong>An</strong>alysis of High-Density<br />

Logic Chips," EOS/ESD Symposium, pp. 285-290, 1996.<br />

[19] Y. Saad and M. H. Schultz, “GMRES: A Generalized Minimum Residual Algorithm <strong>for</strong> Solving Nonsymmetric<br />

Linear Systems," SIAM Journal Scientific Statistical Comput., vol. 7, pp. 856-859, July 1986.<br />

[20] T. Smedes, N. P. van der Meijs and A. J. van Genderen, “Extraction of Circuit Models <strong>for</strong> Substrate Crosstalk,"<br />

International Conference on Computer-Aided Design, 1995.<br />

[21] D. K. Su, J. Loinaz, S. Masui and B. A. Wooley, “ Experimental Results and Modeling Techniques <strong>for</strong><br />

Substrate Noise in Mixed-Signal Integrated Circuits," IEEE Journal of Solid-State Circuits, pp. 420-430, Vol. 28,<br />

No. 4, April 1993.<br />

[22] Technology Modeling Associates, Inc., Palt Alto, Cali<strong>for</strong>nia, MEDICI, Two Dimensional Device Simulation<br />

Program, 1992.<br />

[23] R. R. Troutman, “Latchup in CMOS Technology : The Problem and Its Cure," Kluwer Academic Publishers,<br />

1986.<br />

[24] R. R. Troutman and M. J. Hargrove, “Transmission Line Modeling of Substrate Resistances and CMOS<br />

Latchup," IEEE Trans. on Electron Devices, Vol. 33, No. 7, pp. 945-954, July 1986.<br />

[25] N. K. Verghese and David J. Allstot, “Rapid Simulation of Substrate Coupling Effects in Mixed-Mode ICs,"<br />

IEEE Custom Integrated Circuits Conference, pp. 18.3.1-18.3.4, 1993.<br />

[26] Y. Wei, Y. Loh, C. Wang and C. Hu, “Effect of Substrate Contact on ESD Failure of Advanced CMOS<br />

Integrated Circuits," EOS/ESD Symposium, pp. 221-224, 1993.<br />

[27] P. Yang and J. Chern, “ Design <strong>for</strong> Reliability : the Major Challenge <strong>for</strong> VLSI," Proc. of the IEEE, vol.81, no.5,<br />

pp. 730-743, May 1993.


DAC'99, pages 555-561<br />

Dynamic Power Management <strong>Based</strong> On Continuous-Time Markov Decision Processes<br />

Qinru Qiu and Massoud Pedram<br />

Department of Electrical Engineering-Systems<br />

University of Southern Cali<strong>for</strong>nia, Los <strong>An</strong>geles, Cali<strong>for</strong>nia, USA<br />

Abstract<br />

This paper introduces a continuous-time, controllable Markov process model of a powermanaged<br />

system. The system model is composed of the corresponding stochastic models of the<br />

service queue and the service provider. The system environment is modeled by a stochastic<br />

service request process. The problem of dynamic power management in such a system is<br />

<strong>for</strong>mulated as policy optimization problem and solved using an efficient "policy iteration"<br />

algorithm. Compared to previous work on dynamic power management, our <strong>for</strong>mulation allows<br />

better modeling of the various system components, the power-managed system as whole, and its<br />

environment. In addition it captures dependencies between the service queue and service<br />

provider status. Finally, the resulting power management policy is asynchronous, hence it is<br />

more power-efficient and more useful in practice. Experimental results demonstrate the<br />

effectiveness of our policy optimization algorithm compared to a number of heuristic (time-out<br />

and N-policy) algorithms.<br />

REFERENCES<br />

[1] A. Chandrakasan, R. Brodersen, Low Power Digital CMOS Design, Kluwer Academic Publishers, July 1995.<br />

[2] M. Horowitz, T. Indermaur, and R. Gonzalez, “Low-Power Digital Design”, IEEE Symposium on Low Power<br />

Electronics, pp.8-11, 1994.<br />

[3] A. Chandrakasan, V. Gutnik, and T. Xanthopoulos, “Data Driven Signal Processing: <strong>An</strong> <strong>Approach</strong> <strong>for</strong> Energy<br />

<strong>Efficient</strong> Computing”, 1996 International Symposium on Low Power Electronics and Design, pp. 347-352, Aug.<br />

1996.<br />

[4] J. Rabaey and M. Pedram, Low Power Design Methodologies, Kluwer Academic Publishers, 1996<br />

[5] L. Benini and G. De Micheli, Dynamic Power Management: Design Techniques and CAD Tools, Kluwer<br />

Academic Publishers, 1997.<br />

[6] Intel, Microsoft and Toshiba, “Advanced Configuration and Power Interface specification”, URL:<br />

http://www.intel.com/ial/powermgm/specs.html, 1996<br />

[7] U. Narayan Bhat, “Elements Of Applied Stochastic Processes”, John Wiley & Sons, Inc. 1984<br />

[8] B. Miller, “Finite State Continuous Time Markov Decision Processes With an Finite Planning Horizon.” SIAM J.<br />

Control, Vol. 5, No. 2, pp. 266-281, 1968.<br />

[9] B. Miller, “Finite State Continuous Time Markov Decision Processes With an Infinite Planning Horizon”. J. Of<br />

Mathematical <strong>An</strong>alysis and Applications, No. 22, pp. 552-569, 1968.<br />

[10] R.A.Howard, Dynamic Programming and Markov Processes, Wiley, New York, 1960<br />

[11] G. A. Paleologo, L. Benini, et.al, “Policy Optimization <strong>for</strong> Dynamic Power Management”, Proceedings of<br />

Design Automation Conference, pp.182-187, Jun. 1998.<br />

[12] D. P. Heyman, M. J. Sobel, Stochastic Models in Operations Research, McGraw-Hill Book Company, 1982<br />

[13] L. Benini, A. Bogliolo, S. Cavallucci, B. Ricco, “Monitoring System Activity For OS-Directed Dynamic Power<br />

Management”, Proceedings of International Symposium of Low Power Electronics and Design Conference, pp. 185-<br />

190, Aug. 1998.<br />

[14] L. Benini, R. Hodgson, P. Siegel, “System-level Estimation <strong>An</strong>d Optimization”, Proceedings of International<br />

Symposium of Low Power Electronics and Design Conference, pp. 173-178, Aug. 1998.<br />

[15] G. Bolch, S. Greiner, H. D. Meer and K. S. Trivedi, Queueing Networks and Markov Chains, John Wiley &<br />

Sons, Inc., 1998<br />

[16] M. Srivastava, A. Chandrakasan. R. Brodersen, “Predictive system shutdown and other architectural techniques<br />

<strong>for</strong> energy efficient programmable computation," IEEE Transactions on VLSI Systems, Vol. 4, No. 1 (1996), pages<br />

42-55.


[17] C.-H. Hwang and A. Wu, “A Predictive System Shutdown Method <strong>for</strong> Energy Saving of Event-Driven<br />

Computation,” Proc. of the Intl. Conference on Computer Aided Design, pages 28-32, November 1997.<br />

[18] Q. Qiu, Q. Wu and M. Pedram, “Dynamic Power management: A Continuous-Time Stochastic <strong>Approach</strong>”,<br />

USC EE-Systems Dept., CENG 99-02.


DAC'99, pages 562-567<br />

Parallel Mixed-Level Power Simulation <strong>Based</strong> on Spatio-Temporal Circuit Partitioning<br />

Mauro Chinosi, Roberto Zafalon, and Carlo Guardiani<br />

Advanced Research, Central R&D DAIS, SGS-THOMSON Agrate B. (MI), ITALY<br />

Abstract<br />

In this work we propose a technique <strong>for</strong> spatial and temporal partitioning of a logic circuit based<br />

on the nodes activity computed by using a simulation at an higher level of abstraction. Only<br />

those components that are activated by a given input vector are added to the detailed simulation<br />

netlist. The methodology is suitable <strong>for</strong> parallel implementation on a multi-processor<br />

environment and allows to arbitrarily switch between fast and detailed levels of abstraction<br />

during the simulation run. The experimental results obtained on a significant set of benchmarks<br />

show that it is possible to obtain a considerable reduction in both CPU time and memory<br />

occupation together with a considerable degree of accuracy. Furthermore the proposed technique<br />

easily fits in the existing industrial design flows.<br />

REFERENCES<br />

[1] R. Zafalon, C. Guardiani, “Power Estimation and Synthesys: <strong>An</strong> Industrial perspective”, Invited talk at<br />

PATMOS-97<br />

[2] ELDO, “User Manual”, Mentor Graphics, Wilsonville, Oregon<br />

[3] A. Devgan and R. Rohrer, “Event Driven Adaptively Controlled Explicit Simulation of Integrated Circuits”,<br />

1993<br />

[4] C. X. Huang, etc., “The Design and Implementation of PowerMill”, ACM/IEEE International Symposium on<br />

Low Power Design, pp. 105-109, 1995<br />

[5] R. Lipsett, C. Shaefer and C. Ussery, “VHDL: Hardware Description and Design”, Kluwer, 1990, Boston, MA<br />

[6] DesignPower, “Reference Manual v1998.02”, Synopsys Inc., Moutainview, CA, 1998<br />

[7] WattWatcher, “User manual”, Sente’, Inc., Acton, MA<br />

[8] M. Nemani, F. N. Najm, “Towards a High-Level Power Estimation Capability”, IEEE Transaction on CAD of<br />

Integrated Circuits and Systems, pp. 588-598, Vol. 15, No. 6, june 1996<br />

[9] L. Benini, G. De Micheli, E. Macii, M. Poncino, R. Scarsi, “Fast Power Estimation <strong>for</strong> Deterministic input<br />

Streams”, ICCAD-97, pp. 494-501<br />

[10] L. Benini, G. De Micheli, E. Macii, M. Poncino, R. Scarsi, “Quick Generation of Temporal Power Wave<strong>for</strong>ms<br />

<strong>for</strong> RT-Level Hard Macros”, IEEE-97 International Conference onInnovative Systems in Silicon, ISIS-97, pp. 331-<br />

337<br />

[11] R. A. Saleh, B. A. A. <strong>An</strong>tao and J. Singh, “Multilevel and Mixed-Domain Simulation of <strong>An</strong>alog Circuits and<br />

Systems”, IEEE Transaction on CAD of Integrated Circuits and Systems, Vol. 15, No. 1, Jan. 1996<br />

[12] P. Vanoostende, P. Six, J. Vandewalle and H. J. De Man, “Estimation of Typical Power of Synchronous CMOS<br />

Circuits Using a Hierarchy of Simulators”, JSSC, Vol. 28, No. 1, Jan. 1993<br />

[13] F. M. Johannes, “Partitioning of VLSI Circuits and Systems”, 33th DAC, pp. 83-87, 1996<br />

[14] D. Rabe, G. Jochens, L. Kruse, W. Nebel, “Power-Simulation of Cell <strong>Based</strong> ASICs: Accuracy and Per<strong>for</strong>mance<br />

Trade-Offs”, Proceedings of DATE-98, pp. 356-361<br />

[15] E. Naroska, “Parallel VHDL Simulation”, Proceedings of DATE-98, pp. 159-163<br />

[16] V. Kim, “Parallel Algorithms <strong>for</strong> CMOS Power Estimation”, Master Thesis, Northwestern University, 1997,<br />

available at http://www.ece.nwu.edu/cpdc/TechReports/<br />

[17] V. Kim and P. Banerjee, “Parallel Algorithms <strong>for</strong> Power Estimation”, Proceedings of DAC-98<br />

[18] M. Kassab, E. Cerny, S. Aourid, T. Krodel, “Propagation of Last-Transition-Time Constraints in Gate Level<br />

Timing <strong>An</strong>alysis”, Proceedings of DATE-98, pp. 796-802<br />

[19] L. T. Pillage, R. A. Roher, C. Visweswariah, “Electronic Circuit and System Simulation Methods”,<br />

McGrawHill<br />

[20] VERILOG-XL, “Reference Manual”, CADENCE Design Systems


DAC'99, pages 568-573<br />

Low-Power Behavioral Synthesis Optimization Using Multiple Precision Arithmetic<br />

Milos Ercegovac, Darko Kirovski, George Potkonjak<br />

Computer Science Department, University of Cali<strong>for</strong>nia, Los <strong>An</strong>geles<br />

Abstract<br />

Many modern multimedia applications such as image and video processing are characterized by<br />

a unique combination of arithmetic and computational features: fixed-point arithmetic, a variety<br />

of short data types, high degree of instruction-level parallelism, strict timing constraints, and<br />

high computational requirements. Computationally intensive algorithms usually boost device's<br />

power dissipation which is often key to the efficiency of many communications and multimedia<br />

applications. Although recently virtually all general-purpose processors have been equipped with<br />

multiprecision operations, the current generation of behavioral synthesis tools <strong>for</strong> applicationspecific<br />

systems does not utilize this power/per<strong>for</strong>mance optimization paradigm.<br />

In this paper, we explore the potential of using multiple precision arithmetic units to effectively<br />

support synthesis of low-power application-specific integrated circuits. We propose a new<br />

architectural scheme <strong>for</strong> collaborate addition of sets of variable precision data. We have<br />

developed a novel resource allocation and computation assignment methodology <strong>for</strong> a set of<br />

multiple precision arithmetic units. The optimization algorithms explore the trade-off allocating<br />

low-width bus structures and executing multiple-cycle operations. Experimental results indicate<br />

strong advantages of the proposed approach.<br />

References<br />

[Ber97] http://www-cad.eecs.berkeley.edu/Software/<br />

[Bli97] J.F. Blinn. Fugue <strong>for</strong> MMX. IEEE Computer Graphics and Applications, vol.17, (no. 2), pp.88-93, 1997.<br />

[Cha92] A.P. Chandrakasan, M. Potkonjak, J. Rabaey, R.W. Brodersen. HYPER-LP: a system <strong>for</strong> power<br />

minimization using architectural trans<strong>for</strong>mations. International Conference on Computer-Aided Design, pp.300-3,<br />

1992.<br />

[Che96] W. Chen, et. al. Native signal processing on the Ultrasparc in the Ptolemy environment. Asilomar<br />

Conference on Signals, Systems and Computers, vol.2, pp.1368-72, 1997.<br />

[Erc96] M. Ercegovac, D. Kirovski, G. Mustafa, M. Potkonjak. LowPower Behavioral Synthesis Optimization<br />

Using Multiple Precision Arithmetic. Technical Report, Computer Science Department, University of Cali<strong>for</strong>nia,<br />

Los <strong>An</strong>geles, 1996.<br />

[Gar79] M.R. Garey, D.S. Johnson. Computers and intractability: a guide to the theory of NP-completeness. W.H.<br />

Freeman, San Francisco, 1979.<br />

[Gol96] G. Goldman, P. Tirumalai. UltraSPARC-II: the advancement of ultracomputing. COMPCON, 1996.<br />

[Jah97] B. Jahne. SIMD image processing algorithms with the Intel multimedia extension instruction set.<br />

Automatisierungstechnik, vol.45, (no.10), pp.453-60, 1997.<br />

[Kar93] W. Karmer. Multiple-precision computations with result verification. Scientific computing with automatic<br />

result verification, Academic Press, pp.325-56, 1993.<br />

[Lak98] G. Lakshminarayana and N.K. Jha. Synthesis of poweroptimized and area-optimized circuits from<br />

hierarchical behavioral descriptions. Design Automation Conference, pp.43944, 1998.<br />

[Lee97] C. Lee, et. al. DSP Quant: Design, Validation, and Applications of DSP Hard Real-Time Benchmarking.<br />

ICCASP, 1997.<br />

[Lou95] M.E. Louie, M.D. Ercegovac. A variable-precision square root implementation <strong>for</strong> field programmable gate<br />

arrays. Journal of Supercomputing, vol.9, (no. 3), pp-315-36, 1995.<br />

[Mou96] Z.J.A. Mou, D.S. Rice, D. Wei. VIS-based native video processing on UltraSPARC. International<br />

Conference on Image Processing, pp.153-6, 1996.<br />

[Pel96] A. Peleg, U. Weiser. MMX technology extension to the Intel architecture. IEEE Micro, vol.16, (no. 4),<br />

pp.42-50, 1996.


[Pot92] M. Potkonjak, J. Rabaey. Maximally fast and arbitrarily fast implementation of linear computations (circuit<br />

layout CAD). International Conference on Computer-Aided Design, pp.304-8, 1992.<br />

[Pot95] M. Potkonjak, M.B. Srivastava. Behavioral synthesis of high per<strong>for</strong>mance, low cost, and low power<br />

application specific processors <strong>for</strong> linear computations. International Conference on Application Specific Array<br />

Processors, p.45-56, 1994.<br />

[Rag94] A. Raghunathan, N.K. Jha. Behavioral synthesis <strong>for</strong> low power. International Conference on Computer<br />

Design, pp.318- , 22, 1994.<br />

[Sal89] A. Salz, M. Horowitz. IRSIM: an incremental MOS switchlevel simulator. Design Automation Conference,<br />

pp.173-8, 1989.<br />

[Sch95] M.J. Schulte, E.E., Jr. Swartzlander. Hardware design and arithmetic algorithms <strong>for</strong> a variable-precision,<br />

interval arithmetic coprocessor. 12th Symposium on Computer Arithmetic, 1995.<br />

[Sin95] D. Singh, et al. Power conscious CAD tools and methodologies: a perspective. Proc. of the IEEE, vol.83,<br />

(no.4), pp.570-94, 1995.<br />

[Smi96] D.M. Smith. A multiple-precision division algorithm. Mathematics of Computation, vol.65, (no. 213), pp-<br />

157-63, 1996.<br />

[Tak95] N. Takagi. A multiple-precision modular multiplication algorithm with triangle additions. IEICE<br />

Transactions on In<strong>for</strong>mation and Systems, vol.E78, 1995.<br />

[Zho95] C.G. Zhou, et. al. MPEG video decoding with the UltraSPARC visual instruction set. COMPCON,1995.


DAC'99, pages 574-579 A Methodology For the Verification of a “System on Chip”<br />

Daniel Geist, Giora Biran, Tamara Arons, Michael Slavkin, Yvgeny Nustov, Monica Farkas,<br />

Karen Holtz<br />

IBM Haifa Research Lab, MATAM Advanced Technology Center, Haifa, Israel<br />

<strong>An</strong>dy Long, Dave King, Steve Barret<br />

IBM Field Design Center, Essex Junction, VT, U.S.A.<br />

ABSTRACT<br />

This paper summarizes the verification ef<strong>for</strong>t of a complex ASIC designated to be an "all in one"<br />

ISDN network router. This ASIC is unique because it actually consists of many independent<br />

components, called "cores" (including the processor). The integration of these components onto<br />

one chip results in an ISOC (Integrated System On a Chip). The complexity of verifying an<br />

ISOC is virtually impossible without a proper methodology. This paper presents the<br />

methodology developed <strong>for</strong> verifying the router. In particular, the verification method as well as<br />

the tools that were built to execute this method are presented. Finally, a summary of the<br />

verification results is given.<br />

Keywords: Systems on chip,verification, test and debugging.<br />

REFERENCES<br />

[1] A. Aharon, D. Goodman, M. Levinger, Y. Lichtenstein, Y. Malka, C. Metzger, M. Molcho, and G. Shurek. Test<br />

program generation <strong>for</strong> functional verification of powerpc processors in ibm. DAC, 1995.<br />

[2] A.Aharon, A. Bar-David, B. Dorfrman, E. Gofman, M. Leibowitz, and V. Schwartzburd. Verification of the IBM<br />

RISC System/6000 by a dynamic biased pseudo-random test program generator. IBM Systems Journal, 30(4), April<br />

1991.<br />

[3] G. Biran. MAL Functional Spec.. HDG, Haifa, ISRAEL, 1997.<br />

[4] A. Chandra, V. Iyengar, D. Jameson, R. Jawalkelar, I. Nair, B. Rosen, M. Mullen, J. Yoon, R. Armoni, D. Geist,<br />

and Y. Wolfsthal. AVPGEN - A Test Case Generator <strong>for</strong> Architecture Verification. IEEE Transactions on VLSI<br />

Systems, 6(6), June 1995.<br />

[5] R. Grinwald, Harel E., M. Orgad, S. Ur, A. Ziv. User defined coverage- a tool supported methodology <strong>for</strong> design<br />

verification. DAC 1998.<br />

[6] C. May, E. Silha, R. Simpson, and H. Warren, editors. The PowerPC Architecture. Morgan Kaufmann, 1994.<br />

[7] A.Mesh, EmacII Functional Spec., HDG, Haifa, ISRAEL, 1997.<br />

[8] M. Schaffer and E. Green. On-Chip Peripheral Bus Specification. PowerPC Embedded Proceesor Solutions,<br />

RTP, NC, Mar. 1996.<br />

[9] M. Schaffer and J. Revilla. PowerPC 4XX Local Bus Specification. PowerPC Embedded Proceesor Solutions,<br />

RTP, NC, Oct. 1996.


DAC'99, pages 580-585<br />

ICEBERG: <strong>An</strong> Embedded In-circuit Emulator Synthesizer <strong>for</strong> Microcontrollers<br />

Ing-Jer Huang and Tai-<strong>An</strong> Lu<br />

Institute of Computer and In<strong>for</strong>mation Engineering<br />

National Sun Yat-sen University, Kaohsiung, Taiwan, R. O. C.<br />

Abstract<br />

This paper presents a synthesis tool ICEBERG <strong>for</strong> embedded in-circuit emulators (ICE's), that<br />

are part of the development environment <strong>for</strong> microcontroller (or microprocessor)-based systems<br />

(PIPER-II). the tool inserts and integrates the necessary in-circuit emulation circuitry into a given<br />

RTL core of a microcontroller, and thus turning the core into an embedded ICE. The ICE, based<br />

on the IEEE 1149.I JTAG architecture, provides standard debugging mechanisms, including<br />

boundary scan paths, partial scan paths, single stepping, internal resource monitoring and<br />

modification, breakpoint detection, and mode switching between debugging and free running<br />

modes. ICEBERG has been successfully applied to synthesize the embedded ICE <strong>for</strong> an<br />

industrial microcontroller HT48100 from its RTL core.<br />

Reference<br />

[1] HT48100 Development Data Book, Holtek Microelectonics, Dec. 1994.<br />

[2] I-J Huang and A. Despain, "Synthesis of Application Specific Instruction Sets," IEEE Trans. On ComputerAided<br />

Design of Integrated Circuits and Systems, 1994.<br />

[3] Ing-Jer Huang, Li-Rong Wang, Yu-Min Wang, "Synthesis and <strong>An</strong>alysis of an Industrial Microcontroller," In<br />

Proceedings of Asia <strong>An</strong>d South Pacific Design Automation Conference (ASP-DAC'97), 1997.<br />

[4] David W. Knapp, Behavioral Synthesis, Digital System Design Using Synopsys Behavioral Compiler, 1996.<br />

[5] "Concepts of Emulation <strong>An</strong>d <strong>An</strong>alysis, Edition 1," Hewlett Packard, Nov. 1990<br />

[6] Gernot Koch, Udo Kebschull, Wolfgang Rosensitel, "Breakpoints and breakpoint Detection in Source Level<br />

Emulation," International Symposium of System Synthesis, 1996.<br />

[7] IEEE Standard Test Access Port and Boundary-Scan Architecture, IEEE Std 1149.1.1 a-1993<br />

[8] Colin M. Maunder and Rodham E. Tulloss, "The Test Access Port and Boundary-Scan Architecture," IEEE<br />

Computer Society Press Tutorial, 1990.<br />

[9] Nur A. Touba and Bahram Pouya," Testing Embedded Cores Using Partial Isolation Rings"<br />

[10] V. Fernandez and P. Sanchez, " Partial Scan HighLevel Synthesis," IEEE ED&TC, 1996.<br />

[11] "The ARM7TDMI Debug Architecture," Application Note 28, Dec. 1995.<br />

[12] ARM 7TDMI Data Sheet, Advanced RISC Machines Ltd., 1995.<br />

[13] Neil H.E. Weste and Kamran Eshraghian, Principles of CMOS VLSI Design, 2 nd ed., P 505-508<br />

[14] ICE Production In<strong>for</strong>mation, Microtek International, http: //server3. microtek. com. tw/mice/product. html.<br />

[15] Design Ware, Synopsys Corp., 1998.<br />

[16] K. Sievert, et al., "On-chip Emulation and Debugging <strong>for</strong> Embedded Microcontrollers using the IMS<br />

ScanDebugger," European Design and Test Conference, pp. 229-232, 1995.<br />

[17] R. Zak Jr. and Jeffrey Hill, "<strong>An</strong> IEEE 1149.1 Compliant Testability Architecture with Internal Scan,"<br />

Proceeding of Int'l Conference on Computer Design, 1992.


DAC'99, pages 586-591<br />

Microprocessor <strong>Based</strong> Testing <strong>for</strong> Core-<strong>Based</strong> System on Chip<br />

C. A. Papachristou F. Martin M. Nourani<br />

Computer Engineering Program, EECS Dept., Case Western Reserve University<br />

Cleveland, OH 44106<br />

Abstract<br />

The purpose of this paper is to develop a flexible design <strong>for</strong> test methodology <strong>for</strong> testing a corebased<br />

system on chip (SOC). The novel feature of the approach is the use an embedded<br />

microprocessor/memory pair to test the remaining components of the SOC. Test data is<br />

downloaded using DMA techniques directly into memory while the microprocessor uses the test<br />

data to test the core. The test results are tranferred to a MISR <strong>for</strong> evaluation. The approach has<br />

several important advantages over conventional ATPG such as achieving at-speed testing, not<br />

limiting the chip speed to the tester speed during test and achieving great flexibility since most of<br />

the testing process is based on software. Experimental results on an example system are<br />

discussed.<br />

References<br />

[1] F.P.M. Beenker, R.G. Bennetts and A.P. Thijssen, “Testability Concepts <strong>for</strong> Digital ICs, The Macro Test<br />

<strong>Approach</strong>," Kluwer Acad. Publishers, 1995.<br />

[2] L. Whetsel, “<strong>An</strong> IEEE 1149.1 <strong>Based</strong> Test Architecture <strong>for</strong> ICs with Embedded IP Cores," Intern. Test Conf.<br />

(ITC-97), Nov. 1997.<br />

[3] K. De, “Test methodology <strong>for</strong> embedded cores which protects intellectual property," VLSI Test Sym. (VTS-97),<br />

pp. 2-9, May 1997.<br />

[4] R. Chandramouli and S. Pateras, “Testing Systems on a Chip," IEEE Spectrum, pp. 42-47, Nov. 1996.<br />

[5] I. Ghosh, N. Jha and S. Dey “A Low Overhead Design <strong>for</strong> Testability and Test Generation Technique <strong>for</strong> Core-<br />

<strong>Based</strong> Systems" Intern. Test Conf. (ITC-97), Nov. 1997.<br />

[6] V. Immaneni and S. Raman, “Direct Access Test Scheme - Design of Block and Core Cells <strong>for</strong> Embedded<br />

ASICs," Intern. Test Conf. (ITC-90), pp. 488-492, Oct. 1990.<br />

[7] M. Nourani and C. Papachristou, “Parallelism in Structural Fault Testing of Embedded Cores," 16th VLSI Test<br />

Sym. (VTS-98), pp. 15-20, April 1998.<br />

[8] N. Touba and B. Pouya, “Testing embedded cores using partial isolation rings," VLSI Test Sym. (VTS-97), pp.<br />

1016, May 1997.<br />

[9] N. Touba and B. Pouya, “Modifying User-defined Logic <strong>for</strong> Test Access to Embedded Cores," Intern. Test Conf.<br />

(ITC-97), Nov. 1997.<br />

[10] “VSI Alliance", Architecture Document, Version 1.0, 1997.<br />

[11] A.J. van de Goor and Th. J. Verhallen, “Functional Testing of Current Microprocessors," Intern. Test<br />

Conference (ITC-92), pp. 684-695, Sept. 1992.<br />

[12] J. Aerts and E. J. Marinissen, “Scan Chain Design <strong>for</strong> Test Time Reduction in Core-<strong>Based</strong> ICs," Intern. Test<br />

Conference (ITC-98), Oct. 1998.


DAC'99, pages 592-597<br />

Using Partitioning to Help Convergence in the Standard-Cell Design Automation<br />

Methodology<br />

Hema Kapadia, Mark A. Horowitz<br />

Computer Systems Lab, Stan<strong>for</strong>d University, Stan<strong>for</strong>d, CA<br />

Abstract<br />

This paper explores a standard-cell design methodology based on netlist partitioning as a<br />

solution <strong>for</strong> the problem of lack of convergence in the conventional methodology in deep<br />

submicron technologies. A synthesized design block is partitioned along unpredictable nets that<br />

are identified from the netlist structure. The size of each partition is restricted so that the longest<br />

possible local net in a partition can be sufficiently driven by an average library gate, hence<br />

allowing statistical wire-load modeling <strong>for</strong> the local nets. The block is resynthesized using a<br />

hybrid wire-load model that takes into account accurate wire-load in<strong>for</strong>mation on the<br />

unpredictable nets derived after floorplanning the partitions, and uses custom statistical wire-load<br />

models within each partition. Final placement is restricted to respect the initial floorplan. The<br />

methodology was implemented using existing commercial tools <strong>for</strong> synthesis and layout.<br />

Experimental results show high correlation between synthesis estimates and post-placement<br />

measurements of wire-loads and gate delays with the new methodology. The trade-offs of<br />

partitioning, current limitations of the methodology and future work to overcome these<br />

limitations are also discussed.<br />

References<br />

[1] K. Keutzer, A. R. Newton, and N. Shenoy, "The future of logic synthesis and physical design in deep-submicron<br />

process geometries," ISPD, April 1997, pp. 218-24.<br />

[2] W. Gosti et al., "Wireplanning in Logic Synthesis," ICCAD, Nov. 1998, pp. 26-33.<br />

[3] A. Salek, J. Lou, and M. Pedram, "A DSM design flow: putting floorplanning, technology mapping and gate<br />

placement together," DAC, June 1998, pp. 287-90.<br />

[4] W. Chuang and I. N. Hajj, "Delay and area optimization <strong>for</strong> compact placement by gate resizing and relocation,"<br />

ICCAD, Nov. 1994, pp. 145-8.<br />

[5] S. Hojat and P. Villarrubia, "<strong>An</strong> integrated placement and synthesis approach <strong>for</strong> timing closure of Power PC<br />

microprocessors," IWLS, May 1997.<br />

[6] L. N. Kannan, P. R. Sauris, and Hong-Gee Fang, "A methodology and algorithms <strong>for</strong> post-placement delay<br />

optimization," DAC, June 1994, pp. 327-32.<br />

[7] K. Sato et al., "Post-layout optimization <strong>for</strong> deep submicron design," DAC, June 1996, pp. 740-5.<br />

[8] M. Lee et al., "Incremental timing optimization <strong>for</strong> physical design by interacting logic restructuring and layout,"<br />

IWLS, May 1998, pp. 508-13.<br />

[9] G. Stenz et al., "Timing driven placement in interaction with netlist trans<strong>for</strong>mations," ISPD, April 1997, pp. 36-<br />

41.<br />

[10] H.-P. Su, A.C-H. Wu, and Y.-L. Lin, "Per<strong>for</strong>mance-driven softmacro clustering and placement by preserving<br />

HDL design hierarchy," ISPD, April 1998.<br />

[11] J. Cong and X. Dongmin, "Exploiting signal flow and logic dependency in standard cell placement," ASP-DAC,<br />

Aug. 1995, pp. 399-404.<br />

[12] I. Sutherland, B. Sproull, and D. Harris, Logical Ef<strong>for</strong>t: Designing Fast CMOS Circuits, Morgan Kaufmann,<br />

1999.<br />

[13] A. Sangiovanni-Vincentelli G. De Micheli and P. <strong>An</strong>tognetti, Design Systems <strong>for</strong> VLSI Circuits: Logic<br />

Synthesis and Silicon Compilation, Martinus Nijhoff Publishers, 1986.<br />

[14] H. B. Bokaglu, Circuits, Interconnections, and Packaging <strong>for</strong> VLSI, Addison-Wesley Publishing Company,<br />

1990.<br />

[15] J. Kuskin et al., "The San<strong>for</strong>d FLASH multiprocessor," International Symposium on Computer Architecture,<br />

April 1994, pp. 302-13.


[16] J. P. Bergmann and M. A. Horowitz, "Vex - A CAD Toolbox," DAC, June 1999.


DAC'99, pages 598-603<br />

Comparing RTL and Behavioral Design Methodologies in the Case of a 2M-Transistor<br />

ATM Shaper<br />

Imed Moussa 1 , Zoltan Sugar 1 , Rodolph Suescun 2 , Mario Diaz-Nava 3 ,<br />

Marco Pavesi 4 , Salvatore Crudo 4 , Luca Gazi 4 and Ahmed Amine Jerraya 1<br />

1 TIMA laboratory, 38031 Grenoble France<br />

2 AREXSYS, Grenoble France<br />

3 STMicroelectronics, 38921 Crolles France<br />

4 Italtel, 20019 Settimo Milanese Italy<br />

ABSTRACT<br />

This paper describes the experience and the lessons learned during the design of an ATM traffic<br />

shaper circuit using behavioral synthesis. The experiment is based on the comparison of the<br />

results of two parallel design flows starting from the same specification. The first used a classical<br />

design method based on RTL synthesis. The second design flow is based on behavioral<br />

synthesis. The experiment has shown that behavioral synthesis is able to produce efficient design<br />

in terms of gate count and timing while bringing a threefold reduction in design ef<strong>for</strong>t when<br />

compared to RTL design methodology.<br />

References<br />

[1] D.D. Gajski, N.D. Dutt, A.CH.Wu, and S.YL. Lin. High-level Synthesis, Introduction to Chip and System<br />

Design. Kluwer Academic Publishers, Borton/London/Dordrecht, 1991.<br />

[2] D.Ku and G. DeMicheli. High-level Synthesis of ASICs under Timing and Synchronization Constraints. Kluwer<br />

Academic Publishers, Borton/London/Dordrecht, 1992.<br />

[3] E. Berrebi, P. Kission, S. Vernalde, S. De Troch, J.C. Herluison, J. Frehel, A.A. Jerraya, and I. Bolsens.<br />

Combined control flow dominated and data flow dominated high-level synthesis. 33rd ACM/IEEE Design<br />

Automation Conference DAC’96, June 1996.<br />

[4] T.E. Furtrman. Industrial extensions to university high-level synthesis tools: Making it work in the real work.<br />

28rd ACM/IEEE Design Automation Conference DAC’91, June 1991.<br />

[5] M. Genoe, P. Vanoostende, and G. Van Wauwe. On the use of vhdl-based behavioral synthesis <strong>for</strong> telecom asic<br />

design. In the Proceedings of the International Symposium on System Synthesis ISSS’95, February 1995.<br />

[6] M.T. Lee, Y. Hsu, Ben Chen, and M. Fujita. Domain-specific high-level modeling and synthesis <strong>for</strong> atm switch<br />

design using vhdl. In 33rd ACM/IEEE Design Automation Conference DAC’96, June 1996.<br />

[7] The ATM Forum Technical Committee. Traffic management specification v4.0. af-tm-oo56.000 Letter Ballot,<br />

April 1996.<br />

[8] R. A. Walker and Gaetano Boriello. A Survey of High-Level Synthesis Systems. Kluwer Academic Publishers,<br />

Borton/London/Dordrecht, 1991.<br />

[9] D.D. Gajski and L. Ramacahndran. Introduction to high level synthesis. IEEE Design and Test Computer,<br />

October 1994.<br />

[10] A. Seawright andW.Meyer. Partitioning and optimizing controllers synthesized from hierarchical high-level<br />

descriptions. 35rd ACM/IEEE Design Automation Conference, June 1998.<br />

[11] A.A. Jerraya, H. Ding, P. Kission, and M. Rahmouni. Behavioral Synthesis and Component Reuse with VHDL.<br />

Kluwer Academic Publishers, Borton/London/Dordrecht, 1997.<br />

[12] R.A. Bergamaschi. Productivity issues in high-level design: Are tools solving the real problems? 32rd<br />

ACM/IEEE Design Automation Conference DAC’96, June 1995.


DAC'99, pages 604-609<br />

Engineering Change: Methodology and Applications to Behavioral and System Synthesis<br />

Darko Kirovski, Miodrag Potkonjak<br />

Computer Science Department, University of Cali<strong>for</strong>nia, Los <strong>An</strong>geles<br />

Abstract<br />

Due to the unavoidable need <strong>for</strong> system debugging, per<strong>for</strong>mance tuning, and adaptation to new<br />

standards, the engineering change (EC) methodology has emerged as one of the crucial<br />

components in synthesis of systems-on-chip. We introduce a novel design methodology which<br />

facilitates design-<strong>for</strong>-EC and post-processing to enable EC with minimal perturbation. Initially,<br />

as a synthesis pre-processing step, the original design specification is augmented with additional<br />

design constraints which ensure flexibility <strong>for</strong> future correction. Upon alteration of the initial<br />

design, a novel post-processing technique achives the desired functionality with a near-minimal<br />

perturbation of the initially optimized design. The key contribution we introduce is a constraint<br />

manipulation technique which enables reduction of an arbitrary EC problem into its<br />

corresponding classical synthesis problem. As a result, in both pre- and post-processing <strong>for</strong> EC,<br />

classical synthesis algorithms can be used to enable flexibility and per<strong>for</strong>m the correction<br />

process. We demonstrate the developed EC methodology on a set of behavioral and system<br />

synthesis tasks.<br />

References<br />

[Bra94] D. Brand, etal. Incremental synthesis. ICCAD, p.14-18, 1994. [Buc97] P. Buch, et al. EC <strong>for</strong> power<br />

optimization using global sensitivity and synthesis flexibility. Low Power Electronics and Design, pp.88-91, 1997.<br />

[Cha97] S.-C. Chang et al. Postlayout logic restructuring using alternative wires. TOAD, Vol.16, (no.6), pp.587-96,<br />

1997.<br />

[DeM94] G. De Micheli. Synthesis and optimization of digital circuits. McGraw-Hill, New York, NY, 1994.<br />

[Edw97] S. Edwards et al. Design of embedded systems: <strong>for</strong>mal models, validation, and synthesis. Proceedings of<br />

the IEEE, Vol-85, (no.3), pp.366-90, 1997.<br />

[Fan97] W.-J. Fang, et al. A real time RTL engineering change method supporting online debugging <strong>for</strong> logic<br />

emulation applications. DAC, pp.101-6, 1997.<br />

[Gar79] M.R. Garey and D.S. Johnson. Computers and intractability: a guide to the theory of NP-completeness.<br />

W.H. Freeman, 1979.<br />

[Hwa91] C.-T. Hwang, J.-H. Lee, and Y.-C. Hsu. A <strong>for</strong>mal approach to the scheduling problem in high level<br />

synthesis. TOAD, Vol.10, (no.4), pp.464-475, 1991.<br />

[Kha96] S.P. Khatri, et al. Engineering change in a non-deterministic FSM setting. DAC, pp.451-6, 1996.<br />

[Kur87] F.J. Kurdahi and A.C. Parker. REAL: a program <strong>for</strong> REgister ALlocation. DAC, pp.210-215, 1987.<br />

[Lak98] G. Lakshminarayana, et al. Incorporating speculative execution into scheduling of control-flow intensive<br />

behavioral descriptions. DAC, pp.108-13, 1998.<br />

[Lee87] E.A. Lee and D.G. Messerschmitt. Synchronous dataflow. Proc. of the IEEE, Vol.75, (no.9), pp.1235-45,<br />

1987.<br />

[Mad89] J.C. Madre, et al. Automating the diagnosis and the rectification of design errors with PRIAM. ICCAD,<br />

pp.30-3, 1989.<br />

[Pau89] P.G. Paulin, et al. Force-directed scheduling <strong>for</strong> the behavioral synthesis of ASICs. TCAD, Vol.8, (no.6),<br />

pp.661-679, 1989.<br />

[Rab91] J. Rabaey, et al. Fast prototyping of data path intensive architectures. Design & Test, Vol.8, (no. 2), pp.40-<br />

51, 1991.<br />

[Sha95] G.A. Shaw, et al. Assessing and improving current practice in the design of ASSPs. ICASSP, pp.2707-10,<br />

1995.<br />

[Sto89] L. Stok and R. van den Born. EASY: multiprocessor architecture optimisation. Logic and Arch. Synthesis<br />

<strong>for</strong> Silicon Compilers, pp.313-328, 1989.<br />

[Swa97] G. Swamy, et al. Minimal logic re-synthesis <strong>for</strong> engineering change. ISCS, Vol.3. pp.1596-9, 1997.


[Wat91] Y. Watanabe and R.K. Brayton. Incremental synthesis <strong>for</strong> EC. ICCAD, pp.40-3, 1991.


DAC'99, pages 610-615<br />

Reconfigurable Computing: What, Why, and Implications <strong>for</strong> Design Automation<br />

<strong>An</strong>dré DeHon and John Wawrzynek<br />

Berkeley Reconfigurable, Architectures, Software, and Systems<br />

Computer Science Division, University of Cali<strong>for</strong>nia at Berkeley, Berkeley, CA 94720-1776<br />

Abstract<br />

Reconfigurable Computing is emerging as an important new organizational structure <strong>for</strong><br />

implementing computations. It combines the post-fabrication programmability of processors with<br />

the spatial computational style most commonly employed in hardware designs. The result<br />

changes traditional "hardware" and "software" boundaries, providing an opportunity <strong>for</strong> greater<br />

computational capacity and density within a programmable media. Reconfigurable Computing<br />

must leverage traditional CAD technology <strong>for</strong> building spatial designs. Beyond that, however,<br />

reprogrammablility introduces new challenges and opportunities <strong>for</strong> automation, including<br />

binding-time and specialization optimizations, regularity extraction and exploitation, and<br />

temporal partitioning and scheduling.<br />

References<br />

[1] Duncan Buell, Jeffrey Arnold, andWalter Kleinfelder. Splash 2: FPGAs in a Custom Computing Machine. IEEE<br />

Computer Society Press, 10662 Los Vasqueros Circle, PO Box 3014,<br />

Los Alamitos, CA 90720-1264, 1996.<br />

[2] Kenneth David Chapman. Fast Integer Multipliers fit in FPGAs. EDN, 39(10):80, May 12 1993. <strong>An</strong>onymous<br />

FTP www.ednmag.com:EDN/di_sig/DI1223Z.ZIP .<br />

[3] <strong>An</strong>dr´e DeHon. Reconfigurable Architectures <strong>for</strong> General-Purpose Computing. AI Technical Report 1586, MIT<br />

Artificial Intelligence Laboratory, 545 Technology Sq., Cambridge, MA 02139, October 1996.<br />

.<br />

[4] <strong>An</strong>dré DeHon. Comparing Computing Machines. In Configurable Computing: Technology and Applications,<br />

volume 3526 of Proceedings of SPIE. SPIE, November 1998..<br />

[5] John R. Hauser and John Wawrzynek. Garp: A MIPS Processor with a Reconfigurable Coprocessor. In<br />

Proceedings of the IEEE Symposium on Field-Programmable Gate Arrays <strong>for</strong> Custom Computing Machines, pages<br />

12–21. IEEE, April 1997. .<br />

[6] Daniel J. Magenheimer, Liz Peters, Karl Pettis, and Dan Zuras. Integer Multiplication and Division on the HP<br />

Precision Architecture. In Proceedings of the Second International Conference on the Architectural Support <strong>for</strong><br />

Programming Languages and Operating Systems, pages 90–99. IEEE, 1987.<br />

[7] A. Peleg, S. Wilkie, and U. Weiser. Intel MMX <strong>for</strong> Multimedia PCs. Communications of the ACM, 40(1):24–38,<br />

January 1997.<br />

[8] Jan Rabaey. Reconfigurable Computing: The Solution to Low Power Programmable DPP. In Proceedings of the<br />

1997 IEEE International Conference on Acoustics, Speech, and Signal Processing, April 1997.<br />

[9] Charlé Rupp, Mark Landguth, Tim Garverick, Edson Gomersall, Harry Holt, Jeffrey Arnold, and Maya Gokhale.<br />

The NAPA Adaptive Processing Architecture. In Proceedings of the IEEE Symposium on FPGAs <strong>for</strong> Custom<br />

Computing Machines, pages 28–37, April 1998.<br />

[10] Lawrence Snyder. <strong>An</strong> Inquiry into the Benefits of Multigauge Parallel Computation. In Proceedings of the 1985<br />

International Conference on Parallel Processing, pages 488–492. IEEE, August 1985.<br />

[11] Jean E. Vuillemin, Patrice Bertin, Didier Roncin, Mark Shand, Hervé Touati, and Philippe Boucard.<br />

Programmable Active Memories: Reconfigurable Systems Come of Age. IEEE Transactions on VLSI Systems,<br />

4(1):56–69, March 1996. <strong>An</strong>onymous FTP pam.devinci.fr:pub/doc/To-Be-Published/PAMieee.ps.Z.


DAC'99, pages 616-622<br />

<strong>An</strong> Automated Temporal Partitioning and Loop Fission approach <strong>for</strong> FPGA based<br />

reconfigurable synthesis of DSP applications<br />

Meenakshi Kaul, Ranga Vemuri, Sriram Govindarajan and Iyad Ouaiss<br />

Digital Design Environments Laboratory, University of Cincinnati, Cincinnati, OH 45221-0030<br />

Abstract<br />

We present an automated temporal partitioning and loop trans<strong>for</strong>mation approach <strong>for</strong> developing<br />

dynamically reconfigurable designs starting from behavior level specifications. <strong>An</strong> Integer<br />

Linear Programming (ILP) model is <strong>for</strong>mulated to achieve near-optimal latency designs. We,<br />

also present a loop restructuring method to achieve maximum throughput <strong>for</strong> a class of DSP<br />

applications. This restructuring trans<strong>for</strong>mation is per<strong>for</strong>med on the temporally partitioned<br />

behavior and results in near-optimization of throughput. We discuss efficient memory mapping<br />

and address generation techniques <strong>for</strong> the synthesis of reconfigurable designs. A Case study on<br />

the Joint Photographic Experts Group (JPEG) image compression algorithm demonstrates the<br />

effectiveness of our approach.<br />

References<br />

[1] M. J. Wirthlin and B. L. Hutchings, “Sequencing Run-Time Reconfigured Hardware with Software",<br />

ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 1996, pp. 122-128.<br />

[2] R. D. Hudson, D. I. Lehn and P. M. Athanas, “A Run-Time Reconfigurable Engine <strong>for</strong> Image Interpolation",<br />

IEEE Symposium on FPGAs <strong>for</strong> Custom Computing Machines, FCCM 1998, pp. 88-95.<br />

[3] M. B. Gokhale and J. M. Stone, “NAPA C:Compiling <strong>for</strong> Hybrid RISC/FPGA Architectures", IEEE Symposium<br />

on FPGAs <strong>for</strong> Custom Computing Machines, FCCM 1998, pp. 126-135.<br />

[4] M. Vasiliko and D. Ait-Boudaoud, “Architectural Synthesis <strong>for</strong> Dynamically Reconfigurable Logic",<br />

International Workshop on Field-Programmable Logic and Applications, FPL 1996, pp. 290-296.<br />

[5] K. M. GajjalaPurna and D. Bhatia, “Temporal Partitioning and Scheduling <strong>for</strong> Reconfigurable Computing",<br />

IEEE Symposium on FPGAs <strong>for</strong> Custom Computing Machines, FCCM 1998, pp. 329-330.<br />

[6] J. Spillane and H. Owen, “Temporal Partitioning <strong>for</strong> Partially-Reconfigurable-Field-Programmable Gate",<br />

Reconfigurable Architectures Workshop in 12th International Parallel Processing Symposium and 9th Symposium<br />

on Parallel and Distributed Processing, IPPS/SPDP 1998, pp. 37-42.<br />

[7] M. Kaul and R. Vemuri, “Optimal Temporal Partitioning and Synthesis <strong>for</strong> Reconfigurable Architectures",<br />

Design and Test in Europe, DATE 1998, pp. 389-396.<br />

[8] S. Trimberger, “Scheduling designs into a Time-Multiplexed FPGA", ACM/SIGDA International Symposium on<br />

Field Programmable Gate Arrays, FPGA 1998, pp. 153-160.<br />

[9] S. Trimberger, “A Time-Multiplexed FPGA", IEEE Symposium on FPGAs <strong>for</strong> Custom Computing Machines,<br />

FCCM 1997, pp. 22-28.<br />

[10] M. Xu, F. Kurdahi, “Layout Driven High Level Synthesis <strong>for</strong> FPGA <strong>Based</strong> Architectures", Design and Test in<br />

Europe '98.<br />

[11] I. Ouaiss, S. Govindarajan, V. Srinivasan, M. Kaul and R. Vemuri, “<strong>An</strong> Integrated Partitioning and Synthesis<br />

System <strong>for</strong> Dynamically Reconfigurable Multi-FPGA Architectures", Reconfigurable Architectures Workshop in<br />

12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing,<br />

IPPS/SPDP 1998, pp. 31-36.<br />

[12] S. Govindarajan, I. Ouaiss, M. Kaul, V. Srinivasan and R. Vemuri, “<strong>An</strong> Effective Design <strong>Approach</strong> <strong>for</strong><br />

Dynamically Reconfigurable Architectures", IEEE Symposium on FPGAs <strong>for</strong> Custom Computing Machines, FCCM<br />

1998, pp.312-313.<br />

[13] J. Roy, N. Kumar and R. Vemuri, “DSS: A Distributed High-Level Synthesis System <strong>for</strong> VHDL<br />

Specifications", IEEE Design and Test of Computers, v9, n2, June 1992, pp. 18-32.<br />

[14] M. Wolf, High Per<strong>for</strong>mance Compilers <strong>for</strong> Parallel Computing, Addison-Wesley Publishers, 1996.<br />

[15] C. H. Gebotys, “<strong>An</strong> Optimal methodology of Synthesis of DSP Multichip Architectures", Journal of VLSI<br />

Signal Processing, v11, p9-19 1995.


[16] R. Niemann and P. Marwedel, “<strong>An</strong> Algorithm <strong>for</strong> Hardware/Software Partitioning Using Mixed Integer Linear<br />

Programming", Proceedings of the ED&TC, 1996.<br />

[17] G.K. Wallace, “The JPEG Still Picture Compression Standard", ACM Communications, 1991.<br />

[18] WILDFORCE Reference Manual, Document #1189 – Release Notes, <strong>An</strong>napolis Micro Systems, Inc..


DAC'99, pages 623-628<br />

Dynamically Reconfigurable Architecture <strong>for</strong> Image Processor Applications<br />

Alexandro M. S. Adário Eduardo L. Roehe Sergio Bampi<br />

Institute <strong>for</strong> In<strong>for</strong>matics – Federal University at Porto Alegre<br />

9500 – Porto Alegre, RS – Brazil<br />

ABSTRACT<br />

This work presents an overview of the principles that underlie the speed-up achievable by<br />

dynamic hardware reconfiguration, proposes a more precise taxonomy <strong>for</strong> the execution models<br />

<strong>for</strong> reconfigurable plat<strong>for</strong>ms, and demonstrates the advantage of dynamic reconfiguration in the<br />

new implementation of a neighborhood image processor, called DRIP. It achieves a real-time<br />

per<strong>for</strong>mance, which is 3 times faster than its pipelined non-reconfigurable version.<br />

Keywords: Reconfigurable architecture, image processing, FPGA<br />

REFERENCES<br />

[1] Adário, A. M. S.; Côrtes, M. L.; Leite, N. J. “A FPGA Implementation of a Neighborhood Processor <strong>for</strong> Digital<br />

Image Applications” In: 10 Brazilian Symposium on Integrated Circuit Design, Ago 1997. Proceedings..., 1997 p.<br />

125-134.<br />

[2] ALTERA. Data Book. Altera Corporation, San Jose, Cali<strong>for</strong>nia, 1996.<br />

[3] Athanas, P.; Silverman, H. F. “Processor Reconfiguration Through Instruction Set Metamorphosis”. IEEE<br />

Computer, Mar 1993. p 11-18.<br />

[4] Bertin, P. et al, Introduction to Programmable Active Memories. Paris: Digital Equipment Corp., Paris Research<br />

Lab, June 1989. (PRL Report 3).<br />

[5] Fountain, T. J. Processor Arrays: Architecture and Applications. Academic Press, London, 1987.<br />

[6] Gokhale, M. et al. “Building and Using a Highly Parallel Programmable Logic Array.” Computer, vol. 24, no. 1,<br />

Jan 1991. p 81-89.<br />

[7] Hauser, J. R.; Wawrzyneck J. “Garp: A MIPS Processor with a Reconfigurable Coprocessor”. In: IEEE<br />

Symposium on FPGAs <strong>for</strong> Custom Computing Machines, 1997. Proceedings... p 24-33.<br />

[8] Knuth, D. E. The Art of Computer Programming, Reading, Massachusetts: Addison-Wesley, 1973.<br />

[9] Leite, N. J.; Barros, M. A.; “A Highly Reconfigurable Neighborhood Image Processor <strong>Based</strong> on Functional<br />

Programming”. In: IEEE International Conference on Image Processing, Nov 1994. Proceedings... p 659-663.<br />

[10] Page I. Reconfigurable Processor Architectures. Microprocessors and Microsystems, May 1996. (Special Issue<br />

on Codesign).<br />

[11] Pitas, I.; Venetsanopulos, A. N. “ A New Filter Structure <strong>for</strong> Implementation of Certain of Image Processing<br />

Operations”. IEEE Trans. on Circuits and Systems, vol. 35, n. 6, June 1988. P 636-647.<br />

[12] Shand, M.; Vuillemin, J. “Fast Implementations of RSA Cryptography”. In: 11 Symposium on Computer<br />

Arithmetic, 1993, Los Alamitos, Cali<strong>for</strong>nia. Proceedings... p 252-259.<br />

[13] Wirthlin, M. J.; Hutchings, B. L. “A Dynamic Instruction Set Computer”. In: IEEE Symposium on FPGAs <strong>for</strong><br />

Custom Computing Machines, Apr. 1995. Proceedings... p 92-103


DAC'99, pages 629-634 Multi-Time Simulation of Voltage-Controlled Oscillators<br />

OnuttomNarayan*, Jaijeet Roychowdhury†<br />

*University of Cali<strong>for</strong>nia, Santa Cruz.<br />

†Bell Laboratories, Murray Hill.<br />

Abstract<br />

We present a novel <strong>for</strong>mulation, called the WaMPDE, <strong>for</strong> solving systems with <strong>for</strong>ced<br />

autonomous components. <strong>An</strong> important feature of the WaMPDE is its ability to capture<br />

frequency modulation (FM) in a natural and compact manner. This is made possible by a key<br />

new concept: that of warped time, related to normal time through separate time scales. Using<br />

warped time, we obtain a completely general <strong>for</strong>mulation that captures complex dynamics in<br />

autonomous nonlinear systems of arbitrary size or complexity. We present computationally<br />

efficient numerical methods <strong>for</strong> solving large practical problems using the WaMPDE. Our<br />

approach explicitly calculates a time-varying local frequency that matches intuitive expectations.<br />

Applied to VCOs, WaMPDE-based simulation results in speedups of two orders of magnitude<br />

over transient simulation.<br />

References<br />

[AT72] T.J. Aprille and T.N. Trick. Steady-state analysis of nonlinear circuits with periodic inputs. Proc. IEEE,<br />

60(1):108–114, January 1972.<br />

[BL98] H.G. Brachtendorf and R. Laur. Transient Simulation of Oscillators. Technical Report ITD-98-34096K, Bell<br />

Laboratories, 1998.<br />

[BWLBG96] H.G. Brachtendorf, G. Welsch, R. Laur, and A. Bunse-Gerstner. Numerical steady state analysis of<br />

electronic circuits driven by multi-tone signals. Electrical Engineering (Springer-Verlag), 79:103–112, 1996.<br />

[CL75] L.O. Chua and P-M. Lin. Computer-aided analysis of electronic circuits : algorithms and computational<br />

techniques. Prentice-Hall, Englewood Cliffs, N.J., 1975.<br />

[Far94] M. Farkas. Periodic Motions. Springer-Verlag, 1994.<br />

[Got97] I.M. Gottlieb. Practical oscillator handbook. Ox<strong>for</strong>d, 1997.<br />

[GS91] R.J. Gilmore and M.B. Steer. Nonlinear circuit analysis using the method of harmonic balance – a review of<br />

the art. Part I. Introductory concepts. Int. J. on Microwave and Millimeter Wave CAE, 1(1), 1991.<br />

[Haa88] S.A. Haas. Nonlinear Microwave Circuits. Artech House, Norwood, MA, 1988.<br />

[Hay64] C. Hayashi. Nonlinear Oscillations in Physical Systems. McGraw-Hill, 1964.<br />

[KC81] J. Kevorkian and J.D. Cole. Perturbation methods in Applied Mathematics. Springer-Verlag, 1981.<br />

[Lor63] E.N. Lorenz. Deterministic nonperiodic flow. J. Atmos. Sci, 20:130–141, 1963.<br />

[Mar92] Markus Rösch. Schnell Simulation des station¨aren Verhaltens nichtlinearer Schaltungen. PhD thesis,<br />

Technischen Universit¨at M¨unchen, 1992.<br />

[MFR95] R.C. Melville, P. Feldmann, and J. Roychowdhury. <strong>Efficient</strong> multi-tone distortion analysis of analog<br />

integrated circuits. In Proc. IEEE CICC, pages 241–244, May 1995.<br />

[Mur91] J.A. Murdock. Perturbations: Theory and Methods. Wiley, 1991.<br />

[NB95] A. Nayfeh and B. Balachandran. Applied Nonlinear Dynamics. Wiley, 1995.<br />

[NV76] M.S. Nakhla and J. Vlach. A Piecewise Harmonic Balance Technique <strong>for</strong> Determination of Periodic<br />

Responses of Nonlinear Systems. IEEE Trans. Ckts. Syst., CAS-23:85, 1976.<br />

[Par83] B. Parzen. Design of crystal and other harmonic oscillators. Wiley, 1983.<br />

[PC89] T.S. Parker and L.O. Chua. Practical Numerical Algorithms <strong>for</strong> Chaotic Systems. Springer-Verlag, 1989.<br />

[RN88] V. Rizzoli and A. Neri. State of the Art and Present Trends in Nonlinear Microwave CAD Techniques.<br />

IEEE Trans. MTT, 36(2):343–365, February 1988.<br />

[Roh97] U. Rohde. Microwave and wireless synthesizers: theory and design. Wiley, 1997.<br />

[Roy97] J. Roychowdhury. <strong>Efficient</strong> Methods <strong>for</strong> Simulating Highly Nonlinear Multi-Rate Circuits. In Proc. IEEE<br />

DAC, 1997.<br />

[Roy99] J. Roychowdhury. <strong>An</strong>alysing Circuits with Widely-Separated Time Scales using Numerical PDE Methods.<br />

IEEE Trans. Ckts. Syst. – I: Fund. Th. Appl., May 1999.


[Saa96] Y. Saad. Iterative methods <strong>for</strong> sparse linear systems. PWS, Boston, 1996.<br />

[Ske80] S. Skelboe. Computation of the periodic steady-state response of nonlinear networks by extrapolation<br />

methods. IEEE Trans. Ckts. Syst., CAS-27(3):161–175, March 1980.<br />

[TKW95] R. Telichevesky, K. Kundert, and J. White. <strong>Efficient</strong> Steady-State <strong>An</strong>alysis based on Matrix-Free Krylov<br />

Subspace Methods. In Proc. IEEE DAC, pages 480–484, 1995.<br />

[vdP22] B. van der Pol. On oscillation hysteresis in a simple triode generator. Phil. Mag., 43:700–719, 1922.<br />

[Ven82] G.D. Vendelin. Design of amplifiers and oscillators by the S-parameter method. Wiley, 1982.


DAC'99, pages 635-640<br />

<strong>Efficient</strong> computation of quasi-periodic circuit operating conditions via a mixed<br />

frequency/time approach<br />

Dan Feng*, Joel Phillips*, Keith Nabors*, Ken Kundert*, Jacob White**<br />

*Cadence Design Systems, San Jose, CA 95134<br />

**Massachusetts Institute of Technology, Cambridge, MA 02139<br />

Abstract<br />

Design of communications circuits often requires computing steady-state responses to multiple<br />

periodic inputs of differing frequencies. Mixed frequency-time (MFT) approaches are orders of<br />

magnitude more efficient than transient circuit simulation, and per<strong>for</strong>m better on highly<br />

nonlinear problems than traditional algorithms such as harmonic balance. We present algorithms<br />

<strong>for</strong> solving the huge nonlinear equation systems the MFT approach generates from practical<br />

circuits.<br />

References<br />

[1] A. ALLGOWER AND K. GEORG, Numerical Continuation Methods, Springer-Verlag, New York, 1990.<br />

[2] L.O. CHUAAND A.USHIDA,Algorithms <strong>for</strong> computing almost periodic steady-state response of nonlinear<br />

systems tomultiple input frequencies, IEEE Trans. Circuits and Systems, 28 (1981), pp. 953–971.<br />

[3] K. KUNDERT, J. WHITE, AND A. SANGIOVANNI-VINCENTELLI, A mixed frequency-time approach <strong>for</strong><br />

distortion analysis of switching filter circuits, IEEE J. Solid State Circuits, 24 (1989), pp. 443–451.<br />

[4] K. S. KUNDERT, J. K. WHITE, AND A. SANGIOVANNIVINCENTELLI, Steady-State Methods <strong>for</strong><br />

Simulating <strong>An</strong>alog <strong>An</strong>d Microwave Circuits, Kluwer Academic Publishers, Boston, 1990.<br />

[5] P. LANCASTER AND M.TISMENETSKY,The Theory ofMatrices, Academic Press, second ed., 1985.<br />

[6] D. LONG,R.MELVILLE, K.ASHBY, AND B.HORTON, Full chip harmonic balance, in Proceedings of the<br />

Custom Integrated Circuits Conference,May 1997.<br />

[7] R. MELVILLE, P. FELDMANN, AND J. ROYCHOWDHURY, <strong>Efficient</strong> multi-tone distortion analysis of<br />

analog integrated circuits, in Proceedings of the Custom Integrated Circuits Conference, May 1995.<br />

[8] M. OKUMURA, T. SUGAWARA, AND H. TANIMOTO, <strong>An</strong> efficient small signal frequency analysis method<br />

<strong>for</strong> nonlinear circuits with two frequency excitations, IEEE transactions of computer-aided design of integrated<br />

circuits and systems, 9 (1990), pp. 225–235.<br />

[9] J. ROYCHOWDHURY, <strong>Efficient</strong> methods <strong>for</strong> simulating highly nonlinearmultirate circuits, in Proceedings of<br />

the 34thDesign Automation Conference, <strong>An</strong>aheim, CA, June 1997, pp. 269–274.<br />

[10] J. ROYCHOWDHURY, D. LONG, AND P. FELDMANN, Cyclostationary noise analysis of large RF circuits<br />

with multitone excitations, IEEE J. Sol. St. Circuits, 33 (1998), pp. 324–336.<br />

[11] Y. SAAD AND M.H. SCHULTZ,GMRES:A generalizedminimal residual algorithm <strong>for</strong> solving nonsymmetric<br />

linear systems, SIAM J. Sci. Stat. Comput., 7 (1986), pp. 856–869.<br />

[12] R. TELICHEVESKY, K. S. KUNDERT, AND J.K.WHITE, <strong>Efficient</strong> steady-state analysis based on matrixfree<br />

Krylov-subspace methods, in Proceedings of the 1995 Design Automation Conference, June 1995.<br />

[13] ----, <strong>Efficient</strong>ACand noise analysis of two-tone RF circuits, in Proceedings of the 1996 Design Automation<br />

Conference, June 1996.<br />

[14] R. TELICHEVESKY, J. WHITE, AND K. KUNDERT, Receiver characterization using periodic small-signal<br />

analysis, in Proceedings of the Custom Integrated Circuits Conference, May 1996.<br />

[15] Y. THODESEN, Two stage method <strong>for</strong> efficient simulation of parametric circuits, PhD thesis, Department of<br />

telecommunications, the Norwegian institute of technology, 1996.


DAC'99, pages 641-646<br />

Time -Mapped Harmonic Balance<br />

Ognen J. Nastov*, Jacob K. White**<br />

*Motorola, Inc., Austin, TX 78730<br />

**Massachusetts Institute of Technology, Cambridge, MA 02139<br />

Abstract<br />

Matrix-implicit Krylov-subspace methods have made it possible to efficiently compute the<br />

periodic steady-state of large circuits using either the time-domain shooting-Newton method or<br />

the frequency-domain harmonic balance method. However, the harmonic balance methods are<br />

not so efficient at computing steady-state solutions with rapid transitions, and the low-order<br />

integration methods typically used with shooting-Newton methods are not so efficient when high<br />

accuracy is required. In this paper we describe a Time-Mapped Harmonic Balance method<br />

(TMHB), a fast Krylov-subspace spectral method that overcomes the inefficiency of standard<br />

harmonic balance in the case of rapid transitions. TMHB features a non-uni<strong>for</strong>m grid to resolve<br />

the sharp features in the signals. Results on several examples demonstrate that the TMHB<br />

method achieves several orders of magnitude improvement in accuracy compared to the standard<br />

harmonic balance method. The TMHB method is also several times faster than the standard<br />

harmonic balance method in reaching identical solution accuracy.<br />

References<br />

[1] Thomas J. Aprille and Timothy N. Trick. “Steady-State <strong>An</strong>alysis of Nonlinear Circuits with Periodic Inputs.”<br />

Proceedings of the IEEE, Vol. 60, No. 1, pp. 108–114, January 1972.<br />

[2] C. Canuto, M.Y. Hussaini, A. Quarteroni, and T.A. Zang. SpectralMethods in Fluid Dynamics. Springer-Verlag,<br />

Berlin, New York, 1987.<br />

[3] Rowan Gilmore and Michael B. Steer. “Nonlinear Circuit <strong>An</strong>alysis Using the Method of Harmonic Balance - A<br />

Review of the Art. Part I - Introductory Concepts”. Int. J. on Microwave and Millimeter Wave Computer Aided<br />

Engineering, Vol. 1, No. 1, 1991.<br />

[4] P. Heikkil¨a. Object-Oriented <strong>Approach</strong> to Numerical Circuit <strong>An</strong>alysis. Ph.D. dissertation, Helsinki University of<br />

Technology, January 1992.<br />

[5] Kenneth S. Kundert, Jacob K. White, and Alberto Sangiovanni-Vincentelli. Steady-State Methods <strong>for</strong> Simulating<br />

<strong>An</strong>alog and Microwave Circuits. Kluwer Academic Publishers, 1990.<br />

[6] R. Melville, P. Feldmann, and J. Roychowdhury. “<strong>Efficient</strong> Multi-Tone Distortion <strong>An</strong>alysis of <strong>An</strong>alog Integrated<br />

Circuits”. Proceedings of the Custom Integrated Circuits Conference, May 1995.<br />

[7] Ognen J. Nastov and Jacob K. White. “Grid Selection Strategies <strong>for</strong> the Time-Mapped Harmonic Balance<br />

Simulation of Circuits with Rapid Transitions.” Proceedings of the IEEE Custom Integrated Circuits Conference,<br />

May 1999.<br />

[8] R. Telichevesky, K. Kundert, and J.White. “<strong>Efficient</strong> Steady-State <strong>An</strong>alysis <strong>Based</strong> on Matrix-Free Krylov-<br />

Subspace Methods”. Proceedings of the IEEE Design Automation Conference, pp. 480–484, 1995.


DAC'99, pages 647-652<br />

Test Generation <strong>for</strong> Gigahertz Processors Using an Automatic Functional Constraint<br />

Extractor<br />

Raghuram S. Tupuri<br />

Texas Microprocessor Division, Advanced Micro Devices, Austin Texas 78741<br />

Arun Krishnamachary and Jacob A. Abraham<br />

Computer Engineering Research Center, The University of Texas at Austin, Austin Texas 78712<br />

Abstract<br />

As the sizes of general and special purpose processors increase rapidly, generating high quality<br />

manufacturing tests which can be run at native speeds is becoming a serious problem. One<br />

solution is a novel method <strong>for</strong> functional test generation in which a trans<strong>for</strong>med module is built<br />

manually, and which embodies functional constraints described using virtual logic. Test<br />

generation is then per<strong>for</strong>med on the trans<strong>for</strong>med module using commercial tools and the<br />

trans<strong>for</strong>med module patterns are translated back to the processor level. However, the technique is<br />

useful only if the virtual logic can be generated automatically. This paper describes an automatic<br />

functional constraint extraction algorithm and a procedure to build the trans<strong>for</strong>med module. We<br />

describe the tool, FALCON, used to extract the functional constraints of a given embedded<br />

module from a Verilog RTL model. The constraint extraction <strong>for</strong> embedded modules of<br />

benchmark processors using FALCON takes only a few seconds. We show that this method can<br />

generate functional patterns in a time several orders of magnitude less than one using a<br />

conventional, at view of the circuit.<br />

References<br />

[1] P. C.Maxwell et al., “The effect of different test sets on quality level prediction: When is 80% better than 90%?,"<br />

Proceedings of the International Test Conference, October 1991, pp. 358-364.<br />

[2] R. S. Tupuri and J. A. Abraham, “A Novel Functional Test Generation Method <strong>for</strong> Processors," Proceedings of<br />

the International Test Conference, November 1997, pp. 743-752.<br />

[3] J.Lee and J.H.Patel, “ARTEST: <strong>An</strong> Architectural Level Test Generator <strong>for</strong> Data Path Faults and Control Faults,"<br />

Proceedings of the International Test Conference, October 1991, pp. 729-739.<br />

[4] R.S.Ramachandani and D.E.Thomas, “Behavioral Test Generation using Mixed Integer Non-linear<br />

Programming," Proceedings of the International Test Conference, October, 1994, pp. 221-229.<br />

[5] P. Vishakantaiah, J. A. Abraham and M. Abadir, “Automatic Test Knowledge Extraction From VHDL<br />

(ATKET)," 29th ACM/IEEE Design Automation Conference, April 1992, pp. 273-278.<br />

[6] T. E. Marchok, A. El-Makeh, W. Maly and J. Rajski, “Complexity of sequential ATPG," Proceedings of the<br />

European Design and Test Conference, March 1995, pp. 252-261.<br />

[7] D. <strong>An</strong>derson and T. Shanley, “Pentium Processor System Architecture," Addison-Wesley Publishing Company,<br />

1995.<br />

[8] A. Miczo, “The sequential ATPG: A theoretical limit," Proceedings of International Test Conference, October<br />

1983, pp. 143-147.


DAC'99, pages 653-659<br />

PROPTEST: A Property <strong>Based</strong> Test Pattern Generator <strong>for</strong> Sequential<br />

Circuits Using Test Compaction<br />

Ruifeng Guo, Sudhakar M. Reddy, Irith Pomeranz<br />

Electrical & Computer Engineering Department, University of Iowa, Iowa City, IA 52242<br />

Abstract<br />

We describe a property based test generation procedure that uses static compaction to generate<br />

test sequences that achieve high fault coverages at a low computational complexity. A class of<br />

test compaction procedures are proposed and used in the property based test generator.<br />

Experimental results indicate that these compaction procedures can be used to implement the<br />

proposed test generator to achieve high fault coverage with relatively smaller run times.<br />

References<br />

[1] M. Abramovici, M. A. Breuer and A. D. Friedman, “Digital Systems Testing and Testable Design," IEEE Press,<br />

1990<br />

[2] W.T. Cheng, “The Back Algorithm <strong>for</strong> Sequential Test Generation," Int'l. Conf. on Computer Design, 1988, pp.<br />

66-69<br />

[3] W. -T. Cheng and S. Davidson, “Sequential Circuit Test Generator(STG) Benchmark Results," Int'l Symp.<br />

Circuits & Systems, May 1989, pp. 1938-1941<br />

[4] W.-T. Cheng and T. Chakraborty, “Gentest – <strong>An</strong> Automatic Test-Generation System <strong>for</strong> Sequential Circuits,"<br />

IEEE Computer, Vol. 22, No.4, April, 1989, pp. 28-35<br />

[5] T. Niermann and J. Patel, “HITEC: A Test Generation Package <strong>for</strong> Sequential Circuits," in European Conf. on<br />

Design Automation, 1991, pp. 214-218<br />

[6] D. H. Lee and S. M. Reddy, “A New Test Generation Method <strong>for</strong> Sequential Circuits," in Proc. Int'l Conf. on<br />

Computer Aided Design, 1991, pp. 446-449<br />

[7] X. Lin, I. Pomeranz and S. M. Reddy, “MIX: A Test Generation System <strong>for</strong> Synchronous Sequential Circuits,"<br />

in Proc. 11th Int'l conf. on VLSI Design, Jan. 1998, pp. 456-463<br />

[8] T. Kelsey, K. Saluja and S. Lee, “<strong>An</strong> EÆcient Algorithm <strong>for</strong> Sequential Circuit Test Generation," IEEE Trans.<br />

on Computer, Vol. 42, Nov. 1993, pp. 1361-1371<br />

[9] S. Seshu, “On an Improved Diagnosis Program," IEEE Trans. on Electronic Computers, Vol. EC-12, NO. 2, Feb.<br />

1965, pp.76-79<br />

[10] T. J. Snethen, “Simulation-Oriented Fault Test Generator," in Proc. 14th Design Automation Conf., June 1977,<br />

pp. 88-93<br />

[11] D. G. Saab, Y. G. Saab, and J. A. Abraham, “Cris: A Test Cultivation Program <strong>for</strong> Sequential VLSI Circuits,"<br />

in Proc. IEEE Int'l Conf. on Computer-Aided Design, Nov. 1992, pp. 216-219<br />

[12] E. M. Rudnick, J. G. Holm, D. G. Saab and J. H. Patel, “Application of Simple Genetic Algorithms to<br />

Sequential Circuit Test Generation," in Proc. European Design and Test Conf., March 1994, pp. 40-45<br />

[13] P. Prinetto, M. Rebaudengo and M. S. Reorda, “<strong>An</strong> Automatic Test Generator <strong>for</strong> Large Sequential Circuits<br />

<strong>Based</strong> on Genetic Algorithm," in Proc. Int'l Test Conf., 1994, pp. 240-249<br />

[14] M.S. Hsiao, E.M. Rudnick and J.H. Patel, “Sequential Circuit Test Generation Using Dynamic State Traversal,"<br />

in Proc. 1996 Europ. Design & Test Conf., March 1996, pp. 22-28<br />

[15] I. Pomeranz and S. M. Reddy, “LOCSTEP: A Logic Simulation <strong>Based</strong> Test Generation Procedure," in Proc.<br />

25th Fault-Tolerant Computing Symp., June 1995, pp. 110-119<br />

[16] I. Pomeranz and S. M. Reddy, “ACTIVE-LOCSTEP: A Test Generation Procedure <strong>Based</strong> on Logic Simulation<br />

and Fault Activation," in Proc. 27th Fault-Tolerant Computing Symp., June 1997, pp. 144-151<br />

[17] L. Nechman, K. K. Saluja, S. Upadhyaya and R. Reuse, “Random Pattern Testing <strong>for</strong> Sequential Circuits<br />

Revisited," in Proc. of 26th Fault-Tolerant Computing Symp., June, 1996, pp. 44-52<br />

[18] K.-H. Tsai, M. Marek-Sadowska, J. Rajski, “Scan-Encoded Test Pattern Generation <strong>for</strong> BIST," in Proc. Int'l<br />

Test Conf. , 1997, pp. 548-556<br />

[19] I. Pomeranz and S. M. Reddy, “Built-in Test Generation <strong>for</strong> Synchronous Sequential Circuits," in Int'l. Conf. on<br />

Computer-Aided Design, Nov. 1997, pp. 421-426


[20] I. Pomeranz and S.M. Reddy “On Static Compaction of Test Sequences <strong>for</strong> Synchronous Sequential Circuits",<br />

in Proc. 33rd Design Automation Conf., June 1996, pp. 215-220<br />

[21] I. Pomeranz and S.M. Reddy “Vector Restoration <strong>Based</strong> Static Compaction of Test Sequences <strong>for</strong> Synchronous<br />

Sequential Circuits", in Proc. Intn'l. Conf. on Computer Design, Oct. 1997, pp.360-365<br />

[22] R. Guo, I. Pomeranz and S.M. Reddy, “On Speeding-Up Vector Restoration <strong>Based</strong> Static Compaction of Test<br />

Sequences <strong>for</strong> Sequential Circuits", in Proc. Asian Test Symp., Dec. 1998, pp. 467-471<br />

[23] R. Guo, I. Pomeranz and S.M. Reddy, “A Fault Simulation <strong>Based</strong> Test Pattern Generator <strong>for</strong> Synchronous<br />

Sequential Circuits,"Proc. VLSI Test Symp., April, 1999<br />

[24] S. Bommu, K. Doreswamy, S. Chakradhar, “Static Test Sequence Compaction <strong>Based</strong> on Segment Reordering<br />

and Accelerated Vector Restoration," Proc. International Test Conf., 1998, pp. 954-961<br />

[25] H.K. Lee and D.S. Ha “HOPE: <strong>An</strong> EÆcient Parallel Fault Simulator <strong>for</strong> Synchronous Sequential Circuits," in<br />

Proc. 1992 Design Automation Conf., June 1992, pp. 336-340<br />

[26] H.K. Lee and D.S. Ha “New Technique <strong>for</strong> Improving Parallel Fault Simulation in Synchronous Sequential<br />

Circuits," In Proc. 1993 Intn'l. Conf. on Computer-Aided Design, Oct. 1993, pp. 10-17


DAC'99, pages 660-665<br />

Multiple Error Diagnosis <strong>Based</strong> on Xlists<br />

Vamsi Boppana, Rajarshi Mukherjee, Jawahar Jain, Masahiro Fujita, Pradeep Bollineni*<br />

Fujitsu Laboratories of America, Inc., Sunnyvale, CA<br />

* Department of computer Science, Iowa State University, Ames, IA<br />

Abstract<br />

In this paper, we present multiple error diagnosis algorithms to overcome two significant<br />

problems associated with current error diagnosis techniques targeting large circuits: their use of<br />

limited error models and a lack of solutions that scale well <strong>for</strong> multiple errors. Our solution is<br />

based on a non-enumerative analysis technique, based on logic simulation (3-valued and<br />

symbolic), <strong>for</strong> simultaneously analyzing all possible errors at sets of nodes in the circuit. Error<br />

models are introduced in order to address the "locality" aspect of error location and to identify<br />

sets of nodes that are "local" with respect to each other. Theoretical results are provided to<br />

guarantee the diagnosis of modeled errors and robust diagnosis approaches are shown to address<br />

the cases when errors do not correspond to the modeled types. Experimental results on<br />

benchmark circuits demonstrate accurate and extremely rapid location of errors of large<br />

multiplicity.<br />

References<br />

[1] M. Tomita and Hong-Hai Jiang, “<strong>An</strong> algorithm <strong>for</strong> locating logic design errors”, in Proc. Intl. Conf. Computer-<br />

Aided Design, Nov. 1990, pp. 468–471.<br />

[2] S.-Y. Kuo, “Locating logic design errors via test generation and don’t-care propagation”, in Proc. European<br />

Design Automation Conf., 1992, pp. 466–471.<br />

[3] I. Pomeranz and S. M. Reddy, “On diagnosis and correction of design errors”, in Proc. Intl. Conf. Computer-<br />

Aided Design, Nov. 1993, pp. 500–507.<br />

[4] A. Srinivasan A. Kuehlmann, D. I. Cheng and D. P. LaPotin, “Error diagnosis <strong>for</strong> transistor-level verification”,<br />

in Proc. Design Automation Conf., June 1994, pp. 218–224.<br />

[5] M. Tomita, T. Yamamoto, Sumikawa F, and K. Hirano, “Rectification of multiple logic design errors in multiple<br />

output circuits”, in Proc. Design Automation Conf., June 1994, pp. 212–217.<br />

[6] I. Pomeranz and S. M. Reddy, “On error correction in macrobased circuits”, in Proc. Intl. Conf. Computer-Aided<br />

Design, Nov. 1994, pp. 568–575.<br />

[7] S. Y. Huang, K. T. Cheng, K. C. Chen, and D. I. Cheng, “Errortracer: A fault simulation based approach to<br />

design error diagnosis”, in Proc. Intl. Test Conf., Nov. 1997, pp. 974–981.<br />

[8] S. Y. Huang, K. T. Cheng, K. C. Chen, and J. J. Lu, “Fault-simulation based design error diagnosis <strong>for</strong> sequential<br />

circuits”, in Proc. Design Automation Conf., June 1998, pp. 632–637.<br />

[9] V. Boppana and M. Fujita, “Modeling the unknown! Towards model-independent fault and error diagnosis”, in<br />

Proc. Intl. Test Conf., Oct. 1998, pp. 1094–1101.<br />

[10] M. Abramovici, M. A. Breuer, and A. D. Friedman, Digital System Testing and Testable Design, New York,<br />

NY: Computer Science Press, 1990.<br />

[11] P-Y. Chung, Y-M. Wang, and I. N. Hajj, “Diagnosis and correction of logic design errors in digital circuits”, in<br />

Proc. Design Automation Conf., June 1993, pp. 503–508.<br />

[12] H-T. Liaw, J-H. Tsaih, and C-S. Lin, “<strong>Efficient</strong> automatic diagnosis of digital circuits”, in Proc. Intl. Conf.<br />

Computer-Aided Design, Nov. 1990, pp. 464–467.<br />

[13] P-Y. Chung and I. N. Hajj, “Accord: Automatic catching and correction of logic design errors in combinational<br />

circuits”, in Proc. Intl. Test Conf., Sept. 1992, pp. 742–751.<br />

[14] S. B. Akers, B. Krishnamurthy, S. Park, and A. Swaminathan, “Why is less in<strong>for</strong>mation from logic simulation<br />

more useful in fault simulation?”, in Proc. Intl. Test Conf., Sept. 1990, pp. 786–800.<br />

[15] R. C. Aitken and P. C. Maxwell, “Better models or better algorithms? Techniques to improve fault diagnosis”,<br />

Hewlett-Packard Journal, pp. 110–116, Feb. 1995.<br />

[16] T. Niermann and J. H. Patel, “HITEC: A test generation package <strong>for</strong> sequential circuits”, in Proc. European<br />

Design Automation Conf., Feb. 1991, pp. 214–218.


DAC'99, pages 666-671<br />

Simulation Vector Generation from HDL Descriptions <strong>for</strong> Observability-Enhanced<br />

Statement Coverage<br />

Farzan Fallah<br />

Fujitsu Labs. of America, Inc., Sunnyvale, CA<br />

Pranav Ashar<br />

CCRL, NEC USA, Princeton<br />

Srinivas Devadas<br />

Laboratory <strong>for</strong> Computer Science, MIT, Cambridge<br />

Abstract<br />

Validation of RTL circuits remains the primary bottleneck in improving design turnaround time,<br />

and simulation remains the primary methodology <strong>for</strong> validation. Simulation-based validation has<br />

suffered from a disconnect between the metrics used to measure the error coverage of a set of<br />

simulation vectors, and the vector generation process. This disconnect has resulted in the<br />

simulation of virtually endless streams of vectors which achieve enhanced error coverage only<br />

infrequently. <strong>An</strong>other drawback has been that most error coverage metrics proposed have either<br />

been too simplistic or too inefficient to compute. Recently, an effective observability-based<br />

statement coverage metric was proposed along with a fast companion procedure <strong>for</strong> evaluating it.<br />

The contribution of our work is the development of a vector generation procedure targeting the<br />

observability-based statement coverage metric. Our method uses repeated coverage computation<br />

to minimize the number of vectors generated. For vector generation, we propose a novel<br />

technique to set up constraints based on the chosen coverage metric. Once the system of<br />

interacting arithmetic and Boolean constraints has been set up, it can be solved using hybrid<br />

linear programming and Boolean satisfiability methods. We present heuristics to control the size<br />

of the constraint system that needs to be solved. We present experimental results which show the<br />

viability of automatically generating vectors using our approach <strong>for</strong> industrial RTL circuits. We<br />

envision our system being used during the design process, as well as during post-design<br />

debugging.<br />

References<br />

[1] R. C. Ho, C. H. Yang, M. A. Horowitz, and D. L. Dill, “Architecture Validation <strong>for</strong> Processors,” in Proceedings<br />

of the <strong>An</strong>nual Symposium on Computer Architecture, June 1995.<br />

[2] K.-T. Cheng and A. S. Krishnakumar, “Automatic Functional Test Generation Using the Extended Finite State<br />

Machine Model,” in Proceedings of the Design Automation Conference, pp. 86–91, June 1993.<br />

[3] S. Devadas, A. Ghosh, and K. Keutzer, “<strong>An</strong> Observability-<strong>Based</strong> Code Coverage Metric <strong>for</strong> Functional<br />

Simulation,” in Proceedings of the International Conference on Computer-Aided Design, pp. 418–425, November<br />

1996.<br />

[4] F. Fallah, S. Devadas, and K. Keutzer, “OCCOM: <strong>Efficient</strong> Computationa of Observability-<strong>Based</strong> Code<br />

Coverage Metrics <strong>for</strong> Functional Simulation,” in Proceedings of the Design Automation Conference, pp. 152–157,<br />

June 1998.<br />

[5] F. Fallah, S. Devadas, and K. Keutzer, “Functional Test Generation Using Linear Programming and 3-<br />

Satisfiability,” in Proceedings of the Design Automation Conference, pp. 528–533, June 1998.<br />

[6] T. Larrabee, “Test Pattern Generation Using Boolean Satisfiability,” IEEE Transactions on Computer-Aided<br />

Design, vol. 11, pp. 4–15, January 1992.<br />

[7] D. E. Thomas and P. R. Moorby, The Verilog Hardware Description Language. Kluwer Academic Publishers,<br />

Boston, MA, second ed., 1994.


[8] R. K. Brayton and others, “VIS: A System <strong>for</strong> Verification and Synthesis,” in Proc. Computer-Aided<br />

Verification, vol. 1102, pp. 428–432, June 1996.


DAC'99, pages 672-677<br />

A Two-State Methodology <strong>for</strong> RTL Logic Simulation<br />

Lionel Bening<br />

Hewlett-Packard Company, Richardson, TX 75083-3851<br />

ABSTRACT<br />

This paper describes a two-state methodology <strong>for</strong> register transfer level (RTL) logic simulation<br />

in which the use of the X-state is completely eliminated inside ASIC designs. Examples are<br />

presented to show the gross pessimism and optimism that occurs with the X in RTL simulation.<br />

Random two-state initialization is offered as a way to detect and diagnose startup problems in<br />

RTL simulation. Random two-state initialization (a) is more productive than the X-state in gatelevel<br />

simulation, and (b) provides better coverage of startup problems than X-state in RTL<br />

simulation. Consistent random initialization is applied (a) as a way to duplicate a startup state<br />

using a slower diagnosis-oriented simulator after a faster detection-oriented simulator reports the<br />

problem, and (b) to verify that the problem is corrected <strong>for</strong> that startup state after the design<br />

change intended to fix the problem. In addition to combining the earlier ideas of two-state<br />

simulation, and random initialization with consistent values across simulations, an original<br />

technique <strong>for</strong> treatment of tri-state Z's arriving into a two-state model is introduced.<br />

Keywords: RTL, simulation, 2-state, X-state, pessimism, optimism, random, initialization.<br />

REFERENCES<br />

[1] Ashar P. and Malik, S., "Fast functional simulation using branching programs," Proc. IEEE ICCAD-96, pp. 408-<br />

412, November, 1996.<br />

[2] Breuer, M. A., “A note on three-valued logic simulation,” IEEE Trans. Computers, vol. C-21, pp. 399-402,<br />

April, 1972.<br />

[3] Evans, A., Silburt, A., Vrckovnik, G., Brown, T., Dufresne, M., Hall, G., Ho, T. and Liu, Y., "Functional<br />

verification of large ASICs," Proc. Design Automation Conference, pp. 650-655, June, 1998.<br />

[4] Fitzpatrick, T., “Verilog modeling style guide <strong>for</strong> the Cobra cycle simulator,” Cadence Design Systems,<br />

Chelms<strong>for</strong>d, MA, Rev. 2, pp. 11-12, August 17, 1998.<br />

[5] Foster, H. "Techniques <strong>for</strong> higher per<strong>for</strong>mance boolean equivalence verification," Hewlett-Packard Journal,<br />

August, 1998, pp. 30-38.<br />

[6] Hoehne, H. and Piloty, R., "Design verification at the register transfer language level." IEEE Trans. Computers,<br />

vol. C-24, pp. 861-867, September, 1975.<br />

[7] McGeer, P. C., McMillan, K. L., Saldanha, A., Sangiovanni-Vincentelli, A. L. and Scaglia, P., "Fast discrete<br />

function evaluation using decision diagrams," Proc. IEEE ICCAD-96, pp. 402-407, November, 1996.<br />

[8] System HILO - DWL Reference Manual, document 2523-0103, page 9.6, VEDA Design Automation Inc.,<br />

Campbell, CA 95008, January, 1991.<br />

[9] Taylor, S., Quinn, M., Brown, D., Dohm, N., Hildebrandt, S., Huggins, J. and Ramey, C., "Functional<br />

verification of a multiple-issue out-of-order, superscalar Alpha processor -- the DEC Alpha 21264 microprocessor,"<br />

Proc. Design Automation Conference, pp. 638-643, June, 1998.<br />

[10] Thomas, D. E., Moorby, P. R., The Verilog Hardware Description Language, Kluwar Academic Publishers,<br />

Norwell, MA 02061, pp. 136, 4th Edition, 1998.<br />

[11] VCS User’s Guide, Synopsys Inc., Mountain View, CA, pp. 2-19 – 2-30, December, 1998.<br />

[12] Yim, J. S., Hwang, Y. H., Park, C. J., Choi, H., Yang, W. S., Oh, H. S., Park, I. C. and Kyunge, C. M., "A Cbased<br />

RTL design verification methodology <strong>for</strong> Complex Microprocessor," Proc. Design Automation Conference,<br />

pp. 83-88, June, 1997.


DAC'99, pages 678-683<br />

<strong>An</strong> <strong>Approach</strong> <strong>for</strong> Extracting RT Timing In<strong>for</strong>mation to <strong>An</strong>notate Algorithmic VHDL<br />

Specifications<br />

Cordula Hansen<br />

Forschungszentrum In<strong>for</strong>matik (FZI) at the University of Karlsruhe<br />

Francisco Nascimento<br />

University of Tübingen<br />

Wolfgang Rosenstiel<br />

University of Tübingen and FZI<br />

ABSTRACT<br />

This paper presents a new approach <strong>for</strong> extracting timing in<strong>for</strong>mation defined in a simulation<br />

vector set on register transfer level (RTL) and reusing them in the behavioral specification.<br />

Using a VHDL RTL simulation vector set and a VHDL behavioral specification as entry, the<br />

timing in<strong>for</strong>mation are extracted and as well as the specification trans<strong>for</strong>med in a Partial Order<br />

based Model (POM). The POM expressing the timing in<strong>for</strong>mation is then mapped on the<br />

specification POM. The result contains the behavioral specification and the RTL timing and is<br />

retrans<strong>for</strong>med in a corresponding VHDL specification. Additionally, timing in<strong>for</strong>mation<br />

contained in the specification can be checked using the RTL simulation vectors.<br />

References<br />

[1] Bryant, R.E.: "Symbolic boolean manipulation with ordered binary-decision diagrams", ACM Computing<br />

surveys 24, 3 (September 1993), pp. 293-318.<br />

[2] Garcez, E.; Nascimento, F.: "A Model Checker <strong>for</strong> a Partial Order based Model of Concurrency", Proceedings<br />

of Workshop of Beschreibungs-sprachen und Modellierungsparadigmen, March 1998.<br />

[3] Gupta, V.: “Chu Spaces: A Model of Concurrency”, PhD thesis, Department of Computer Science, Stan<strong>for</strong>d<br />

University, Stan<strong>for</strong>d, CA, USA, 1994.<br />

[4] Gutberlet, P.; Krämer, H.; Rosenstiel, W.: „CASCH - a Scheduling Algorithm <strong>for</strong> High Level -Synthesis“,<br />

Proceedings of the EDAC, pp. 311-315, February 1991.<br />

[5] Gutberlet, P.; Rosenstiel, W.: “Timing Preserving Interface Trans<strong>for</strong>mations <strong>for</strong> the Synthesis of Behavioural<br />

VHDL”, Proceedings of EURO-DAC, September 1994.<br />

[6] Hansen, C.; Nascimento, F.; Rosenstiel, W.: „Verifying High-Level Synthesis Results Using a Partial Order<br />

based Model“, HLDVT‘98, La Jolla (CA), November 1998.<br />

[7] Heinkel, U.; Glauert, W.: „<strong>An</strong> <strong>Approach</strong> <strong>for</strong> a Dynamic Generation/ Validation System <strong>for</strong> the Functional<br />

Simulation Considering Timing Constraints“, Proceedings of ED & TC, Paris 1996.<br />

[8] Mayer, C.; Sahm, H.; Pleickhardt, J.: “A Graphical Data Management System <strong>for</strong> HDL-<strong>Based</strong> ASIC Design<br />

Projects”, Proceedings of EURO-DAC, September 1996.<br />

[9] Nascimento, F.; Rosenstiel, W.: “Partial Order <strong>Based</strong> Modeling of Concurrency at the System Level”,<br />

Proceedings of CONSYSE, September 1997.


DAC'99, pages 684-690<br />

A Massively-Parallel Easily-Scalable Satisfiability Solver Using Reconfigurable Hardware<br />

Miron Abramovici, Jose T. de Sousa, Daniel Saab*<br />

Bell Labs - Lucent Technologies, Murray Hill, NJ 07974<br />

*Case Western Reserve University, Cleveland, Ohio 44106<br />

ABSTRACT<br />

Satisfiability (SAT) is a computationally expensive algorithm central to many CAD and test<br />

applications. In this paper, we present the architecture of a new SAT solver using reconfigurable<br />

logic. Our main contributions include new <strong>for</strong>ms of massive fine-grain parallelism and structured<br />

design techniques based on iterative logic arrays that reduce compilation times from hours to a<br />

few minutes. Our architecture is easily scalable. Our results show several orders of magnitude<br />

speed-up compared with a state-of-the-art software implementation, and with a prior SAT solver<br />

using reconfigurable hardware.<br />

REFERENCES<br />

[1] M. Abramovici and D. Saab, “Satisfiability On Reconfigurable Hardware,” Proc. Intn’l. Workshop on Field-<br />

Programmable Logic and Applications, Sept., 1997<br />

[2] Miron Abramovici, J. T. de Sousa, “A Virtual Logic System <strong>for</strong> Solving Satisfiability Problems Using<br />

Reconfigurable Hardware,” to appear in Proc. Symp. on Field-Programmable Custom Computing Machines, 1999<br />

[3] R. Brayton, G. Hachtel, C. McMullen, and A. Sangiovanni-Vincentelli, Logic Minimization Algorithms <strong>for</strong> VLSI<br />

Synthesis, Kluwer Academic Publishers, 1984<br />

[4] S. A. Cook, “The Complexity of Theorem-Proving Procedures,” Proc. 3rd <strong>An</strong>nual ACM Symp. on Theory of<br />

Computation, pp. 151-158, 1971<br />

[5] M. Davis and H. Putnam, “A Computing Procedure <strong>for</strong> Quantification Theory,” Journal of the ACM, vol. 7, pp.<br />

167--187, 1960<br />

[6] S. Devadas, “Optimal Layout Via Boolean Satisfiability,” Proc. Intn’l. Conf. on CAD, pp. 294-297, November<br />

1989<br />

[7] S. Devadas, K. Keutzer, S. Malik, and A. Wang, “Certified Timing Verification and the Transition Delay of a<br />

Logic Circuit,” Proc. Design Automation Conf., pp. 549-555, June, 1992<br />

[8] DIMACS Challenge Benchmarks, ftp://dimacs.rutgers.edu/pub/challenge/sat/benchmarks/cnf/<br />

[9] H. Fujiwara and T. Shimono, “On the Acceleration of Test Generation Algorithms,” IEEE Trans. on Computers,<br />

vol. C-32, no 12, pp. 1137-1144, December, 1983.<br />

[10] P. Goel, “<strong>An</strong> Implicit Enumeration Algorithm to Generate Tests <strong>for</strong> Combinational Logic Circuits,” IEEE<br />

Trans. on Computers, Vol. C-30, No. 3, pp. 215-222, March, 1981.<br />

[11] J. Gu, “Satisfiability Problems in VLSI Engineering,” DIMACS Workshop on Satisfiability Problem: Theory<br />

and Applications, March 1996<br />

[12] J. Gu, P. W. Purdom, J. Franco, and B. W. Wah, “Algorithms <strong>for</strong> the Satisfiability (SAT) Problem: A Survey,”<br />

DIMACS Workshop on Satisfiability Problem: Theory and Applications, pp.19-51, March 1996<br />

[13] J. Gu and R. Puri, “Asynchronous Circuit Synthesis with Boolean Satisfiability”, IEEE Trans. on CAD, Vol.<br />

14, No. 8, pp. 961-973, August 1995<br />

[14] T. Larrabee, “Test Pattern Generation Using Boolean Satisfiability,” IEEE Trans. on CAD, Vol. 11, No. 1, pp.<br />

4-15, January, 1992<br />

[15] P. C. McGeer et al., “Timing <strong>An</strong>alysis and Delay-Fault Test Generation Using Path Recursive Functions,”<br />

Proc. Intn’l. Conf. on CAD, pp. 180-183, November 1991<br />

[16] M. Platzner and G. De Micheli, “Acceleration of Satisfiability Algorithms by Reconfigurable Hardware,” Proc.<br />

Intn’l. Workshop on Field-Programmable Logic and Applications, Sept., 1998<br />

[17] A. Rashid, J. Leonard, and W.H. Mangione-Smith, “Dynamic Circuit Generation <strong>for</strong> Solving Specific Problem<br />

Instances of Boolean Satisfiability,” Proc. IEEE Symp. on Field-Programmable Custom Computing Machines, April<br />

1998<br />

[18] J. M. Silva, “<strong>An</strong> Overview of Backtrack Search Satisfiability Algorithms,” Proc. 5th Intn’l. Symp. on Artificial<br />

Intelligence and Mathematics, January 1998


[19] J. M. Silva and K. A. Sakallah, “GRASP - A New Search Algorithm <strong>for</strong> Satisfiability,” Proc. Intn’l. Conf. on<br />

CAD, pp. 220-227, November 1996<br />

[20] L. G. Silva et al., “Realistic Delay Modeling in Satisfiability-<strong>Based</strong> Timing <strong>An</strong>alysis,” Proc. Intn’l. Symp. on<br />

Circuits and Systems (ISCAS), May 1998<br />

[21] P. R. Stephan, R. K. Brayton, and A. Sangiovanni-Vincentelli, “Combinational Test Generation Using<br />

Satisfiability,” IEEE Trans. on CAD, vol. 15, no. 9, pp. 1167-1176, Sept. 1996.<br />

[22] T. Suyama, M. Yokoo, and H. Sawada, “Solving Satisfiability Problems on FPGAs,” Proc. Intn’l. Workshop on<br />

Field-Programmable Logic and Applications, 1996<br />

[23] G. Nam, K. A. Sakallah, and R.A. Rutenbar, “Satisfiability-<strong>Based</strong> Layout Revisited: Routing Complex FPGAs<br />

Via Search-<strong>Based</strong> Boolean SAT”, Proc. Intn’l. Symp. on FPGAs, February 1999<br />

[24] P. Zhong, M. Martonosi, P. Ashar, and S. Malik, “Accelerating Boolean Satisfiability with Configurable<br />

Hardware,” Proc. IEEE Symp. on Field-Programmable Custom Computing Machines, April, 1998<br />

[25] P. Zhong, M. Martonosi, P. Ashar, and S. Malik, “Using Reconfigurable Computing Techniques to Accelerate<br />

Problems in the CAD Domain: A Case Study with Boolean Satisfiability,” Proc. Design Automation Conf., June<br />

1998


DAC'99, pages 691-696<br />

Dynamic Fault Diagnosis on Reconfigurable Hardware<br />

Fatih Kocan and Daniel G. Saab<br />

Electrical Engineering and Computer Science Department<br />

Case Western Reserve University, Cleveland, Ohio, 44106<br />

Abstract:<br />

In this paper, we introduce a new approach <strong>for</strong> locating and diagnosing faults in combinational<br />

circuits. The approach is based on automatically designing a circuit which implements a closestmatch<br />

fault location algorithm specialized <strong>for</strong> the combinational circuit under diagnosis (CUD).<br />

This approach eliminates the need <strong>for</strong> large storage required by a software based fault diagnosis.<br />

In this paper, we show the approach's feasibility in terms of hardware resources, speed, and how<br />

it compares with software based techniques.<br />

References<br />

[1] M. Abramovici and D. G. Saab, "Satisfiability on Reconfigurable Hardware," Sevent International Workshop on<br />

Field Programmable Logic and Applications, September 1997.<br />

[2] M. Abramovici, M. A. Breuer and A. D. Friedman, Digital Systems Testing and Testable Design , IEEE Press,<br />

1994.<br />

[3] I. Pomeranz and S. M. Reddy, "On Dictionary-<strong>Based</strong> Fault Location in Digital Logic Circuits," IEEE Trans. on<br />

Computers, vol. 46, no. 1, pp. 48-59, January 1997.<br />

[4] P. G. Ryan, Shishpal Rawat and W. K. Fuchs, "Two-Stage Fault Location," Proc. 1991 ITC, pp. 963-968.<br />

[5] P. G. Ryan, W. K. Fuchs and I. Pomeranz, "Fault Dictionary Compression and Equivalence Class Computation<br />

<strong>for</strong> Sequential Circuits," Proc. 1993 ICCAD, pp. 508-511.<br />

[6] K. Kubiak, S. Parkes, W. K. Fuchs and R. Saleh, "Exact Evaluation of Diagnostic Test Resolution," Proc. 1992<br />

DAC, pp. 347-352.<br />

[7] M. Abromovici, "A Maximal Resolution Guided-Probe Testing Algorithm," Proc. 1981 DAC, pp. 189-195.<br />

[8] I. Hartanto, V. Boppana and W. K. Fuchs, “Diagnostic Fault Equivalence Identification Using Redundancy<br />

In<strong>for</strong>mation & Structural <strong>An</strong>alysis," Proc. 1996 ITC, pp. 294-301.<br />

[9] J. Richman and K. R. Bowden, "The Modern Fault Dictionary," Proc. 1985 ITC, pp. 696-702.<br />

[10] H. Cox and J. Rajski, “A Method of Fault <strong>An</strong>alysis <strong>for</strong> Test Generation and Fault Diagnosis," IEEE Trans.<br />

CAD, pp. 813-833, July 1988.<br />

[11] L. Burgun, F. Reblewski, G. Fenelon, J. Barbier, and O. Lepape, “ Serail Fault Simulation," Proc. 1996 DAC,<br />

pp. 801-806.<br />

[12] M. Butts, J. Bacheler, and J. Varghese, “<strong>An</strong> <strong>Efficient</strong> Logic Emulation System," Proc. 1992 ICCD, pp. 138-141.<br />

[13] K.-T Cheng, S.-Y Huang, and W.-J Dai, "Fault Emulation: A New <strong>Approach</strong> to Fault Grading," Proc. 1995<br />

ICCAD, pp. 681-686, Nov.<br />

[14] I. Pomeranz, and S.M. Reddy, "On The Generation of Small Dictionaries <strong>for</strong> Fault Location," Proc. 1992<br />

ICCAD, pp. 272-279, Nov.<br />

[15] K. Takayama, F. Hirose, and N. Kawato, "A Test Generation System Using a Logic Simulation Engine,"<br />

FUJITSU Sci. Tech. J., 27, 3, pp. 285-289, September 1991.<br />

[16] M. Abramovici, and M. A. Breuer, “Fault Diagnosis <strong>Based</strong> on Effect-Cause <strong>An</strong>alysis,", Proc. 1980 DAC, pp.<br />

69-76.<br />

[17] R. Murgai, N. Shenoy, R. K. Brayton, and A. Sagiovanni Vincetelli., "Improved Logic Synthesis Algorithms<br />

<strong>for</strong> Table Look Up Architecture," Proc. 1991 ICCAD, pp. 564-567.<br />

[18] P. Zhong, M. Martonosi, P. Ashar, and S. Malik "Using Reconfigurable Computing techniques to Accelerate<br />

Problem in th CAD Domain: A Case Study with Boolean Satisfiability," Proc. 1998 DAC.<br />

[19] M. Abramovici and P. Menon, "Fault Simulation on Reconfigurable Hardware," Proc. IEEE Symp. On FCCM,<br />

April 1997.<br />

[20] T. Suyama, M. Yokoo, and H. Sawada, "Solving Satisfiability Problems on FPGAs," Proc. Intn'l.Workshop on<br />

Field-Programmable Logic and Applications , 1996.<br />

[21] R.G. Wood and R.A. Rutenbar, "FPGA Routing and Routability Estimation Via Boolean Satisfiability," Proc.<br />

Intn'l. Symp. On FPGAs, February 1997.


DAC'99, pages 697-702<br />

Hardware Compilation <strong>for</strong> FPGA-based Configurable Computing Machines<br />

Xiaohan Zhu, Bill Lin<br />

University of Cali<strong>for</strong>nia, San Diego<br />

Abstract<br />

Configurable computing machines are an emerging class of hybrid architectures where a field<br />

programmable gate array (FPGA) component is tightly coupled to a general-purpose<br />

microprocessor core. In these architectures, the FPGA component complements the generalpurpose<br />

microprocessor by enabling a developer to construct application-specific gate-level<br />

structures on-demand while retaining the flexibility and rapid reconfigurability of a fully<br />

programmable solution. High computational per<strong>for</strong>mance can be achieved on the FPGA<br />

component by creating custom data paths, operators, and interconnection pathways that are<br />

dedicated to a given problem, thus enabling similar structural optimization benefits as ASICs. In<br />

this paper, we present a new programming environment <strong>for</strong> the development of applications on<br />

this new class of configurable computing machines. This environment enables developers to<br />

develop hybrid hardware/software applications in a common integrated developme nt framework.<br />

In particular, the focus of this paper is on the hardware compilation part of the problem starting<br />

from a software-like algorithmic process-based specification.<br />

References<br />

[1] A. V. Aho et al. Compilers - principles, techniques, and tools, Reading: Addison-Wesley, 1986.<br />

[2] J. Bhasker. A Verilog HDL Primer. Prentice-Hall, 1997.<br />

[3] J. Bhasker. A VHDL Primer. Prentice-Hall, 1994.<br />

[4] R. Bittner, P. Athanas. “Wormhole Run-Time Reconfiguration”, ACM/SIGDA International Symposium on Field<br />

Programmable Gate Arrays, ACM, 1997.<br />

[5] R. Camposano andW.Wolf (editors), Trends in High-Level Synthesis, Kluwer Academic Publishers, 1993.<br />

[6] G. de Jong, B. Lin. “A communicating Petri net model <strong>for</strong> the design of concurrent asynchronous modules”,<br />

ACM/IEEE Design Automation Conference, 1994.<br />

[7] A. DeHon. “DPGA-Coupled Microprocessors: Commodity ICs <strong>for</strong> the Early 21st Century”, Proc. of the IEEE<br />

Workshop on FPGAs <strong>for</strong> Custom Computing Machines, April 1994.<br />

[8] H. De Man, F. Catthoor, G. Goossens, J. Vanhoof, J. Van Meerbergen, S. Note, J.A. Huisken, “Architecturedriven<br />

synthesis techniques <strong>for</strong> VLSI implementation of DSP algorithms”, Proceedings of IEEE, vol.72, no.2,<br />

pp.319-335, February 1990.<br />

[9] C. A. R.Hoare. Communicating Sequential Processes. Prentice-Hall, 1985.<br />

[10] J. R. Hauser, J. Wawrzynek. “A MIPS Processor with a Reconfigurable Coprocessor” Proc. Symposium on<br />

Field-Programmable Custom Computing Machines (FCCM), April 16-18, 1997.<br />

[11] B. W. Kernighan, D. M. Ritchie. The C Programming Language, Prentice-Hall, Englewood Cliffs, New Jersey,<br />

1978.<br />

[12] J. Larus, SPIM (http://www.cs.wisc.edu/ larus/spim.html), a MIPS R2000/R3000 simulator<br />

[13] B. Lin. “Software synthesis of process-based concurrent programs”, ACM/IEEE Design Automation<br />

Conference, June 1998.<br />

[14] D. P. Lopresti, “P-NAC: A Systolic Array <strong>for</strong> Comparing Nucleic Acid Sequences”, Computer, vol. 20(7), pp.<br />

98-99, 1993.<br />

[15] L. Moll, J. Vuillemin, P. Boucard. “High-energy Physics on DECPeRLe-1 Programmable<br />

Active Memory”, ACM International Symposium on FPGAs, Monterey, February 1995.<br />

[16] I. Page. “Constructing Hardware-Software Systems from a Single Description”, Submitted<br />

to VLSI signal processing, July 1995.<br />

[17] J.L. Peterson. Petri net Theory and Modeling of Systems, Prentice Hall, 1981.


[18] A.W. Roscoe, C. A. R. Hoare. “Laws of occam programming”, Theoretical Computer Science, 60, 177-229,<br />

(1988).<br />

[19] R. M. Stallman, Using and porting GNU CC, Free Software Foundation, June 1993.<br />

[20] S. Vercauteren, D. Verkest, G. de Jong, B. Lin, “Derivation of <strong>for</strong>mal representations from process-based<br />

specification and implementation models”, Proc. of ISSS’97, September 1997.<br />

[21] J. Villasenor, B. Schoner, K. N. Chia, C. Zapata, H. J. Kim, C. Jones, S. Lansing, B. Mangione-Smith.<br />

“Configurable Computing Solutions <strong>for</strong> Automatic Target Recognition”, IEEE Symposium on FPGAs <strong>for</strong> Custom<br />

Computing Machines (FCCM’96), April 1996.<br />

[22] J. Vuillemin, P. Bertin, D. Roncin, M. Shand, H. Touati, P. Boucard. “Programmable Active Memories:<br />

Reconfigurable Systems Come of Age”, IEEE Transactions on VLSI Sysetms, March 1996, vol. 4, (no.1):56-69.<br />

[23] M. J. Wirthlin, B. L. Hutchings. “DISC: The dynamic instruction set computer”, Field Programmable Gate<br />

Arrays (FPGAs) <strong>for</strong> Fast Board Development and Reconfigurable Computing, Proc. SPIE 2607, pp. 92-103 (1995).<br />

[24] R. D. Wittig, P. Chow, “OneChip: <strong>An</strong> FPGA Processor with Reconfigurable Logic”, IEEE Symposium on<br />

FPGAs <strong>for</strong> Custom Computing Machines, April 1996.<br />

[25] Altera Corporation (http://www.altera.com), RIPP10 Programming Board, Cali<strong>for</strong>nia.<br />

[26] Exemplar (http://www.exemplar.com), Leonardo Spectrum, Alameda, CA.<br />

[27] Frontier Design System (http://www.frontierd.com), ART and DSPStation, Leuven, Belgium.<br />

[28] National Semiconductor Corporation, Napa 1000 Data Sheet, (http://www.national.com/appinfo/milaero<br />

/napa1000), 1997.<br />

[29] Synopsys (http://www.synopsys.com), Behavioral Compiler, Mountain View, CA.<br />

[30] Synopsys (http://www.synopsys.com), FPGA Express, Mountain View, CA.<br />

[31] Synplicity (http://www.synplicity.com), Synplify, Sunnyvale, CA.<br />

[32] Virtual Computer Corporation (http://www.vcc.com), Cali<strong>for</strong>nia.<br />

[33] Xilinx (http://www.xilinx.com), Foundation Series Software, Cali<strong>for</strong>nia.<br />

[34] Xilinx Corporation (http://www.xilinx.com), Cali<strong>for</strong>nia.


DAC'99, pages 703-708<br />

0.18 µm CMOS and beyond<br />

D.J. Eaglesham<br />

Bell Labs, Lucent Technologies, Murray Hill, NJ 07974<br />

ABSTRACT<br />

As we move to the 0.18µm node and beyond, the dominant trend in device and process<br />

technology is a simple continuation of several decades of scaling. However, some serious<br />

challenges to straight<strong>for</strong>ward scaling are on the horizon. This paper will review the present status<br />

of process technology and examine the likely departures from scaling in the various areas. The<br />

0.18µm node is seeing the first major new materials introduced into the Si process <strong>for</strong> many<br />

years in the interconnect, and major departures from the traditional process are being actively<br />

considered <strong>for</strong> the transistor. However, it is probable that continued scaling will continue to<br />

dominate advanced processes <strong>for</strong> several generations to come.<br />

References<br />

1) Waskiewicz et al., Proceedings SPIE 1997, 3048.<br />

2) G. Timp, et al., Proceedings IEDM 1998, 615.<br />

3) I.C. Kizilyalli et al., VLSI Tech Digest 1998.<br />

4) M. Hargrove, et al., Proceedings 1998 IEDM, 627.<br />

5) E. Leobandung, et al., Proceedings 1998 IEDM, 403.<br />

6) R.Chau et al., Proceedings IEDM 1997, 591<br />

7) D.P. Monroe and J.M. Hergenrother, Proceedings 1998 IEEE Conference on Silicon-on-Insulator.<br />

8) H.-S. P. Wong, K.K. Chan, and Y. Taur, Proceedings IEDM 1997, 427.<br />

9) D. Hasimoto et al., Proceedings IEDM 1998, 1032.<br />

10) H. Takato et al. Proceeding IEDM 1988, 222.


DAC'99, pages 709-714<br />

SOI Digital CMOS VLSI - A Design Perspective<br />

C. T. Chuang and R. Puri<br />

IBM T. J. Watson Research Center, Yorktown Heights, NY 10598, U. S. A.<br />

Abstract<br />

This paper reviews the recent advances of SOI <strong>for</strong> digital CMOS VLSI applications with<br />

particular emphasis on the design issues and advantages resulting from the unique SOI device<br />

structure. The technology/device requirements and design issues/challenges <strong>for</strong> highper<strong>for</strong>mance,<br />

general-purpose microprocessor applications are differentiated with respect to lowpower<br />

portable applications. Particular emphases are placed on the impact of floating-body in<br />

partially-depleted devices on the circuit operation, stability, and functionality. Unique SOI<br />

design aspects such as parasitic bipolar effect and hysteretic VT variation are addressed. Circuit<br />

techniques to improve the noise immunity and global design issues are discussed.<br />

References<br />

[1] C. Hu, "SOI and Device Scaling," Proc. IEEE International SOI Conf., 1998, pp. 1-4.<br />

[2] C. T. Chuang, P. F. Lu, and C. J. <strong>An</strong>derson, "SOI <strong>for</strong> Digital CMOS VLSI: Design Considerations and<br />

Advances," Proc. IEEE, vol. 86, no. 4, April 1998, pp. 689-720.<br />

[3] B. Yu, et. al., Int'l Semicon. Device Res. Symp., 1997, p. 623.<br />

[4] H. S. Wong, K. C. Chan, and Y. Taur, "Self-Aligned (Top and Bottom) Double-Gate MOSFET with a 25 nm<br />

Thick Silicon Channel," Tech. Digest, IEDM, 1997, pp. 427-430.<br />

[5] L. Su, et. al., Proc. IEEE Int'l SOI Conf., 1993, pp. 112-113.<br />

[6] D. J. Schepis, et al., " A 0.25 µm CMOS SOI Technology and Its Application to 4 Mb SRAM," Tech. Digest,<br />

IEDM, 1997, pp. 587-590.<br />

[7] F. Assaderaghi, et al., "A 7.9/5.5 psec Room/Low Temperature SOI CMOS," Tech. Digest, IEDM, 1997, pp.<br />

415-418.<br />

[8] E. Leobandung,M. Sherony, J. Sleight, R. Bolam, F. Assaderaghi, S. Wu, D. Schepis, A. Ajmera, W. Rausch, B.<br />

Davari, and G. Shahidi, "Scalability of SOI Technology into 0.13 µm1.2 V CMOS Generation," Tech. Digest,<br />

IEDM, 1998, pp. 403-406.<br />

[9] T. Fuse, Y. Oowaki, M. Terauchi, S. Watanabe, M. Yoshimi, K. Ohuchi, and J. Matsunaga, "0.5V SOI CMOS<br />

Pass-Gate Logic," Digest Tech. Papers, ISSCC, 1996, pp. 88-89.<br />

[10] T. Douseki, S. Shigematsu, Y. Tanabe, M. Harada, H. Inokawa, and T. Tsuchiya, "A 0.5V SIMOX-MTCMOS<br />

Circuit with 200ps Logic Gate," Digest Tech. Papers, ISSCC, 1996, pp. 84-85.<br />

[11] M. Canada, et al., "A 580MHz RISC Microprocessor in SOI," Dig. Tech. Papers, ISSCC, 1999, pp. 430-431.<br />

[12] D. H. Allen, et. al., "A 0.20 µm 1.8 V SOI 550MHz 64b PowerPC Microprocessor with Cu Interconnects," Dig.<br />

Tech. Papers, ISSCC, 1999, pp. 438-439.<br />

[13] J. Sleight and K. Mistry, "A Compact Schottky Contact Technology <strong>for</strong> SOI Transistors," Tech. Digest, IEDM,<br />

1997, pp. 419-422.<br />

[14] K. Mistry, G. Grula, J. Sleight, L. Bair, R. Stephany, R. Flatley, and P. Skerry, "A 2.0V, 0.35 µm Partially<br />

Depleted SOI-CMOS Technology," Tech. Digest, IEDM, 1997, pp. 583-586.<br />

[15] Y. W. Kim, et. al., "A 0.25 µm 600 MHz 1.5V SOI 64b ALPHA Microprocessor," Dig. Tech. Papers, ISSCC,<br />

1999, pp. 432-433.<br />

[16] P. F. Lu, C. T. Chuang, J. Ji, L. F. Wagner, C. M. Hsieh, J. B. Kuang, L. Hsu, M. M. Pelella, S. Chu, and C. J.<br />

<strong>An</strong>derson, "Floating Body Effects in Partially-Depleted SOI CMOS Circuits," IEEE J. Solid-State Circuits, vol. 32,<br />

no. 8, August 1997, pp. 1241-1253.<br />

[17] C. T. Chuang, P. F. Lu, J. Ji, L. F. Wagner, S. Chu, and C. J. <strong>An</strong>derson, "Dual-Mode Parasitic Bipolar Effect in<br />

Dynamic CVSL XOR Circuit with Floating-Body Partially-Depleted SOI Devices," Proc. Tech. Papers, Int. Symp.<br />

on VLSI Tech., Syst., and Applications, Taipei, Taiwan, June 3-5, 1997, pp. 288-292.<br />

[18] A. Wei, D. A. <strong>An</strong>toniadis, and L. A. Bair, "Minimizing Floating-Body-Induced Threshold Voltage Variation in<br />

Partially Depleted SOI CMOS," IEEE Electron Device letters, vol. 17, no. 8, August 1996, pp. 391-394.


[19] T. W. Houston and S. Unnikrishnan, "A Guide to Simulation of Hysteretic Gate Delays <strong>Based</strong> on Physical<br />

Understanding," Proc. IEEE International SOI Conf., 1998, pp. 121-122.<br />

[20] M. M. Pelella, C. T. Chuang, J, G. Fossum, C. Tretz, B. W. Curran, and M. G. Rosenfield, "Hysteresis in<br />

Floating-Body PD/SOI Circuits," Proc. Tech. Papers, Int. Symp. on VLSI Tech., Syst., and Applications, Taipei,<br />

Taiwan, June 8-10, 1999.<br />

[21] R. Puri and C. T. Chuang, "Hysteresis Effect in Pass-Transistor <strong>Based</strong> Partially-Depleted SOI CMOS Circuits,"<br />

Proc. IEEE International SOI Conf., 1998, pp. 103-104.<br />

[22] A. Wei and D. <strong>An</strong>toniadis, "Design Methodology <strong>for</strong> Minimizing Hysteretic VT -Variation in Partially-<br />

Depleted SOI CMOS," Tech. Digest, IEDM, 1997, pp. 411-414.<br />

[23] Y. Tosaka, K. Suzuki, and T. Sugii, "a-Particle-Induced Soft Errors in Submicron SOI SRAM," Dig. Tech.<br />

Papers, Symp. VLSI Technology, 1995, pp. 39-40.<br />

[24] T. Wada, et. al., "A 128Kb SRAM with Soft Error Immunity <strong>for</strong> 0.35 µm SOI-CMOS Embedded Cell Arrays,"<br />

Proc. IEEE International SOI Conf., 1998, pp. 127-128.<br />

[25] K. Kumagai, T. Yamada, H. Iwaki, H. Nakamura, and H. Onishi, "A New SRAM Cell Design Using 0.35 µm<br />

CMOS/SIMOX Technology," Proc. IEEE International SOI Conf., 1997, pp. 174-175.


DAC'99, pages 715-720<br />

Equivalent Elmore Delay <strong>for</strong> RLC Trees<br />

Yehea I. Ismail, Eby G. Friedman, and Jose L. Neves 1<br />

Department of Electrical and Computer Engineering, University of Rochester,<br />

Rochester, New York 14627<br />

1 IBM Microelectronics, East Fishkill, New York 12533<br />

Abstract<br />

Closed <strong>for</strong>m solutions <strong>for</strong> the 50% delay, rise time, overshoots, and settling time of signals in an<br />

RLC tree are presented. These solutions have the same accuracy characteristics as the Elmore<br />

delay model <strong>for</strong> RC trees and preserves the simplicity and recursive characteristics of the Elmore<br />

delay. The solutions introduced here consider all damping conditions of an RLC circuit including<br />

the underdamped response, which is not considered by the classical Elmore delay model due to<br />

the non-monotone nature of the response. Also, the solutions have significantly improved<br />

accuracy as compared to the Elmore delay <strong>for</strong> an overdamped response. The solutions introduced<br />

here <strong>for</strong> RLC trees can be practically used <strong>for</strong> the same purposes that the Elmore delay is used<br />

<strong>for</strong> RC trees.<br />

References<br />

[1] J. M. Rabaey, Digital Integrated Circuits, A Design Perspective, Prentice Hall, Inc., New Jersey, 1996.<br />

[2] D. A. Priore, “Inductance on Silicon <strong>for</strong> Sub-Micron CMOS VLSI,” Proceedings of the IEEE Symposium on<br />

VLSI Circuits, pp. 17-18, May 1993.<br />

[3] D. B. Jarvis, “The Effects of Interconnections on High-Speed Logic Circuits,” IEEE Transactions on Electronic<br />

Computers, Vol. EC-10, No. 4, pp. 476 - 487, October 1963.<br />

[4] A. Deutsch, et al., “High-Speed Signal Propagation on Lossy Transmission Lines,” IBM Journal of Research<br />

and Development, Vol. 34, No. 4, pp. 601 - 615, July 1990.<br />

[5] A. Deutsch, et al., “Modeling and Characterization of Long Interconnections <strong>for</strong> High-Per<strong>for</strong>mance<br />

Microprocessors,” IBM Journal of Research and Development, Vol. 39, No. 5, pp. 547 - 667, September 1995.<br />

[6] Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Figures of Merit to Characterize the Importance of On-Chip<br />

Inductance,” Proceedings of the IEEE/ACM Design Automation Conference, pp. 560 – 565, June 1998.<br />

[7] M. P. May, A. Taflove, and J. Baron, “FD-TD Modeling of Digital Signal Propagation in 3-D Circuits with<br />

Passive and Active Loads,” IEEE Transactions on Microwave Theory and Techniques, Vol. MTT-42, No. 8, pp.<br />

1514 - 1523, August 1994.<br />

[8] T. Sakurai, “Approximation of Wiring Delay in MOSFET LSI,” IEEE Journal of Solid-State Circuits, Vol. SC-<br />

18, No. 4, pp. 418 - 426, August 1983.<br />

[9] G. Y. Yacoub, H. Pham, and E. G. Friedman, “A System <strong>for</strong> Critical Path <strong>An</strong>alysis <strong>Based</strong> on Back <strong>An</strong>notation<br />

and Distributed Interconnect Impedance Models,” Microelectronic Journal, Vol. 18, No. 3, pp. 21 - 30, June 1988.<br />

[10] J. Torres, “Advanced Copper Interconnections <strong>for</strong> Silicon CMOS Technologies,” Applied Surface Science, Vol.<br />

91, No. 1, pp. 112 - 123, October 1995.<br />

[11] C. F. Webb et al., “A 400MHz S/390 Microprocessor,” Proceedings of the IEEE International Solid-State<br />

Circuits Conference, pp. 448 – 449, February 1997.<br />

[12] P. J. Restle and A. Duetsch, “Designing the Best Clock Distribution Network,” Proceedings of the IEEE VLSI<br />

Circuit Symposium, pp. 2- 5, June 1998.<br />

[13] W. C. Elmore, “The Transient Response of Damped Linear Networks,” Journal of Applied Physics, Vol. 19, pp.<br />

55 - 63, January 1948.<br />

[14] J. L. Wyatt, Circuit <strong>An</strong>alysis, Simulation and Design, Elsevier Science Publishers, North-Holland, 1987.<br />

[15] J. Cong, L. He, C-K. Koh, and P. Madden, “Per<strong>for</strong>mance Optimization of VLSI Interconnect,” Integration, The<br />

VLSI Journal, Vol. 21, pp. 1 - 94, November 1996.<br />

[16] J. Cong and K. S. Leung, “Optimal Wire Sizing Under the Distributed Elmore Delay Model,” Proceedings of<br />

the IEEE/ACM International Conference on Computer-Aided Design, pp. 634 - 639, November 1995.<br />

[17] S. S. Sapatnekar, “RC Interconnect Optimization Under the Elmore Delay Model,” Proceedings of the<br />

IEEE/ACM Design Automation Conference, pp. 387 – 391, June 1994.


[18] J. Cong and L. He, “Optimal Wire Sizing <strong>for</strong> Interconnects with Multiple Sources,” Proceedings of the IEEE<br />

International Conference on Computer-Aided Design, pp. 586 – 574, November 1995<br />

[19] L. W. Nagel, “SPICE2: A Computer Program to Simulate Semiconductor Circuits,” Technical Report ERL-<br />

M520, UCBerkeley, May 1975<br />

[20] K. D. Boese, A. B. Kahng, B. A. McCoy, and G. Robins, “Fidelity and Near-Optimality of Elmore-<strong>Based</strong><br />

Routing Constructions,” Proceedings of the IEEE International Conference on Computer Design, pp. 81 – 84,<br />

October 1993.<br />

[21] J. Cong, A. B Kahng, C.-K. Koh and C.-W. A. Tsao, “Bounded- Skew Clock and Steiner Routing Under<br />

Elmore Delay,” Proceedings of the IEEE International Conference On Computer-Aided Design, pp. 66 - 71, January<br />

1995.<br />

[22] L. P. P. P. van Ginneken, “Buffer Placement in Distributed RC-tree Networks <strong>for</strong> Minimal Elmore Delay,”<br />

Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 865 - 868, May 1990.<br />

[23] C. J. Alpert, “Wire Segmenting <strong>for</strong> Improved Buffer Insertion,” Proceedings of the IEEE/ACM Design<br />

Automation Conference, pp. 588-593, June 1997.<br />

[24] J. Rubinstein, P. Penfield, Jr., and M. Horowitz, “Signal Delay in RC Tree Networks,” Proceedings of the<br />

IEEE/ACM Design Automation Conference, pp. 202 – 211, June 1983.<br />

[25] L. T. Pillage and R. A. Rohrer, “Delay Evaluation with Lumped Linear RLC Interconnect Circuit Models,”<br />

Proceedings of the Caltech Conference on VLSI, pp. 143-158, May 1989.<br />

[26] M. A. Horowitz, “Timing Models <strong>for</strong> CMOS Circuits,” Ph.D. Thesis, Stan<strong>for</strong>d University, January 1984.<br />

[27] L. T. Pillage, R. A. Rohrer, and C. Visweswariah, Electronic Circuit and System Simulation Methods, McGraw-<br />

Hill, Inc., 1994.<br />

[28] L. T. Pillage and R. A. Rohrer, “Asymptotic Wave<strong>for</strong>m Evaluation <strong>for</strong> Timing <strong>An</strong>alysis,” IEEE Transactions<br />

on Computer-Aided Design, Vol. CAD-9, No. 4, pp. 352 - 366, April 1990.<br />

[29] C. L. Ratzlaff, N. Gopal, and L. T. Pillage, “RICE: Rapid Interconnect Circuit Evaluator,” Proceedings of the<br />

IEEE/ACM Design Automation Conference, pp. 555 – 560, June 1991.<br />

[30] L. T. Pillage, “Coping with RC(L) Interconnect Design Headaches,” Proceedings of the IEEE/ACM<br />

International Conference on Computer-Aided Design, pp. 246 – 253, September 1995.<br />

[31] AS/X User’s Guide, IBM Corporation, New York, 1996.<br />

[32] B. C. Kuo, Automatic Control Systems, A Design Perspective, Prentice Hall of India, New Delhi, India, 1989.


DAC'99, pages 721-724<br />

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits<br />

Yehea I. Ismail and Eby G. Friedman<br />

Department of Electrical and Computer Engineering<br />

University of Rochester, Rochester, New York 14627<br />

Abstract<br />

A closed <strong>for</strong>m expression <strong>for</strong> the propagation delay of a CMOS gate driving a distributed RLC<br />

line is introduced that is within 5% of dynamic circuit simulations <strong>for</strong> a wide range of RLC<br />

loads. It is shown that the traditional quadratic dependence of the propagation delay on the length<br />

of an RC line approaches a linear dependence as inductance effects increase. The closed <strong>for</strong>m<br />

delay model is applied to the problem of repeater insertion in RLC interconnect. Closed <strong>for</strong>m<br />

solutions are presented <strong>for</strong> inserting repeaters into RLC lines that are highly accurate with<br />

respect to numerical solutions. <strong>An</strong> RC model as compared to an RLC model creates errors of up<br />

to 30% in the total propagation delay of a repeater system. Considering inductance in repeater<br />

insertion is also shown to significantly save repeater area and power consumption. The error<br />

between the RC and RLC models increases as the gate parasitic impedances decrease which is<br />

consistent with technology scaling trends. Thus, the importance of inductance in high<br />

per<strong>for</strong>mance VLSI design methodologies will increase as technologies scale.<br />

References<br />

[1] D. A. Priore, “Inductance on Silicon <strong>for</strong> Sub-Micron CMOS VLSI,” Proceedings of the IEEE Symposium on<br />

VLSI Circuits, pp. 17-18, May 1993.<br />

[2] M. P. May, A. Taflove, and J. Baron, “FD-TD Modeling of Digital Signal Propagation in 3-D Circuits with<br />

Passive and Active Loads,” IEEE Transactions on Microwave Theory and Techniques, Vol. MTT-42, No. 8, pp.<br />

1514 - 1523, August 1994.<br />

[3] T. Sakurai, “Approximation of Wiring Delay in MOSFET LSI,” IEEE Journal of Solid-State Circuits, Vol. SC-<br />

18, No. 4, pp. 418 - 426, August 1983.<br />

[4] G. Y. Yacoub, H. Pham, and E. G. Friedman, “A System <strong>for</strong> Critical Path <strong>An</strong>alysis <strong>Based</strong> on Back <strong>An</strong>notation<br />

and Distributed Interconnect Impedance Models,” Microelectronic Journal, Vol. 18, No. 3, pp. 21 - 30, June 1988.<br />

[5] M. Shoji, High-Speed Digital Circuits, Addison Wesley, Massachusetts, 1996.<br />

[6] J. Torres, “Advanced Copper Interconnections <strong>for</strong> Silicon CMOS Technologies,” Applied Surface Science, Vol.<br />

91, No. 1, pp. 112 - 123, October 1995.<br />

[7] A. Deutsch et al., “When are Transmission-Line Effects Important <strong>for</strong> On-Chip Interconnections?,” IEEE<br />

Transactions on Microwave Theory and Techniques, Vol. MTT-45, No. 10, pp. 1836-1846, October 1997.<br />

[8] Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Figures of Merit to Characterize the Importance of On-Chip<br />

Inductance,” Proceedings of the IEEE/ACM Design Automation Conference, pp. 560 – 565, June 1998.<br />

[9] H. B. Bakoglu and J. D. Meindl, “Optimal Interconnection Circuits <strong>for</strong> VLSI,” IEEE Transactions on Electron<br />

Devices, Vol. ED-32, No. 5, pp. 903 - 909, May 1985.<br />

[10] V. Adler and E. G. Friedman, “Repeater Design to Reduce Delay and Power in Resistive Interconnect,” IEEE<br />

Transactions on Circuits and Systems II: <strong>An</strong>alog and Digital Signal Processing, Vol. CAS-45, No. 5, pp. 607 - 616,<br />

May 1998.<br />

[11] H. B. Bakoglu, Circuits, Interconnections, and Packaging <strong>for</strong> VLSI, Addison-Wesley Publishing Company,<br />

1990.<br />

[12] L. N. Dworsky, Modern Transmission Line Theory and Applications, John Wiley & Sons, Inc., New York,<br />

1979.<br />

[13] W. C. Elmore, “The Transient Response of Damped Linear Networks,” Journal of Applied Physics, Vol. 19, pp.<br />

55 - 63, January 1948.<br />

[14] AS/X User’s Guide, IBM Corporation, New York, 1996.


DAC'99, pages 725-730<br />

Retiming <strong>for</strong> DSM with Area-Delay Trade-offs and Delay Constraints<br />

Abdallah Tabbara, Robert K. Brayton, A. Richard Newton<br />

Department of Electrical Engineering and Computer Sciences,<br />

University of Cali<strong>for</strong>nia, Berkeley, CA 94720<br />

Abstract<br />

The concept of improving the timing behavior of a circuit by relocating registers is called<br />

retiming and was first presented by Leiserson and Saxe. They showed that the problem of<br />

determining an equivalent minimum area (total number of registers) circuit is polynomial-time<br />

solvable. In this work we show how this approach can be reapplied in the DSM domain when<br />

area-delay trade-offs and delay constraints are considered. The main result is that the concavity<br />

of the trade-off function allows <strong>for</strong> a casting of this DSM problem into a classical minimum area<br />

retiming problem whose solution is polynomial time solvable.<br />

References<br />

[1] R. Alur, "Timed Automata", NATO-ASI Summer School on Verification of Digital and Hybrid Systems, 1998.<br />

[2] R.B. Deokar and S.S. Sapatnekar, "A Fresh Look at Retiming via Clock Skew Optimization", DAC pp. 310-315,<br />

1995.<br />

[3] F. Eory, "Systems to Silicon Design: Methodology <strong>for</strong> Deep Sub-micron ASICs", SuperCon, 1997.<br />

[4] C.E. Leiserson and J.B. Saxe, "Retiming Synchronous Circuitry", Algorithmica, vol. 6, pp. 5-35, 1991.<br />

[5] N. Maheshwari and S.S. Sapatnekar, "<strong>An</strong> Improved Algorithm <strong>for</strong> Minimum-Area Retiming", DAC, 1997.<br />

[6] J.B. Orlin, "A Faster Strongly Polynomial Minimum Cost Flow Algorithm", Operations Research, vol.41, no.2,<br />

pp. 338-50, 1993.<br />

[7] R.H.J.M. Otten and R.K. Brayton "Planning <strong>for</strong> Per<strong>for</strong>mance", DAC, 1998.<br />

[8] Y. Pinto, R. Shamir, "<strong>Efficient</strong> Algorithms <strong>for</strong> Minimum-Cost Flow Problems with Piecewise-Linear Convex<br />

Costs", Algorithmica, vol.11, pp. 256-277, 1994.<br />

[9] E. Sentovich, "Sequential Circuit Synthesis at the Gate Level", Ph.D. Thesis, UC Berkeley, Chap. 5, 1993.<br />

[10] N. Shenoy and R. Rudell, "<strong>Efficient</strong> Implementation of Retiming", ICCAD, pp. 226-233, 1994.<br />

[11] "National Technology Roadmap <strong>for</strong> Semiconductors", Semiconductor Industry Association, 4300 Stevens<br />

Creek Blvd., Suite 271, San Jose, CA 95129.


DAC'99, pages 731-736<br />

Functional Timing <strong>An</strong>alysis <strong>for</strong> IP Characterization<br />

Hakan Yalcin†, Mohammad Mortazavi†, Robert Palermo†, Cyrus Bamji†, Karem Sakallah‡<br />

† Cadence Design Systems, San Jose, CA 95134<br />

‡ Dept. of Electrical Eng. and Computer Science, University of Michigan, <strong>An</strong>n Arbor, MI 48109<br />

ABSTRACT<br />

A method that characterizes the timing of Intellectual Property (IP) blocks while taking into<br />

account IP functionality is presented. IP blocks are assumed to have multiple modes of operation<br />

specified by the user. For each mode, our method calculates IO path delays and timing<br />

constraints to generate a timing model. The method thus captures the mode-dependent variation<br />

in IP delays which, according to our experiments, can be as high as 90%. The special manner in<br />

which delay calculation is per<strong>for</strong>med guarantees that IP delays are never underestimated. The<br />

resulting timing models are also compacted through a process whose accuracy is controlled by<br />

the user.<br />

Keywords: Timing analysis, false path, functional (mode) dependency, IP characterization.<br />

REFERENCES<br />

[1] D. Brand, V. Iyengar, “Timing <strong>An</strong>alysis Using Functional Relationships,” Proc. Int’l. Conf. on Computer Aided<br />

Design, 1986, pp. 126-129.<br />

[2] H.-C. Chen, and D.H.C. Du, “Path Sensitization in Critical Path Problem,” IEEE Trans. on CAD, vol. 12, Feb.<br />

1993, pp. 196-207.<br />

[3] V.H. Hrapcenko, “Depth and Delay in a Network,” Soviet Math Dokl., vol. 19, 1978, pp. 1006-1009.<br />

[4] M. Hansen, H. Yalcin. J. Hayes, “Unveiling the ISCAS-85 Benchmarks: A Case Study in Reverse Engineering,”<br />

IEEE Design and Test, 1999, to appear.<br />

[5] Y. Kukimoto, R.K. Brayton, “Hierarchical Functional Timing <strong>An</strong>alysis,” Proc. Design Automation Conf., 1998,<br />

pp. 580-585.<br />

[6] Y. Kukimoto, W. Gosti, A. Saldanha, R.K. Brayton, “Approximate Timing <strong>An</strong>alysis of Combinational Circuits<br />

Under the XBD0 Model,” Proc. Int’l. Conf. on Computer Aided Design, 1997, pp. 176-181.<br />

[7] P.C. McGeer, R.K. Brayton, Integrating Functional and Temporal Domains in Logic Design: The False Path<br />

Problem and Its Implications, Kluwer Academic Publishers, Boston, 1991.<br />

[8] T.M. McWilliams, “Verification of Timing Constraints on Large Digital Systems,” Proc. Design Automation<br />

Conf., 1980, pp. 139-147.<br />

[9] J. P. M. Silva, K. Sakallah, “<strong>Efficient</strong> and Robust Test Generation-<strong>Based</strong> Timing <strong>An</strong>alysis,” Proc. Int’l Symp. on<br />

Circuits and Systems, 1994.<br />

[10]H. Yalcin, J. Hayes, “Hierarchical Timing <strong>An</strong>alysis Using Conditional Delays,” Proc. Int’l. Conf. on Computer<br />

Aided Design, 1995, pp. 371-377.<br />

[11]H. Yalcin, J. Hayes, K. Sakallah, “Approximate Timing <strong>An</strong>alysis For Datapath Circuits,” Proc. Int’l. Conf. on<br />

Computer Aided Design, 1996, pp. 114-118.<br />

[12]H. Yalcin, M. Mortazavi, R. Palermo, C. Bamji, K. Sakallah, “Quantization-<strong>Based</strong> Timing Model Reduction,”<br />

in preparation.


DAC'99, pages 737-741<br />

Detecting False Timing Paths: Experiments on PowerPC TM Microprocessors<br />

Richard Raimi*, Jacob Abraham**<br />

*Motorola Corp., Austin, TX 78730<br />

**Computer Engineering Research Center, The University of Texas at Austin, Austin, TX 78712<br />

Abstract<br />

We present a new algorithm <strong>for</strong> detecting both combinationally and sequentially false timing<br />

paths, one in which the constraints on a timing path are captured by justifying symbolic functions<br />

across latch boundaries.<br />

We have implemented the algorithm and we present, here, the results of using it to detect false<br />

timing paths on a recent PowerPC microprocessor design. We believe these are the first<br />

published results showing the extent of the false path problem in industry. Our results suggest<br />

that the reporting of false paths may be compromising the effectiveness of static timing analysis.<br />

References<br />

[1] T. Chakraborty, V. Agraawal, Effective Path Selection <strong>for</strong> Delay Fault Testing of Sequential Circuits.<br />

International Test Conference, 1997.<br />

[2] A. Biere, A. Cimatti, E. M. Clarke, M. Fujita, Y. Zhu Symbolic Model Checking using SAT procedures instead of<br />

BDDs, Proceeding Design Automation Conference, 1999.<br />

[3] R. E. Bryant, Graph-<strong>Based</strong> Algorithms <strong>for</strong> Boolean Function Manipulation. IEEE Transactions on Computers,<br />

Vol. C-35, No. 8, August, 1986.<br />

[4] M. Davis, H. Putnam, A Computing Procedure <strong>for</strong> Quantification Theory. Journal of the Association <strong>for</strong><br />

Computing Machinery, vol. 7, 1960.<br />

[5] H. Chang, J. Abraham <strong>An</strong> <strong>Efficient</strong> Critical Path Tracing Algorithm <strong>for</strong> Designing High Per<strong>for</strong>mance VLSI<br />

Systems. Journal of Electronic Testing, Theory and Applications, 11, pp. 119-129, Kluwer Academic Publishers,<br />

1997.<br />

[6] H. Chang, Strategies <strong>for</strong> Design and Test of High Per<strong>for</strong>mance Systems. Ph.D. Dissertation, The University of<br />

Texas at Austin, August 1993.<br />

[7] S. Jah, Y. Lu, M. Minea, E. Clarke, Equivalence Checking Using Abstract BDDs, ICCD, 1997.<br />

[8] Y. Kukimoto, R. Brayton Exact Required Time <strong>An</strong>alysis via False Path Detection. Design Automation<br />

Conference, 1997.<br />

[9] C.-J. Seger Voss–A Formal Hardware Verification System User’s Guide, Tech. Rep. 93-45, Dept. of Comp. Sci.,<br />

Univ. of British Columbia, 1993.<br />

[10] P. McGeer, A. Saldanha, R. Brayton, A. Sangiovanni-Vincentelli Delay Models and Exact Timing <strong>An</strong>alysis. in<br />

T. Sasao, editor, Logic Synthesis and Optimization, pp. 167-189, Kluwer Publishers, 1993.


DAC'99, pages 742-747<br />

On ILP Formulations <strong>for</strong> Built-In Self-Testable Data Path Synthesis<br />

Han Bin Kim, Dong Sam Ha<br />

Dept. of Electr. & Comput. Eng., Virginia Tech, Blacksburg, VA 24061-0111<br />

Takeshi Takahashi<br />

Advantest Lab. Ltd., 48-2 Matsubara, Kamiayashi, Aoba-ku, Sendai, Miyagi 989-31, Japan<br />

ABSTRACT<br />

In this paper, we present a new method to the built-in self-testable data path synthesis based on<br />

integer linear programming (ILP). Our method per<strong>for</strong>ms system register assignment, built-selftest<br />

(BIST) register assignment, and interconnection assignment concurrently to yield optimal<br />

designs. Our experimental results show that our method successfully synthesizes BIST circuits<br />

<strong>for</strong> all six circuits experimented. All the BIST circuits are better in area overhead than those<br />

generated by existing high-level BIST synthesis methods.<br />

Keywords: high-level BIST synthesis, built-in self-test, BIST, ILP.<br />

REFERENCES<br />

[1] C.A. Papachristou, S. Chiu, and H. Harmanani, "A data path synthesis method <strong>for</strong> self-testable designs, " Proc.<br />

28 th Design Automation Conf., pp. 378-384, June 1991.<br />

[2] H. Harmanani and C.A. Papachristou, "<strong>An</strong> improved method <strong>for</strong> RTL synthesis with testability tradeoff," Intl.<br />

Conf. on Computer-Aided Design, pp. 30-35, Nov. 1993.<br />

[3] L.J. Avra, "Allocation and assignment in high-level synthesis <strong>for</strong> self-testable data paths," Proc. Int. Test Conf.,<br />

pp. 463-472, Oct. 1991.<br />

[4] I. Parulkar, S. Gupta, and M.A. Breuer, “Data path allocation <strong>for</strong> synthesizing RTL designs with low BIST area<br />

overhead,” Proc. 32nd Design Automation Conf., pp. 395-401, June 1995.<br />

[5] A. Orailoglu and I.G. Harris, “Microarchitectural synthesis <strong>for</strong> rapid BIST testing,” IEEE Trans. Computer-<br />

Aided Design, Vol.16, No. 6, pp. 573-586, June 1997.<br />

[6] H.B. Kim, T. Takahashi, and D.S. Ha, "Test session oriented built-in self-testable data path synthesis,” Proc. Int.<br />

Test Conf., pp. 154-163, Oct. 1998.<br />

[7] L. Hafer and A. Parker, “A <strong>for</strong>mal method <strong>for</strong> the specification, analysis, and design of register-transfer level<br />

digital logic,” IEEE Trans. on Computer-Aided Design, Vol. 2, pp. 4-18, Jan. 1983.<br />

[8] C.H. Gebotys and M.I. Elmasry, “Global optimization approach <strong>for</strong> architecture synthesis,” IEEE Trans.<br />

Computer-Aided Design, Vol. CAD-12, pp.1266-1278, Sept. 1993.<br />

[9] M. Rim, A. Mujumdar, R. Jain, and R. DeLeone, “Optimal and heuristic algorithms <strong>for</strong> solving the binding<br />

problem,” IEEE Trans. on VLSI Systems, Vol. 2, No. 2, pp. 211-225, June 1994.<br />

[10] G. DeMichelli, Synthesis and Optimization of Digital Circuits, McGraw Hill, 1994.<br />

[11] Koenemann, B.J. Mucha, and G. Zwiehoff, “Built-in logic block observation techniques,” Proc. Int. Test Conf.,<br />

pp. 37-41, Oct. 1979.<br />

[12] L.-T. Wang and E.J. McCluskey, “Concurrent built-in logic block observer (CBILBO),” Proc. Int. Symp. on<br />

Circuits and Systems, pp. 1054-1057, May 1986.<br />

[13] CPLEX 6.0 Reference Manual, ILOG, 1998.<br />

[14] M. Potkonjak and J. Rabaey, “A scheduling and resource allocation algorithm <strong>for</strong> hierarchical signal flow<br />

graphs,” Proc. 36th Design Automation Conf., pp. 7-12, June 1989.


DAC'99, pages 748-753<br />

Improving The Test Quality <strong>for</strong> Scan-based BIST Using A General Test<br />

Application Scheme<br />

Huan-Chih Tsai*, Kwang-Ting Cheng*, Sudipta Bhawmik**<br />

*Department of ECE, University of Cali<strong>for</strong>nia, Santa Barbara, CA 93106<br />

**Bell Laboratories, Lucent Technologies, Princeton, NJ 08542<br />

Abstract<br />

In this paper, we propose a general test application scheme <strong>for</strong> existing scan-based BIST<br />

architectures. The objective is to further improve the test quality without inserting additional<br />

logic to the Circuit Under Test (CUT). The proposed test scheme divides the entire test process<br />

into multiple test sessions. A different number of capture cycles is applied after scanning in a test<br />

pattern in each test session to maximize the fault detection <strong>for</strong> a distinct subset of faults. We<br />

present a procedure to find the optimal number of capture cycles following each scan sequence<br />

<strong>for</strong> every fault. <strong>Based</strong> on this in<strong>for</strong>mation, the number of test sessions and the number of capture<br />

cycles after each scan sequence are determined to maximize the random testability of the CUT.<br />

We conduct experiments on ISCAS89 benchmark circuits to demonstrate the effectiveness of our<br />

approach.<br />

References<br />

[1] V.D. Agrawal, C.R. Kime, and K.K. Saluja, “A Tutorial on Built-In Self-Test, Part 2: Applications,” IEEE<br />

Design & Test of Computers, vol. 10, no. 22, pp. 69–77, June 1993.<br />

[2] B. Konemann, J. Mucha, and C. Zwiehoff, “Built-In Logic Block Observation Technique,” Digest of Papers<br />

1979 Test Conf., pp. 37–41, Oct. 1979.<br />

[3] A. Krasniewski and S. Pilarski, “Circular Self-Test Path: A Low-Cost BIST Technique <strong>for</strong> VLSI Circuits,” IEEE<br />

Trans. on CAD, vol. 8, no. 1, pp. 46–55, Jan. 1989.<br />

[4] P.H. Bardell and W.H. Mc<strong>An</strong>ney, “Selt-Testing of Multichip Logic Modules,” Digest of Papers 1982 Int’l Test<br />

Conf., pp. 200–204, Nov. 1982.<br />

[5] C.-J. Lin, Y. Zorian, and S. Bhawmik, “Integration of Partial Scan and Built-In Self-Test,” JETTA, vol. 7, no. 1–<br />

2, pp. 125–137, Aug. 1995.<br />

[6] P.H. Bardell, “Design Considerations <strong>for</strong> Parallel Pseudo-Random Pattern Generators,” JETTA, vol. 1, pp. 73–<br />

87, Feb. 1990.<br />

[7] Y. Zorian and A. Ivanov, “Programmable Space Compaction <strong>for</strong> BIST,” Proc. of Int’l Symp. on Fault-Tolerant<br />

Computing, pp. 340–349, June 1993.<br />

[8] J.A. Waicukauski and E. Lindbloom, “Fault Detection Effectiveness of Weighted Random Patterns,” Proc. of<br />

ITC, pp. 245–255, 1988.<br />

[9] I. Pomeranz and S.M. Reddy, “3-weight Pasudo-random Test Generation <strong>Based</strong> on A Deterministic Test Set <strong>for</strong><br />

Combinational and Sequential Circuits,” IEEE Trans. on CAD, vol. 24, pp. 1050–1058, July 1993.<br />

[10] M. Bershteyn, “Calculation of Multiple Sets of Weighted Random Testing,” Proc. of ITC, pp. 1031–1040, Oct.<br />

1993.<br />

[11] R. Kapur, S. Patil, T.J. Snethen, and T.W. Williams, “A Weighted Random Pattern Generation System,” IEEE<br />

Trans. on CAD, vol. 15, no. 8, pp. 1020–1025, Aug. 1996.<br />

[12] N. Tamarapalli and J. Rajski, “ConstructiveMulti-Phases Test Point Insertion <strong>for</strong> Scan-<strong>Based</strong> BIST,” Proc. of<br />

ITC, pp. 649–658, Oct. 1996.<br />

[13] H.-C. Tsai, S. Bhawmik, and K.-T. Cheng, “<strong>An</strong> Almost Fullscan BIST Solution — Higher Fault Coverage and<br />

Shorter Test Application Time,” Proc. of ITC, pp. 1065–1073, Oct. 1998.<br />

[14] F. Brglez, “On Testability of Combinational Networks,” Proc. of ISCAS, pp. 221–225, May 1984.<br />

[15] K.-T. Cheng and C.-J. Lin, “Timing-Driven Test Point Insertion <strong>for</strong> Full-Scan and Partial-Scan BIST,” Proc. of<br />

ITC, pp. 506–514, Oct. 1995.<br />

[16] H.-C. Tsai, K.-T. Cheng, C.-J. Lin, and S. Bhawmik, “A Hybrid Algorithm <strong>for</strong> Test Point Selection <strong>for</strong> Scan-<br />

<strong>Based</strong> BIST,” Proc. of DAC, pp. 478–483, June 1997.


DAC'99, pages 754-759<br />

Built-In Test Sequence Generation <strong>for</strong> Synchronous Sequential Circuits<br />

<strong>Based</strong> on Loading and Expansion of Test Subsequences ?<br />

Irith Pomeranz and Sudhakar M. Reddy<br />

Electrical and Computer Engineering Department, University of Iowa, Iowa City, IA 52242<br />

Abstract<br />

We describe an on-chip test generation scheme <strong>for</strong> synchronous sequential circuits that allows atspeed<br />

testing of such circuits. The proposed scheme is based on loading of (short) input<br />

sequences into an on-chip memory, and expansion of these sequences on-chip into test<br />

sequences. Complete coverage of modeled faults is achieved by basing the selection of the<br />

loaded sequences on a deterministic test sequence T0 , and ensuring that every fault detected by<br />

T0 is detected by the expanded version of at least one loaded sequence. Experimental results<br />

presented <strong>for</strong> benchmark circuits show that the length of the sequence that needs to be stored at<br />

any time is on the average 10% of the length of T0 , and that the total length of all the loaded<br />

sequences is on the average 46% of the length of T0.<br />

References<br />

[1] P. C. Maxwell, R. C. Aitken, K. R. Kollitz and A. C. Brown, "IDDQ and AC Scan: The War Against<br />

Unmodelled Defects", in Proc. 1996 Intl. Test Conf., Oct. 1996, pp. 250-258.<br />

[2] "Best Methods <strong>for</strong> At-Speed Testing?", Panel 3, 16th VLSI Test Symp., April 1998, p. 460.<br />

[3] L. Nachman, K. K. Saluja, S. Upadhyaya and R. Reuse, "Random Pattern Testing <strong>for</strong> Sequential Circuits<br />

Revisited", in Proc. 26th Fault-Tolerant Computing Symp., June 1996, pp. 44-52.<br />

[4] I. Pomeranz and S. M. Reddy, "Built-In Test Generation <strong>for</strong> Synchronous Sequential Circuits", in Proc. Intl.<br />

Conf. on Computer-Aided Design, Nov. 1997, pp. 421-426.<br />

[5] V. Iyengar, K. Chakrabarty, and B. T. Murray "Built-in Self Testing of Sequential Circuits Using Precomputed<br />

Test Sets," in Proc. VLSI Test Symp., April 1998, pp. 418-422.<br />

[6] I. Pomeranz and S. M. Reddy, "A Learning-<strong>Based</strong> Method to Match a Test Pattern Generator to a Circuit-Under-<br />

Test", in Proc. 1993 Intl. Test Conf., Oct. 1993, pp. 998-1007.<br />

[7] S. Gupta, J. Rajski and J. Tyszer, "Arithmetic Additive Generators of Pseudo-Exhaustive Test Patterns", IEEE<br />

Trans. on Computer-Aided Design, Aug. 1996, pp. 939-949.<br />

[8] R. Dandapani, J. H. Patel and J. A. Abraham, "Design of Test Pattern Generation <strong>for</strong> Built-In Test", in Proc. Intl.<br />

Test Conf., 1984, pp. 315-319.<br />

[9] K.-H. Tsai, S. Hellebrand, J. Rajski and M. Marek-Sadowska, "STARBIST: Scan Autocorrelated Random<br />

Pattern Generation", in Proc. 34th Design Autom. Conf., June 1997, pp. 472-477.<br />

[10] K.-H. Tsai, J. Rajski and M. Marek-Sadowska, "Scan Encoded Test pattern Generation <strong>for</strong> BIST", in Proc. Intl.<br />

Test Conf., Oct. 1997, pp. 548-556.<br />

[11] M. S. Hsiao, E. M. Rudnick, and J. H. Patel, "Sequential Circuit Test Generation Using Dynamic State<br />

Traversal", in Proc. 1996 Europ. Design & Test Conf., March 1996, pp. 22-28.<br />

[12] I. Pomeranz and S. M. Reddy, "Vector Restoration <strong>Based</strong> Static Compaction of Test Sequences <strong>for</strong><br />

Synchronous Sequential Circuits", in Proc. Intl. Conf. on Computer Design, Oct. 1997, pp. 360-365.


DAC'99, pages 760-765 <strong>An</strong>alysis of Per<strong>for</strong>mance Impact Caused by Power Supply<br />

Noise in Deep Submicron Devices<br />

Yi-Min Jiang, Kwang-Ting Cheng<br />

Department of Electrical & Computer Engineering,<br />

University of Cali<strong>for</strong>nia, Santa Barbara, CA 93106<br />

Abstract<br />

The paper addresses the problem of analyzing the per<strong>for</strong>mance degradation caused by noise in<br />

power supply lines <strong>for</strong> deep submicron CMOS devices. We first propose a statistical modeling<br />

technique <strong>for</strong> the power supply noise including inductive ?I noise and power net IR voltage<br />

drop. The model is then integrated with a statistical timing analysis framework to estimate the<br />

per<strong>for</strong>ma nce degradation caused by the power supply noise. Experimental results of our analysis<br />

framework, validated by HSPICE, <strong>for</strong> benchmark circuits implemented on both 0.25 µ, 2.5 V and<br />

0.55 µ, 3.3 V technologies are presented and discussed. The results show that on average, with<br />

the consideration of this noise effect, the circuit critical path delays increase by 33% and 18%,<br />

respectively <strong>for</strong> circuits implemented on these two technologies.<br />

References<br />

[1] R. B. Brashear, N. Menezes, C. Oh, L. T. Pillage, and M. R. Mercer, “Predicting Circuit Per<strong>for</strong>mance Using<br />

Circuit-level Statistical Timing <strong>An</strong>alysis,” Proceedings of the European Design and Test Conference, pp. 332-337,<br />

1994.<br />

[2] K.-T. Cheng, A. Krstic and H.-C. Chen, “Generation of High Quality Tests <strong>for</strong> Robustly Untestable Path Delay<br />

Faults,” IEEE Transactions on Computers, Vol. 45, No.12, pp. 1379-1392, December 1996.<br />

[3] D. E. Goldberg, R. Burch, Genetic Algorithms in Search, Optimization, and Machine Learning, Reading, MA:<br />

Addison-Wesley, 1989.<br />

[4] Y.-M. Jiang, K.-T. Cheng, and A. Krstic, “Estimation of Maximum Power and Instantaneous Current Using a<br />

Genetic Algorithm,” Proc. of IEEE Custom Integrated Circuits Conference, pp. 135-138, May 1997.<br />

[5] H.-F. Jyu, S. Malik, S. Devadas, and K.W. Keutzer, “Statistical Timing <strong>An</strong>alysis of Combinational Logic<br />

Circuits,” IEEE Transactions on VLSI Systems, Vol. 1, No 2, pp. 126-137, June 1993.<br />

[6] H.-F. Jyu and S. Malik, “Statistical Delay Modeling in Logic Design and Synthesis,” Proceedings of Design<br />

Automation Conference, pp. 126-130, June 1994.<br />

[7] SYNOPSYS, “PowerMill Reference Manual,” August 1998.<br />

[8] D. R. Tryon, F. M. Armstrong, and M. R. Reiter, “Statistical Failure <strong>An</strong>alysis of System Timing,” IBM J. Res.<br />

Develop., pp. 340-355, July 1984.<br />

[9] G. de Veciana, M. Jacome, and J.-H. Guo, “Hierarchical Algorithms <strong>for</strong> Assessing Probabilistic Constraints on<br />

System Per<strong>for</strong>mance,” Proceedings of Design Automation Conference, pp. 251-256, June 1998.


DAC'99, pages 766-771<br />

A Floorplan-based Planning Methodology <strong>for</strong> Power and Clock Distribution in ASICs<br />

Joon-Seo Yim, Seong-Ok Bae, Chong-Min Kyung*<br />

DSP Group, In<strong>for</strong>mation Technology Lab., LG Corporate Institute of Technology, 16,<br />

Woomyeon-Dong, Seocho-Gu, Seoul, 137-140, Korea<br />

*Department of Electrical Engineering, KAIST, 373-1, Kusong-Dong, Yusong-Gu,<br />

Taejon, 305-701, Korea<br />

Abstract<br />

In deep submicron technology, IR-drop and clock skew issues become more crucial to the<br />

functionality of chip. This paper presents a floorplan-based power and clock distribution<br />

methodology <strong>for</strong> ASIC design. From the floorplan and the estimated power consumption, the<br />

power network size is determined at an early design stage. Next, without detailed gate-level<br />

netlist, clock interconnect sizing, the number and strength of clock buffers are planned <strong>for</strong><br />

balanced clock distribution. This early planning methodology at the full-chip level enables us to<br />

fix the global interconnect issues be<strong>for</strong>e the detailed layout composition is started.<br />

References<br />

[1] Semiconductor Industry Association, National Technology Roadmap <strong>for</strong> Semiconductors, 1994<br />

[2] William E.Guthrie et al. “Noise and Signal Integrity in Deep Submicron Design", Proc. 34th DAC, pp.720-721,<br />

1997<br />

[3] David Blaauw, “IR-Drop <strong>An</strong>alysis Signal Net Noise <strong>An</strong>alysis", Proc. 34th DAC, Tutorial, 1997<br />

[4] Howard H. Chen and David D.Ling, “Power Supply Noise <strong>An</strong>alysis Methodology <strong>for</strong> Deep-Submicron VLSI<br />

Chip Design", Proc. 34th DAC, pp.638-643, June, 1997<br />

[5] G.Steele et al., “Full-Chip Verification Methods <strong>for</strong> DSM Power Distribution Systems", Proc. 35th DAC,<br />

pp.744-749, June, 1998<br />

[6] A.Dharchoudhury et al., “Design and <strong>An</strong>alysis of Power Distribution Networks in PowerPC Microprocessors",<br />

Proc. 35 th DAC, pp.738-743, June, 1998<br />

[7] P.E.Gronowski, “High-Per<strong>for</strong>mance Microprocessor Design", IEEE JSSC, Vol.33, no.5, pp.676-686, May 1998<br />

[8] Y.Shimazu, “High Speed Clock Design", ASP-DAC Tutorial, pp.40-53, 1997<br />

[9] H.Fair and D.Bailey, “Clocking Design and <strong>An</strong>alysis <strong>for</strong> a 600MHz Alpha Microprocessor", ISSCC Digest of<br />

Technical Papers, pp.398-399, 1998<br />

[10] M.Edahiro, “Delay Minimization <strong>for</strong> Zero-Skew Routing", ICCAD-93, pp.563-566, 1993<br />

[11] J.G.Xi et al., “Useful-Skew Clock Routing with Gate Sizing <strong>for</strong> Low Power Design", Proc. 33th DAC, pp.383-<br />

388, 1996<br />

[12] C.P.Chen et al., “Fast Per<strong>for</strong>mance-Driven Optimization <strong>for</strong> Buffered Clock Trees <strong>Based</strong> on Largrangian<br />

Relaxation", Proc. 33rd DAC, pp.405-408, 1996<br />

[13] “H2SD480i HDTV all-<strong>for</strong>mat single chip decoder <strong>for</strong> HDTV Settop box & PC Add-on card <strong>for</strong> HDTV<br />

receiving", Rev.0.3, Preliminary Specification, LG CIT, 1998<br />

[14] J.Cong et al., “<strong>An</strong>alysis and Justification of a Simple, Practical 2 1/2-D Capacitance Extraction Methodology",<br />

Proc. 34 th DAC, pp.627-632, June, 1997<br />

[15] F.Dartu and L.T.Pileggi, “Calculating Worst-Case Gate Delay Due to Dominant Capacitance Coupling", Proc.<br />

34th DAC, pp.46-51, June, 1997<br />

[16] “Raphael NES", TMA, 1997<br />

[17] “Apollo Fundamentals Training Guide", Avant!, 1997


DAC'99, pages 772-777<br />

Digital Detection of <strong>An</strong>alog Parametric Faults in SC Filters<br />

Ramesh Harjani and Bapiraju Vinnakota<br />

University of Minnesota, Minneapolis, MN 55455<br />

Abstract<br />

Many design <strong>for</strong> test techniques <strong>for</strong> analog circuits are ineffective at detecting multiple<br />

parametric faults because either their accuracy is poor, or the circuit is not tested in the<br />

configuration it is used in. We present a DFT scheme that offers the accuracy needed to test<br />

high-quality circuits. The DFT scheme is based on a circuit that digitally measures the ratio of a<br />

pair of capacitors. The circuit is used to completely characterize the transfer function of a<br />

switched capacitor circuit, which is usually determined by capacitor ratios. In our DFT scheme,<br />

capacitor ratios can be measured to within 0.01% accuracy, and filter parameters can be shown<br />

to be satisfied to within 0.1% accuracy. A filter can be shown to satisfy all its functional<br />

specifications through this characterization process. We believe the accuracy of our scheme is at<br />

least an order of magnitude greater than that offered by any other scheme reported in the<br />

literature.<br />

References<br />

[1] B. Vinnakota, Ed., <strong>An</strong>alog and Mixed-Signal Test, Prentice-Hall, 1998.<br />

[2] K. Arabi and B. Kaminska, “Oscillation-test strategy <strong>for</strong> analog and mixed-signal integrated circuits,” in 14th<br />

IEEE VLSI Test Symposium, pp. 476-482, April 1996.<br />

[3] C.-Y. Pang and K.-T. Cheng, and S. Gupta, ”A comprehensive fault macromodel <strong>for</strong> op amps,” in IEEE<br />

International Conference on Computer Aided Design, 1994.<br />

[4] M. Soma, ”A design-<strong>for</strong>-test methodology <strong>for</strong> active analog filters,” in Proc. IEEE International Test<br />

Conference, pp. 183-192, 1990.<br />

[5] C. Dufaza and H. Ihs, ”Test synthesis <strong>for</strong> DC test and maximal diagnosis of switched capacitor circuits,” in 15th<br />

IEEE VLSI Test Symposium, pp. 252-259, 1997.<br />

[6] R. Harjani and B. Vinnakota, ”<strong>An</strong>alog circuit observer blocks,” in IEEE Transactions on Circuits and Systems II,<br />

pp. 258-263, 1997.<br />

[7] S. Mir, V. Kolarik, M. Lubaszewski, C. Nielsen, and B. Courtois, ”Built-in self-test and fault diagnosis of fully<br />

differential analogue circuits,” in IEEE International Conference on Computer Aided Design, 1994.<br />

[8] J. L. Huertas, A. Rueda, and D. Vazquez, ”Improving the testability of switched capacitor filters,” <strong>An</strong>alog<br />

Integrated Circuits and Signal Processing, vol. 4, Kluwer Academic Publishers, pp. 199 -213, 1993.<br />

[9] R. Harjani, B. Vinnakota and W.-Y. Choi,”Pseudoduplication: an ACOB technique <strong>for</strong> single-ended circuits,” in<br />

Int. Conf VLSI Design, Hyderabad, India, January 1997.<br />

[10] A. Chatterjee, ”Concurrent error detection in linear analog and switched-capacitor state variable systems using<br />

continuous checkers,” in IEEE International Test Conference, pp. 582-591, 1991.<br />

[11] D. Vazquez, A. Rueda, and J. L. Huertas, ”A new strategy <strong>for</strong> testing analog filters,” in IEEE VLSI Test<br />

Symposium, pp. 36-41, 1994.<br />

[12] J. B. Shyu, G. C. Temes, and F. Krummenarcher, ”Random errors in MOS capacitors,” IEEE Journal of Solid<br />

State Circuits, pp. 1070-1075, 1982.<br />

[13] M. J. M. Pelgrom, A. C. J. Duinmaijer, and A. P. G.Welvers, ”Matching properties of MOS transistors,” IEEE<br />

Journal of Solid-State Circuits, October 1989.<br />

[14] L. Milor and A. Sangiovanni-Vincentelli, ”Optimal test set design <strong>for</strong> analog circuits,” in IEEE International<br />

Conference on Computer Aided Design, pp. 294-297, November 1990.<br />

[15] C. W. Helstrom, Programability and Stochastic Processes <strong>for</strong> Engineers, MacMillan Publishing Company,<br />

1991.<br />

[16] G. N. Stenbakken and T. M. Souders, ”Linear error modeling of analog and mixed-signal devices,” in IEEE<br />

International Test Conference, pp. 573-581, 1991.<br />

[17] Roubik Gregorian and Gabor C. Temes, ”<strong>An</strong>alog MOS Integrated Circuits <strong>for</strong> Signal Processing”, Wiley and<br />

Sons, 1986


[18] Ramesh Harjani and Tom Lee, ”FRC: A Method <strong>for</strong> Extending the Resolution of Nyquist Rate Converters<br />

using Oversampling”, IEEE Transactions on Circuits and Systems II, pp 482-494, April 1998<br />

[19] B. Veillette and G. Roberts, Spectrum based built-in self-test. , <strong>An</strong>alog and Mixed-Signal Test, Prentice-Hall,<br />

1998.


DAC'99, pages 778-783<br />

Application of High Level Interface-based Design to Telecommunications System<br />

Hardware<br />

Dyson Wilkes<br />

Ericsson Components Ltd., UK<br />

M.M. Kamal Hashmi<br />

International Computers Ltd., UK.<br />

Abstract<br />

The assumption in moving system modelling to higher levels is that this improves the design<br />

process by allowing exploration of the architecture, providing an unambiguous specification and<br />

catching system errors early. We used the interface-based high level abstractions of VHDL+ in a<br />

real design, and in parallel with the actual project to investigate the validity of these claims.<br />

References<br />

[1] A.Jebson, C.Jones and H.Vosper: CHISLE: <strong>An</strong> Engineer’s tool <strong>for</strong> hardware system design, ICL Technical<br />

Journal Vol. 8 No. 3 May 1993.<br />

[2] IEEE Standard VHDL Language Reference Manual. IEEE Std 1076-1993, The Institute of Electrical and<br />

Electronic Engineers, New York, USA, 1994.<br />

[3] <strong>An</strong>ders Olsen, Over Færgemand et al.: Systems Engineering Using SDL-92, Elsevier, 1994.<br />

[4] M.M. Kamal Hashmi and Alistair C. Bruce: Design and Use of a System-Level Specification and Verification<br />

Methodology, IEEE European Design Automation Conference 1995.<br />

[5] Dyson Wilkes 1996, SYSTEL Project Proposal, EKA/NR/W-96:136. Ericsson internal document.<br />

[6] J.A. Rowson and A. Sangiovanni-Vincentelli, Interface-based Design, Proceedings of the 34th Design<br />

Automation Conference 1997.<br />

[7] S. Hodgsom and M.M.K. Hashmi, SuperVISE – System Specification and Design methodology, ICL Systems<br />

Journal Vol. 12 Issue 2 November 1997.<br />

[8] A. Sangiovanni-Vincentelli, P.C. McGeer and A. Saldanha, Verification of Electronic Systems, Proceedings of<br />

the 33 rd Design Automation Conference 1996.<br />

[9] M.M.Kamal Hashmi, ICL: VHDL+ Language Reference Manual, Available on-line at http://www.icl.com/da.<br />

[10] F. Belina, D. Hogrefe, A Sarma; "SDL with Applications from Protocol Specification” Prentice Hall, 1991<br />

(SDL, ITU Recommendation Z.100 ).<br />

[11] Kenneth J. Turner(editor); "Using Formal Description Techniques - <strong>An</strong> Introduction to ESTELLE, LOTOS and<br />

SDL”, Wiley, 1993 (LOTOS, ISO/IEC 8807 ).<br />

[12] R.B.Cooper, Introduction to Queuing Theory, Edward Arnold Ltd., 1981<br />

[13] J.F. Hayes, Modelling and <strong>An</strong>alysis of Computer Communication Networks, Plenum Press, New York, 1986<br />

[14] Project 23909: SYSTEL - Final Report, European Commission<br />

[15] Yossi Malka, Avi Ziv, Design Reliability – Estimation thourgh Statistical <strong>An</strong>alysis of Bug Discovery Data,<br />

Proc. DAC 1998, ACM


DAC'99, pages 784-789<br />

Hardware Reuse at the Behavioral Level<br />

Patrick Schaumont, Radim Cmar, Serge Vernalde, Marc Engels, Ivo Bolsens<br />

IMEC vzw, B-3001 Leuven Belgium<br />

Abstract<br />

Standard interfaces <strong>for</strong> hardware reuse are currently defined at the structural level. In contrast to<br />

this, our contribution defines the reuse interface at the behavioral register transfer (RT) level.<br />

This promotes direct reuse of functionality and avoids the integration problems of structural<br />

reuse. We present an object oriented reuse interface in C++ and show the use of it within two<br />

real-life designs.<br />

References<br />

[1] P. Ashenden, P. Wilsey, and D. Martin. Reuse through genericity in suave. In Proc. VIUF 1997 Fall Conf., pages<br />

170-177.<br />

[2] B. Djafri and J. Benzakki. Oovhdl: Object oriented vhdl. In Proc. VIUF 1997 Fall Conf., pages 54-59.<br />

[3] E. Gamma, R. Helm, R. Johnson, and J. Vlissides. Design Patterns: Elements of Reusable Object-Oeriented<br />

Software. Addison-Wesley, Reading, MA, 1994.<br />

[4] R. K. Gupta and S. Y. Liao. Using a programming language <strong>for</strong> digital system design. IEEE Design and Test of<br />

Computers, pages 72 - 80, April-June 1997.<br />

[5] Ocapi Homepage. http://www.imec.be/ocapi.<br />

[6] G. Lehmann, B.Wunder, and K. Muller-Glaser. A vhdl reuse workbench. In Proc. EDAC 1996, pages 412-417.<br />

[7] G. Martin. Design methodologies <strong>for</strong> system level ip. In Proc. DATE 1998, pages 286-302.<br />

[8] P. Schaumont, S. Vernalde, L. Rijnders, M. Engels, and I. Bolsens. A programming environment <strong>for</strong> the design<br />

of complex high speed asics. In Proceedings 35th Design Automation Conference, pages 315 - 320, San Francisco,<br />

CA, 1998.<br />

[9] C. Schneider and W. Ecker. Stepwise refinement of behavioral vhdl specifications by separation of<br />

synchronization and functionality. In Proc. EURODAC 1996, pages 509-514.<br />

[10] G. Schumacher, W. Nebel, and C. von Ossietzky. Object-oriented modeling of parallel hardware systems. In<br />

Proc. DATE 1998, pages 234-241.<br />

[11] S. Vercauteren and Bill Lin. Hardware/software Communication and System Integration <strong>for</strong> Embedded<br />

Architectures. Design Automation of Embedded Systems, Kluwer Academic Publishers, 2:1-24, 1997.<br />

[12] C. Weiler, U. Kebschull, and W. Rosenstiel. C++ base classes <strong>for</strong> specification, simulation and partitioning of a<br />

hardware/software system. In Proc. ASP-DAC 1995, CHDL 1995, VLSI 1995, pages 777-784.


DAC'99, pages 790-793<br />

Description and Simulation of Hardware/Software Systems with Java<br />

Tommy Kuhn, Wolfgang Rosenstiel<br />

University of Tübingen, Sand 13, Germany<br />

Udo Kebschull<br />

University of Leipzig, Augustusplatz, Germany<br />

ABSTRACT<br />

In this paper a newly developed object model is presented which allows the description of<br />

hardware/ software systems in all its parts. <strong>An</strong> adaption of the component model JavaBeans<br />

allows to combine different kinds of reuse in one unitary language. A model based design flow<br />

and some tools are presented and applied to a JPEG example.<br />

Keywords: Object oriented hardware modeling, simulation, codesign.<br />

REFERENCES<br />

[1] Helaihel, R., and Olukotun, K. Java as a Specification language <strong>for</strong> Hardware-Software Systems. In Proc.<br />

ICCAD’97<br />

[2] Kuhn, T., and Rosenstiel, W. Java <strong>Based</strong> Modeling and Simulation of Digital Systems on Register Transfer<br />

Level. In Int. Workshop on System Design Automation, Dresden, 1998.<br />

[3] Liao, S., et. al. <strong>An</strong> <strong>Efficient</strong> Implementation of Reactivity <strong>for</strong> Modeling Hardware in Scenic Design<br />

Environment. In Proc. of the 34th DAC, 1997.<br />

[4] Nebel, W., and Schumacher, G. Object-Oriented Hardware Modelling - Where to apply and what are the<br />

objects? In Proc. of the Euro-Dac ‘96 with Euro-VHDL.<br />

[5] Rational Software Corporation. URL: http://www.rational.com/uml<br />

[6] Swamy, S., and Molin, A., and Covnot, B. OO-VHDL: Object-Oriented Extensions to VHDL. IEEE Computer,<br />

October, 1995<br />

[7] Young, J.S., et al. Design and Specification of Embedded Systems in Java Using Successive, Formal<br />

Refinement. In Proc. of the DAC’98, 70-75


DAC'99, pages 794-797<br />

Java Driven Codesign and Prototyping of Networked Embedded Systems<br />

Josef Fleischmann*, Klaus Buchenrieder**, Rainer Kress**<br />

*Technical University of Munich, Inst. of Electronic Design Automation,<br />

D-80290 Munich, Germany<br />

**Siemens AG, Corporate Technology, D-81730 Munich, Germany<br />

Abstract<br />

While the number of embedded systems in consumer electronics is growing dramatically, several<br />

trends can be observed which challenge traditional codesign practice: <strong>An</strong> increasing share of<br />

functionality of such systems is implemented in software; flexibility or reconfigurability is added<br />

to the list of non-functional requirements. Moreover, networked embedded systems are equipped<br />

with communication capabilities and can be controlled over networks. In this paper, we present a<br />

suitable methodology and a set of tools targeting these novel requirements. JACOP is a codesign<br />

environment based on Java and supports specification, co-synthesis and prototyping of<br />

networked embedded systems.<br />

References<br />

[1] P. Bellows, B. Hutchings: JHDL - <strong>An</strong> HDL <strong>for</strong> Reconfigurable Systems. In IEEE Symposium on Field-<br />

Programmable Custom Computing Machines, 1998.<br />

[2] Peter Clarke: Tricore to get flash FPGA integration. In EE Times, No. 1000; CMP Media, 1998.<br />

[3] J. Fleischmann, et. al.: A Hardware/Software Prototyping Environment <strong>for</strong> Dynamically Reconfigurable<br />

Embedded Systems. In Int. Workshop on HW/SW Codesign (CODES), 1998.<br />

[4] R. Helaihel, K. Olukotun: Java as a Specification Language <strong>for</strong> Hardware-Software Systems. In Int. Conf. on<br />

Computer-Aided Design (ICCAD), 1997.<br />

[5] JavaBeans API specification, Sun Microsystems, http://java.sun.com/beans, 1998.<br />

[6] A. Kalavade and P. Moghe: A Tool <strong>for</strong> Per<strong>for</strong>mance Estimation of Networked Embedded End-Systems. In<br />

Design Automation Conference (DAC), 1998.<br />

[7] T. Kuhn, W. Rosenstiel: Java <strong>Based</strong> Modeling and Simulation of Digital Systems on Register Transfer Level. In<br />

Workshop on System Design Automation, 1998.<br />

[8] D. E. Lechner and S. A. Guccione: The Java Environment <strong>for</strong> Reconfigurable Computing. In Int. Workshop on<br />

Field-Programmable Logic and Applications (FPL), 1997.<br />

[9] National Semiconductor: Napa1000 Adaptive Processor, http://www.national.com/appinfo/milaero/napa1000,<br />

1998.<br />

[10] S. Nisbet, S. A. Guccione: The XC6200DS Development System. In Int. Workshop on Field-Programmable<br />

Logic and Applications (FPL), 1997.<br />

[11] R. Passerone, et al.: Modeling Reactive Systems in Java. In Int. High Level Design Validation and Test<br />

Workshop, Nov. 1997.<br />

[12] M. Vasilko: Dynamically Reconfigurable Hardware WWW Library, Bournemouth University,<br />

http://dec.bournemouth.ac.uk/drhw_lib/<br />

[13] J. S. Young, et. al.: Design and Specification of Embedded Systems in Java Using Successive, Formal<br />

Refinement. In Design Automation Conference (DAC), 1998.


DAC'99, page 798<br />

Panel: Subwavelength Lithography: How Will it Affect Your Design Flow?<br />

Chair: <strong>An</strong>drew B. Kahng – UCLA Computer Science Department, Los <strong>An</strong>geles, CA<br />

Panel Members: Y. C. Pati, Warren Grobman, Robert Pack, Lance Glasser,<br />

Kenneth V. Rousseau<br />

In the sub 0.25 micron regime, IC feature sizes become smaller than the wavelength of light used<br />

<strong>for</strong> silicon exposure. Resultant light distortions create patterns on silicon that are substantially<br />

different from a GDSII layout. Although light distortions have traditionally not affected the<br />

design flow, the techniques used to control these distortions have a potential impact on the<br />

design flow that is as <strong>for</strong>midable as the recently addressed Deep Sub-Micron transition. This<br />

session will discuss the design implications arising from techniques used to control subwavelength<br />

lithography. It will begin with an embedded tutorial on subwavelength mask design<br />

techniques and their resultant effect on the IC design process. The panel will then debate the<br />

extent of the resulting impact on IC per<strong>for</strong>mance, design flow, and CAD tools.


DAC'99, pages 799-804<br />

Subwavelength Lithography and its Potential Impact on Design and EDA<br />

<strong>An</strong>drew B. Kahng and Y. C. Pati†<br />

UCLA Department of Computer Science, Los <strong>An</strong>geles, CA 90095-1596 USA<br />

†Numerical Technologies, Inc., Santa Clara, CA 95051 USA<br />

Abstract<br />

This tutorial paper surveys the potential implications of subwave-length optical lithography <strong>for</strong><br />

new tools and flows in the interface between layout design and manufacturability. We review<br />

control of optical process effects by optical proximity correction (OPC) and phase-shifting masks<br />

(PSM), then focus on the implications of OPC and PSM <strong>for</strong> layout synthesis and verification<br />

methodologies. Our discussion addresses the necessary changes in the design-to-manufacturing<br />

flow, including infrastructure development in the mask and process communities, evolution of<br />

design methodology, and opportunities <strong>for</strong> research and development in the physical lay-out and<br />

verification areas of EDA.<br />

References<br />

[1] A. CHATTERJEE, I. ALI, K. JOYNER, D.MERCER, ET AL., Integration of Unit Processes in a Shallow<br />

Trench Isolation Module <strong>for</strong> a 0.25 µm Complementary Metal-Oxide Semiconductor Technology, Journal of<br />

Vacuum Science and Technology B, 15 (1997), pp. 1936–1942.<br />

[2] J. F. CHEN, T. LAIDIG, K. E. WAMPLER, AND R. CALDWELL, Practical Method <strong>for</strong> Full-Chip Optical<br />

Proximity Correction, in SPIE, vol. 3051, 1997, pp. 790–803.<br />

[3] V. K. R. CHILUVURI AND I. KOREN, Layout-Synthesis Techniques <strong>for</strong> Yield Enhancement, IEEE Trans.<br />

Semiconductor Manufacturing, 8 (1995), pp. 178–187.<br />

[4] G. GALAN, F. LALANNE, M. TISSIER, AND M. BELLEVILLE, Alternating phase shift generation <strong>for</strong><br />

complex circuit designs, in SPIE 16th <strong>An</strong>nual BACUS Symposium on Photomask Technology, vol. SPIE 2884,<br />

1996, pp. 508–519.<br />

[5] P. GILBERT ET AL., A High Per<strong>for</strong>mance 1.5V, 0.10um Gate Length CMOS Technology with Scaled Copper<br />

Metalization, IEDM 1998, pp. 1013-1016.<br />

[6] W. B. GLENDINNING AND J. N. HELBERT, Handbook of VLSI Microlithography: Principles, Technology,<br />

and Applications, Noyes Publications, 1991.<br />

[7] F. O. HADLOCK, Finding a Maximum Cut of a Planar Graph in Polynomial Time, SIAM J. Computing, 4<br />

(1975), pp. 221–225.<br />

[8] A. B. KAHNG, S.MUDDU, E. SARTO, AND R. SHARMA, Interconnect Tuning Strategies <strong>for</strong> High-<br />

Per<strong>for</strong>mance ICs, in Proc. Conference on Design Automation and Test in Europe, February 1998.<br />

[9] A. B. KAHNG, G. ROBINS, A. SINGH, H.WANG, AND A. ZELIKOVSKY, Filling and Slotting : <strong>An</strong>alysis<br />

and Algorithms, in Proc. International Symposium on Physical Design, 1998, pp. 95–102.<br />

[10] A. B. KAHNG, H.WANG, AND A. ZELIKOVSKY, Automated Layout and Phase Assignment Techniques <strong>for</strong><br />

Dark Field Alternating PSM, in Proc. SPIE 18th <strong>An</strong>nual BACUS Symposium on Photomask Technology, 1998.<br />

[11] M. D. LEVENSON, Wavefront engineering from 500 nm to 100 nm CD, in Proceedings of the SPIE - The<br />

International Society <strong>for</strong> Optical Engineering, vol. 3049, 1997, pp. 2–13.<br />

[12] M. D. LEVENSON, N. S. VISWANATHAN, AND R. A. SIMPSON, Improving Resolution in<br />

Photolithography with a Phase-Shifting Mask, IEEE Trans. on Electron Devices, ED-29 (1982), pp. 1828–1836.<br />

[13] L. LIEBMANN, A. MOLLESS, R. FERGUSON, A. WONG, AND S. MANSFIELD, Understanding Across<br />

Chip Line Width Variation: The First Step Toward Optical Proximity Correction, in SPIE, vol. 3051, 1997, pp. 124–<br />

136.<br />

[14] L. W. LIEBMANN, T.H.NEWMAN, R. A. FERGUSON, R. M. MARTINO, A. F. MOLLESS, M. O.<br />

NEISSER, AND J. T. WEED, A Comprehensive Evaluation of Major Phase Shift Mask Technologies <strong>for</strong> Isolated<br />

Gate Structures in Logic Designs, in SPIE, vol. 2197, 1994, pp. 612–623.<br />

[15] H.-Y. LIU, L. KARKLIN, Y.-T. WANG, AND Y. C. PATI, The Application of Alternating Phase-Shifting<br />

Masks to 140 nm Gate Patterning (II): Mask Design and Manufacturing Tolerances, in SPIE<br />

OpticalMicrolithography XI, vol. 3334, Feb. 1998, pp. 1-14.


[16] H.-Y. LIU, L. KARKLIN, Y.-T.WANG, AND Y. C. PATI, The Application of Alternating Phase-Shifting<br />

Masks to 140 nm Gate Patterning: Line Width Control Improvements and Design Optimization, in SPIE 17th<br />

<strong>An</strong>nual BACUS Symposium on Photomask Technology, vol. SPIE 3236, 1998, pp. 328–337.<br />

[17] Y. LIU, A. ZAKHOR, AND M. A. ZUNIGA, Computer-Aided Phase Shift Mask Design with Reduced<br />

Complexity, IEEE Transactions on Semiconductor Manufacturing, 9 (1996), pp. 170–181.<br />

[18] W. MALY, Computer-aided design <strong>for</strong> VLSI circuit manufacturability, Proceedings of IEEE, 78 (1990), pp.<br />

356–392.<br />

[19] W. MALY, Moore’s Law and Physical Design of ICs, in Proc. International Symposium on Physical Design,<br />

Monterey, Cali<strong>for</strong>nia, April 1998. special address.<br />

[20] A. MISAKA, A. GODA, K. MATSUOKA, H. UMIMOTO, AND S. ODANAKA, Optical Proximity<br />

Correction in DRAM Cell Using a New Statistical Methodology, in SPIE, vol. 3051, 1997, pp. 763–773.<br />

[21] A. MONIWA, T. TERASAWA, N. HASEGAWA, AND S. OKAZAKI, Algorithm <strong>for</strong> Phase-Shift Mask<br />

Design with Priority on Shifter Placement, Jpn. J. Appl. Phys., 32 (1993), pp. 5874–5879.<br />

[22] A. MONIWA, T. TERASAWA, K. NAKAJO, J. SAKEMI, AND S. OKAZAKI, Heuristic Method <strong>for</strong> Phase-<br />

Conflict Minimization in Automatic Phase-Shift Mask Design, Jpn. J. Appl. Phys., 34 (1995), pp. 6584–6589.<br />

[23] J. NISTLER, G. HUGHES, A. MURAY, AND J. WILEY, Issues Associated with the Commercialization of<br />

Phase ShiftMasks, in SPIE 11th <strong>An</strong>nual BACUS Symposium on Photomask Technology, vol. SPIE 1604, 1991, pp.<br />

236–264.<br />

[24] K. OOI, S. HARA, AND K. KOYAMA, Computer Aided Design Software <strong>for</strong> Designing Phase-Shifting<br />

Masks, Jpn. J. Appl. Phys., 32 (1993), pp. 5887–5891.<br />

[25] K. OOI, K. KOYAMA, AND M. KIRYU, Method of Designing Phase-Shifting Masks Utilizing a Compactor,<br />

Jpn. J. Appl. Phys., 33 (1993), pp. 6774–6778.<br />

[26] G. I. ORLOVA AND Y. G. DORFMAN, Finding the Maximum Cut in a Graph, Engr. Cybernetics, 10 (1972),<br />

pp. 502–506.<br />

[27] P. RAI-CHOUDHURY, Handbook of Microlithography, Micromachining, and Microfabrication, vol. 1:<br />

Microlithography, SPIE Optical Engineering Press, Bellingham, 1997.<br />

[28] F. M. SCHELLENBERG, H. ZHANG, AND J. MORROW, Evaluation of OPC Efficacy, in Proc. Intl. Symp.<br />

on Aerospace/Defense Sensing and Dual-Use Photonics, vol. 2726, 1996, pp. 680–688.<br />

[29] SEMATECH, Workshop Notes, in 3rd SEMATECH Litho-Design Workshop, Skamania Lodge, February 1996.<br />

[30] SIA, The National Technology Roadmap <strong>for</strong> Semiconductors, Semiconductor Industry Association, December<br />

1997.<br />

[31] B. E. STINE, D. S. BONING, J. E. CHUNG, AND L. CAMILLETTI, The Physical and Electrical Effects of<br />

Metal-fill Patterning Practices <strong>for</strong> Oxide Chemical-Mechanical Polishing Processes, IEEE Transactions on Electron<br />

Devices, 45 (1998), pp. 665–679.<br />

[32] B. E. STINE, V. MEHROTRA, D. S. BONING, J. E. CHUNG, AND D. J. CIPLICKAS, A Simulation<br />

Methodology <strong>for</strong> Assessing the Impact of Spatial/Pattern Dependent Interconnect Parameter Variation on Circuit<br />

Per<strong>for</strong>mance, in IEDM Technical Digest, 1997, pp. 133–136.<br />

[33] D. SYLVESTER AND K. KEUTZER, Getting to the Bottom of Deep-Submicron, in Proc. IEEE Intl. Conf.<br />

Computer-Aided Design (to appear), November 1998.<br />

[34] T. WAAS, H. HARTMANN, AND W. HENKE, Automatic Generation of Phase Shift Mask Layouts,<br />

Microelectronic Engineering, 23 (1994), pp. 139–142.


DAC'99, pages 805-810 Synthesis of Embedded Software Using Free-Choice Petri Nets<br />

Marco Sgroi*, Luciano Lavagno**, YosinoriWatanabe** and Alberto Sangiovanni-Vincentelli*<br />

* University of Cali<strong>for</strong>nia, Berkeley, CA<br />

** Cadence Design Systems<br />

Abstract<br />

Software synthesis from a concurrent functional specification is a key problem in the design of<br />

embedded systems. A concurrent specification is well-suited <strong>for</strong> medium-grained partitioning.<br />

However, in order to be implemented in software, concurrent tasks need to be scheduled on a<br />

shared resource (the processor). The choice of the scheduling policy mainly depends on the<br />

specification of the system. For pure dataflow specifications, it is possible to apply a fully static<br />

scheduling technique, while <strong>for</strong> algorithms containing data-dependent control structures, like the<br />

if-then-else or while-do constructs, the dynamic behaviour of the system cannot be completely<br />

predicted at compile time and some scheduling decisions are to be made at run-time. For such<br />

applications we propose a Quasi-static scheduling (QSS) algorithm that generates a schedule in<br />

which run-time decisions are made only <strong>for</strong> data-dependent control structures. We use Free<br />

Choice Petri Nets (FCPNs), as underlying model, and define quasi-static schedulability <strong>for</strong><br />

FCPNs. The proposed algorithmis complete, in that it can solve QSS <strong>for</strong> any FCPN that is quasistatically<br />

schedulable. Finally, we show how to synthesize from a quasi-static schedule a C code<br />

impleme ntation that consists of a set of concurrent tasks.<br />

References<br />

[1] E.A.Lee and D.G.Messerschmitt. Static scheduling of synchronous dataflowprograms <strong>for</strong> digital signal<br />

processing. IEEE Transactions on computers, January 1987.<br />

[2] E.Filippi et al. Intellectual property re-use in embedded system co-design: an industrial case study. In<br />

InternationalSymposium System Synthesis, December 1998.<br />

[3] F. Thoen et al. Real-time multi-tasking in software synthesis <strong>for</strong> in<strong>for</strong>mation processing systems. In Proceedings<br />

of the International System Synthesis Symposium, 1995.<br />

[4] E.Teruel. Structure theory of Weighted Place/Transition Net systems. The Equal Conflict hiatus. Ph.D<br />

dissertation. Universidad de Zaragoza, 1994.<br />

[5] J.Buck. Scheduling dynamic dataflow graphs with bounded memory using the token flow model. Ph.D<br />

dissertation. UC Berkeley, 1993.<br />

[6] B. Lin. Software synthesis of process-based concurrent programs. In Proceedings of the Design Automation<br />

Conference, June 1998.<br />

[7] M.Hack. <strong>An</strong>alysis of Production Schemata by PetriNets.Master thesis. MIT, 1972.<br />

[8] M. Sgroi. Quasi-static scheduling of embedded software using free-choice petri nets. Technical Report Memo<br />

No. UCB/ERL M98/, M.S. dissertation. UC Berkeley,May 1998.<br />

[9] T.Murata. Petri nets: properties, analysis and applications. In Proceedings of the IEEE, April 1989.


DAC'99, pages 811-816<br />

Exact Memory Size Estimation <strong>for</strong> Array Computations without Loop Unrolling<br />

Ying Zhao and Sharad Malik<br />

Department of Electrical Engineering, Princeton University, Princeton, New Jersey<br />

Abstract<br />

This paper presents a new algorithm <strong>for</strong> exact estimation of the minimum memory size required<br />

by programs dealing with array computations. Memory size is an important factor affecting area<br />

and power cost of memory units. For programs dealing mostly with array computations, memory<br />

cost is a dominant factor in the overall system cost. Thus, exact estimation of memory size<br />

required by a program is necessary to provide quantitative in<strong>for</strong>mation <strong>for</strong> making high-level<br />

design decisions.<br />

<strong>Based</strong> on <strong>for</strong>mulated live variables analysis, our algorithm trans<strong>for</strong>ms the minimum memory size<br />

estimation into an equivalent problem: integer point counting <strong>for</strong> intersection/union of mappings<br />

of parameterized polytopes. Then, a heuristics was proposed to solve the counting problem.<br />

Experimental results show that the algorithm achieves the exactness traditionally associated with<br />

totally-unrolling loops while exploiting the reduced computation complexity by preserving<br />

original loop structure.<br />

References<br />

[1] A.Sudarsanam. Code optimization libraries <strong>for</strong> retargetable compilation <strong>for</strong> embedded digital signal processors.<br />

Phd thesis, Princeton University, May 1998.<br />

[2] P. Clauss. Counting solutions to linear and nonlinear constraints through ehrhart polynomials: Applications to<br />

analyze and trans<strong>for</strong>m scientific programs. 10th ACM Int. Conf. on Supercomputing, May 1996.<br />

[3] P. Clauss. Handling memory cache policy with integer points countings. Euro-Par'97, pages 285-293, 1997.<br />

[4] H. M. E.De Greef, F.Catthoor. Array placement <strong>for</strong> storage size reduction in embedded multimedia systems.<br />

11th International Conference on Application-specific Systems, Architectures and processors, July 1997.<br />

[5] H. D. M. F. Balasa, F. Catthoor. Background memory area estimation <strong>for</strong> multi-dimensional signal processing<br />

systems. IEEE Trans. on Comp-aided Design, CAD-14, 1995.<br />

[6] A. F.J.Kurdahi. Real: a program <strong>for</strong> register allocation. Proc. 24th DAC, pages 210-215, June 1987.<br />

[7] C. Lengauer. Loop parallelization in the polytope model. in e.best. CONCUR'93, Lecture Notes in Computer<br />

Science 715, pages 398-416, 1993.<br />

[8] W. Pugh. Counting solutions to presburger <strong>for</strong>mulas: How and why. Proc. of the 1994 ACM SIGPLAN<br />

Conference on Programming Language Design and Implementation, 1994.<br />

[9] A. Sudarsanam and S. Malik. Simultaneous reference allocation in code generation <strong>for</strong> dual data memory bank<br />

asips. To be published in ACM Transactions on Design Automation <strong>for</strong> Electronic Systems, 1999.


DAC'99, pages 817-822<br />

Constraint Driven Code Selection <strong>for</strong> Fixed-Point DSPs<br />

Steven Bash<strong>for</strong>d, Rainer Leupers<br />

Dept. of Computer Science 12, University of Dortmund, Germany<br />

Abstract<br />

Fixed-point DSPs are a class of embedded processors with highly irregular architectures. This<br />

irregularity makes it difficult to generate high-quality machine code from programming<br />

languages such as C. In this paper we present a novel constraint driven approach to code<br />

selection <strong>for</strong> irregular processor architectures, which provides a twofold improvement of earlier<br />

work. First, it handles complete data flow graphs instead of trees and thereby generates better<br />

code in presence of common subexpressions. Second, the presented technique is not restricted to<br />

computation of a single solution, but it generates alternative solutions. This feature enables the<br />

tight coupling of different code generation phases, resulting in better exploitation of instructionlevel<br />

parallelism. Experimental results indicate that our technique is capable of generating<br />

machine code that competes well with handwritten assembly code.<br />

References<br />

[1] G. Araujo, S. Malik, and M. Lee. Using Register-Transfer Paths in Code Generation <strong>for</strong> Heterogeneous<br />

Memory-Register Architectures. In 33rd Design Automation Conference (DAC). 1996.<br />

[2] A. Fauth, G. Hommel, A. Knoll, and C. Mueller. Global code selection <strong>for</strong> directed acyclic graphs. In Peter A.<br />

Fritzson, editor, Compiler Construction, volume 786 of LNCS, pages 128–141. Springer–Verlag,<br />

Edinburgh, U.K., April 1994. 5’th International Conference, CC’94.<br />

[3] C. Fraser, R. Henry, and T. A. Proebsting. Engineering a Simple, <strong>Efficient</strong> Code-Generator Generator. ACM<br />

Letters on Programming Languages and Systems, 1(3):213–226, September 1992.<br />

[4] C.H. Gebotys. <strong>An</strong> <strong>Efficient</strong> Model <strong>for</strong> DSP Code Generation: Per<strong>for</strong>mance, Code Size, Estimated Energy. In<br />

10th International Symposium on System Synthesis (ISSS). 1997.<br />

[5] S. Hanono, G. Hadjiyiannis, and S. Devadas. Aviv: A Retargetable Code Generator Using ISDL. In Proc. 34th<br />

DAC’97, 1997.<br />

[6] D. Lanner, M. Cornero, G. Goossens, and H. De Man. Data routing: a paradigm <strong>for</strong> efficient data–path synthesis<br />

and code generation. In Proc. 7th IEEE/ACM Int. Symp. on High–Level Synthesis, May 1994.<br />

[7] R. Leupers. Retargetable Code Generation <strong>for</strong> Digital Signal Processors. Kluwer Academic Publishers, 1997.<br />

[8] R. Leupers and P. Marwedel. Retargetable code generation based on structural processor descriptions. In Design<br />

Automation <strong>for</strong> Embedded Systems, vol. 3, no. 1, 1998.<br />

[9] S. Liao, S. Devadas, K. Kreuzer, and S. Tjiang. Instruction Selection Using Binate Covering <strong>for</strong> Code Size<br />

Optimization. International Conference on CAD (ICCAD), 1995.<br />

[10] K. Marriott and P.J. Stuckey. Programming with Constraints: <strong>An</strong> Introduction. The MIT Press, 1998.<br />

[11] P. Marwedel and G. Goossens, editors. Code Generation <strong>for</strong> Embedded Processors. Kluwer Academic<br />

Publishers, 1995.<br />

[12] P. Paulin, C. Liem, T. May, and S. Sutarwala. Flexware: A Flexible Firmware Developement Envirenment <strong>for</strong><br />

Embedded Systems. In Marwedel and Goossens [11], chapter 4, pages 65–84.<br />

[13] K. Rimey and P.N. Hilfinger. Lazy Data Routing and Greedy Scheduling. In MICRO, volume 21, pages 111–<br />

115. 1988.<br />

[14] M. Wallace, S. Novello, and J. Schimpf. ECLiPSe: A Plat<strong>for</strong>m <strong>for</strong> Constraint Logic Programming, 1997.<br />

Publications at http://www.icparc.ic.ac.uk/.<br />

[15] T.Wilson, G. Grewal, S. Henshall, and D. Banerji. <strong>An</strong> ILP-<strong>Based</strong> <strong>Approach</strong> to Code Generation. In Marwedel<br />

andGoossens [11], chapter 6, pages 103–118.<br />

[16] V. Zivojnovic, J.M. Velarde, C. Schlaeger, and H. Meyr. DSPStone – A DSP oriented<br />

BenchmarkingMethodology. In ICSPAT. 1994.


DAC'99, pages 823-826<br />

Rapid Development of Optimized DSP Code From a High<br />

Level Description Through Software Estimations<br />

Alain Pegatoquet, Emmanuel Gresset<br />

VLSI Technology, 06560 Valbonne FRANCE<br />

Michel Auguin, Luc Bianco<br />

Université de Nice, Laboratoire I3S, 06041 Nice, FRANCE<br />

ABSTRACT<br />

Generation of optimized DSP code from a high level language such as C is very time consuming<br />

since current DSP compilers are generally unable to produce efficient code. We present a<br />

software estimation methodology from a C description that helps <strong>for</strong> a rapid development of DSP<br />

applications. Our tool VESTIM provides both a per<strong>for</strong>mance evaluation <strong>for</strong> assembly code<br />

generated by the compiler and an estimation of an optimized assembly code. Blocks of<br />

applications G.721 and G.728 have been evaluated using VESTIM. Results show that<br />

estimations are very accurate and allow software development time to be significantly reduced.<br />

Keywords: DSP, Code generation, Per<strong>for</strong>mance Estimation.<br />

REFERENCES<br />

[1] Edward A. LEE. Programmable DSP Architectures: Part 1. IEEE ASSP Magazine, October 1988.<br />

[2] Vojin Zivovjnovic et al. DSP Processor/Compiler Co-Design: A Quantitative <strong>Approach</strong>. Proc. ICSPAT, pp. 679-<br />

683, Boston, MA, USA, October 7-10, 1996.<br />

[3] Guido ARAUJO and Sharad MALIK, Code Generation <strong>for</strong> Fixed-Point DSPs. ACM Transactions on Design<br />

Automation of Electronics Systems, Vol. 3, No 3, July 1998.<br />

[4] C. Liem, P. Paulin and A. Jerraya, Address Calculation <strong>for</strong> Retargetable Compilation and Exploration of<br />

Instruction-Set Architectures, 33rd DAC, Las Vegas, Nevada, June 3-7, 1996.<br />

[5] VVF3500 C Compiler. Revision 1.0. Getting Started With the OakDSPCore C Compiler. VLSI Technology,<br />

1996.<br />

[6] S. Malik et al. Static Timing <strong>An</strong>alysis Of Embedded Software, 34th DAC, pp. 147-152, <strong>An</strong>aheim, CA, 1997.<br />

[7] Marc SOLER et al. <strong>An</strong> Embedded DSP Plat<strong>for</strong>m <strong>for</strong> multistandard ITU G.728, G.729 and G.723.1 audio<br />

compression. Proc. ICSPAT, Boston, MA, October 7-10, 1996.<br />

[8] Jie Gong et al. Software Estimation from Executable Specifications, Technical Report ICS-93-5, March 8, 1993.<br />

[9] Rizos Sakellariou et al. <strong>Efficient</strong> Implementation of the ROW-Column 8x8 IDCT on VLIW Architectures,<br />

EUSIPCO, Vol. 2, pp. 869-872, Greece, Sept. 7-11, 1998.<br />

[10] Recommendation G.721, 32 kbit/s Adaptative Differential Pulse Code Modulation, ITU (1984).<br />

[11] Recommendation G.728, Coding of Speech at 16 kbit/s using Low-Delay Code Excited Linear Prediction , ITU<br />

(1994).<br />

[12] C. Liem et al., Industrial Experience using Rule-Driven Retargetable Code Generation <strong>for</strong> Multimedia<br />

Applications, 8th Symposium on System Level Synthesis, September 1995.<br />

[13] G. Goossens et al, Embedded Software in Real-Time Signal Processing Systems: Design Technologies,<br />

Proceedings of the IEEE, Vol. 85, No. 3, March 1997.<br />

[14] J-H Yang et al., MetaCore: <strong>An</strong> Application Specific DSP Development System, 35th DAC, pp. 800-803, CA,<br />

1998.


DAC'99, pages 827-830<br />

SOFTWARE ENVIRONMENT FOR A MULTIPROCESSOR DSP<br />

Asawaree Kalavade<br />

Networked Multimedia Research Dept., Bell Labs, Lucent Technologies, Murray Hill, NJ 07974<br />

Joe Othmer, Bryan Ackland, K. J. Singh<br />

DSP and VLSI Systems Research, Dept., Bell Labs, Lucent Technologies, Holmdel, NJ 07733<br />

ABSTRACT<br />

In this paper, we describe the software environment <strong>for</strong> Daytona, a single-chip, bus-based,<br />

shared-memory, multiprocessor DSP. The software environment is designed around a layered<br />

architecture. Tools at the lower layer are designed to deliver maximum per<strong>for</strong>mance and include<br />

a compiler, debugger, simulator, and profiler. Tools at the higher layer focus on improving the<br />

programmability of the system and include a run-time kernel and parallelizing tools. The runtime<br />

kernel includes a low-over-head, preemptive, dynamic scheduler with multiprocessor<br />

support that guarantees real-time per<strong>for</strong>mance to admitted tasks.<br />

Keywords: Multiprocessor DSP, media processor, software environment, run-time kernel,<br />

RTOS<br />

REFERENCES<br />

[1] B. Ackland et al. “A Single-Chip 1.6 Billion 16-b MAC/s Multiprocessor DSP”, Proc. CICC’99, May 1999.<br />

[2] C.L. Liu, J. W. Layland, “Scheduling Algorithms <strong>for</strong> Multiprogramming in a Hard-Real-Time Environment”,<br />

Journal of the ACM, vol. 20, no. 1, Jan, 1993, pp. 46-61.<br />

[3] DSP FAQ: What DSP operating systems are available? http://www.bdti.com/faq/7.htm<br />

[4] Spectron Mircrosystems. http://www.spectron.com<br />

[5] Eonic Systems. http://www.eonic.com


DAC'99, pages 831-836<br />

Robust FPGA Intellectual Property Protection Through Multiple Small Watermarks<br />

John Lach, William H. Mangione-Smith<br />

UCLA EE Department, Los <strong>An</strong>geles, CA 90095<br />

Miodrag Potkonjak<br />

UCLA CS Department, Los <strong>An</strong>geles, CA 90095<br />

ABSTRACT<br />

A number of researchers have proposed using digital marks to provide ownership identification<br />

<strong>for</strong> intellectual property. Many of these techniques share three specific weaknesses: complexity<br />

of copy detection, vulnerability to mark removal after revelation <strong>for</strong> ownership verification, and<br />

mark integrity issues due to partial mark removal. This paper presents a method <strong>for</strong><br />

watermarking field programmable gate array (FPGA) intellectual property (IP) that achieves<br />

robustness by responding to these three weaknesses. The key technique involves using secure<br />

hash functions to generate and embed multiple small marks that are more detectable, verifiable,<br />

and secure than existing IP protection techniques.<br />

Keywords: Field programmable gate array (FPGA), intellectual property protection,<br />

watermarking<br />

REFERENCES<br />

[1] W. Bender et al., "Techniques <strong>for</strong> Data Hiding,” IBM Systems Journal, vol. 35, no 3-4, 1996, 313-336.<br />

[2] L. Boney et al., "Digital Watermarks <strong>for</strong> Audio Signals,” International Conference on Multimedia Computing<br />

and Systems, 1996.<br />

[3] E. Charbon, "Hierarchical Watermarking in IC Design,” Custom Integrated Circuits Conference, 1998.<br />

[4] I.J. Cox et al., "Secure Spread Spectrum Watermarking <strong>for</strong> Images, Audio, and Video,” International Conference<br />

on Image Processing, 1996.<br />

[5] S. Craver et al., "Can Invisible Watermarks Resolve Rightful Ownership?" Storage and Retrieval <strong>for</strong> Image and<br />

Video Databases, Proceedings of the SPIE, vol. 3022, 1997, 310-321.<br />

[6] W. Diffie and M. Hellman, "New Directions on Cryptography," IEEE Transactions on In<strong>for</strong>mation Theory, vol.<br />

IT-22, no. 6, Nov. 1976, 644-654.<br />

[7] S. Furber, ARM System Architecture, Menlo Park: Addison-Wesley, 1996, 329.<br />

[8] R. Goering, “IP98 Forum Exposes Struggling Industry – Undefined Business Models, Unstable Core Prices<br />

Cited,” EE Times, Issue 1000, March 30, 1998.<br />

[9] F. Hartung and B. Girod, "Copyright Protection in Video Delivery Networks by Watermarking of Pre-<br />

Compressed Video," ECMAST’97, Springer Lecture Notes in Computer Science, vol. 1242, 1997, 423-436.<br />

[10] I. Hong and M. Potkonjak, "Behavioral Synthesis Techniques <strong>for</strong> Intellectual Property Protection,” Design<br />

Automation Conference, 1999.<br />

[11] B. Hutchings et al., BYUcore: A MIPS R2000 Processor <strong>for</strong> FPGAs, 1997.<br />

[12] A.B. Kahng et al., "Robust IP Watermarking Methodologies <strong>for</strong> Physical Design," Design<br />

Automation Conference, 1998, 782-787.<br />

[13] A.B. Kahng et al., “Watermarking Techniques <strong>for</strong> Intellectual Property Protection,” Design Automation<br />

Conference, 1998, 776-781.<br />

[14] J. Lach, W. H. Mangione-Smith, and M. Potkonjak, “Fingerprinting Digital Circuits on Programmable<br />

Hardware,” International Workshop on In<strong>for</strong>mation Hiding, 1998, 16-31.<br />

[15] J. Lach, W. H. Mangione-Smith, and M. Potkonjak, “Signature Hiding Techniques <strong>for</strong> FPGA Intellectual<br />

Property Protection,” International Conference on Computer-Aided Design, 1998.<br />

[16] J. Leonard and W. H. Mangione-Smith, "A Case Study of Partially Evaluated Hardware Circuits: Key-Specific<br />

DES," Field Programmable Logic, 1997, 151-160.<br />

[17] J. Montanaro et al., “A 160MHz 32b 0.5W CMOS RISC Microprocessor,” IEEE Journal of Solid-State<br />

Circuits, vol. 31, no. 11, Nov. 1996, 1703-1714.


[18] B. Schneier, 1963- Applied Cryptography: Protocols, Algorithms, and Source Code in C, New York: John<br />

Wiley & Sons, 1996.<br />

[19] G.A. Spanos and T.B. Maples, "Per<strong>for</strong>mance Study of a Selective Encryption Scheme <strong>for</strong> the Security of<br />

Networked, Real-Time Video,” International Conference on Computer Communications and Networks, 1995.<br />

[20] M.D. Swanson et al., "Transparent Robust Image Watermarking," International Conference on Image<br />

Processing, 1996.<br />

[21] A.H. Tewfik and M. Swanson, "Data Hiding <strong>for</strong> Multimedia Personalization, Interaction, and Protection," IEEE<br />

Signal Processing Magazine, 1997, 41-44.<br />

[22] J. Turley, “ARM Grabs Embedded Speed Lead,” Microprocessor Report, vol. 10, 1996.<br />

[23] J. Villasenor et al., "Configurable Computing Solutions <strong>for</strong> Automatic Target Recognition," Proceedings of<br />

IEEE Workshop on FPGAs <strong>for</strong> Custom Computing Machines, 1996, 70-79.<br />

[24] R.B. Wolfgang and E.J. Delp, "A Watermark <strong>for</strong> Digital Images," Applications of Toral Automorphisms, vol. 3,<br />

1996, 219-222.<br />

[25] Xilinx, The Programmable Logic Data Book, San Jose, CA, 1996.


DAC'99, pages 837-842<br />

Robust Techniques For Watermarking Sequential Circuit Designs<br />

Arlindo L. Oliveira<br />

IST-INESC / CEL, 1000 Lisboa, Portugal<br />

Abstract<br />

We present a methodology <strong>for</strong> the watermarking of synchronous sequential circuits that makes it<br />

possible to identify the authorship of designs by imposing a digital watermark on the state<br />

transition graph of the circuit. The methodology is applicable to sequential designs that are made<br />

available as firm Intellectual Property (IP), the designation commonly used to characterize<br />

designs specified as structural descriptions or circuit netlists.<br />

The watermarking is obtained by manipulating the state transition graph of the design in such a<br />

way as to make it exhibit a chosen property that is extremely rare in non-watermarked circuits,<br />

while, at the same time, not changing the functionality of the circuit. This manipulation is<br />

per<strong>for</strong>med without ever actually computing this graph in either implicit or explicit <strong>for</strong>m. We<br />

present both theoretical and experimental results that show that the watermarking can be created<br />

and verified efficiently.<br />

References<br />

[1] H. Berghel and L. O’Gorman. Protecting ownership rights through digital watermarking. IEEE Computer,<br />

29(7):101–103, 1996.<br />

[2] R. Bryant. Graph-based algorithms <strong>for</strong> Boolean function manipulation. IEEE Transactions on Computers,<br />

35(8):677–691, August 1986.<br />

[3] E. Charbon. Hierarchical watermarking in IC design. In Proc. Custom Integrated Circuit Conference, pages<br />

295–298, Santa Clara, CA, May 1998.<br />

[4] O. Coudert, C. Berthet, and J. C. Madre. Verification of synchronous sequential machines based on symbolic<br />

execution. In J. Sifakis, editor, Proceedings of the Workshop on Automatic Verification Methods <strong>for</strong> Finite State<br />

Systems, volume 407 of Lecture Notes in Computer Science, pages 365–373. Springer-Verlag, June 1989.<br />

[5] E. M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. R. Stephan, R. K.<br />

Brayton and A. Sangiovanni-Vincentelli. SIS: A system <strong>for</strong> sequential circuit synthesis. Technical report, U.C.<br />

Berkeley, May 1992.<br />

[6] H. Cho, G.D. Hachtel, and F. Somenzi. Redundancy identification/removal and test generation <strong>for</strong> sequential<br />

circuits using implicit state enumeration. IEEE Transactions on Computer-Aided Design of Integrated Circuits and<br />

Systems, 12(7):935–945, July 1993.<br />

[7] D. Kirovski, Y. Hwang, M. Potkonjak, and J. Cong. Intellectual property protection by watermarking<br />

combinational logic synthesis solutions. In Proc. of the ACM/IEEE International Conference on Computer Aided<br />

Design, pages 194–198. IEEE Computer Society Press, 1998.<br />

[8] J. Lach, W. H. Mangione-Smith, and M. Potkonjak. Signature hiding techniques <strong>for</strong> FPGA intellectual property<br />

protection. In Proc. of the ACM/IEEE International Conference on Computer Aided Design, pages 186–189. IEEE<br />

Computer Society Press, 1998.<br />

[9] J.-K. Rho, G. Hachtel, F. Somenzi, and R. Jacoby. Exact and heuristic algorithms <strong>for</strong> the minimization of<br />

incompletely specified state machines. IEEE Transactions on Computer-Aided Design, 13(2):167–177, February<br />

1994.<br />

[10] I. Torunoglu and E. Charbon. Watermarking-based copyright protection of sequential functions. In Proc.<br />

Custom Integrated Circuit Conference, Sa Diego, CA, May 1999.


DAC'99, pages 843-848<br />

Effective Iterative Techniques <strong>for</strong> Fingerprinting Design IP<br />

<strong>An</strong>drew E. Caldwell, Hyun-Jin Choi, <strong>An</strong>drew B. Kahng, Stefanus Mantik, Miodrag Potkonjak,<br />

Gang Qu and Jennifer L. Wong<br />

UCLA Computer Science Dept., Los <strong>An</strong>geles, CA 90095-1596<br />

Abstract<br />

While previous watermarking-based approaches to intellectual property protection (IPP) have<br />

asymmetrically emphasized the IP provider's rights, the true goal of IPP is to ensure the rights of<br />

both the IP provider and the IP buyer. Symmetric fingerprinting schemes have been widely and<br />

effectively used to achieve this goal; however, their application domain has been restricted only<br />

to static artifacts, such as image and audio. In this paper, we propose the first generic symmetric<br />

fingerprinting technique which can be ap-plied to an arbitrary optimization/synthesis problem<br />

and, there<strong>for</strong>e, to hardware and software intellectual property. The key idea is to apply iterative<br />

optimization in an incremental fashion to solve a fingerprinted instance; this leverages the<br />

optimization ef<strong>for</strong>t already spent in obtaining a previous solution, yet generates a uniquely<br />

fingerprinted new solution. We use this approach as the basis <strong>for</strong> developing specific<br />

fingerprinting techniques <strong>for</strong> four important problems in VLSI CAD: partitioning, graph<br />

coloring, satisfiability, and standard-cell placement. We demonstrate the effectiveness of our<br />

fingerprinting techniques on a number of standard benchmarks <strong>for</strong> these tasks. Our approach<br />

provides an effective tradeoff between runtime and resilience against collusion.<br />

References<br />

[1] C. J. Alpert, “Partitioning Benchmarks <strong>for</strong> the VLSI CAD Community”, http://vlsicad.cs.ucla.edu/<br />

~cheese/benchmarks.html<br />

[2] C. J. Alpert, “The ISPD-98 Circuit Benchmark Suite”, Proc. ACM/IEEE International Symposium on Physical<br />

Design, April 98, pp. 80-85. See errata at http://vlsicad.cs.ucla.edu/~cheese/errata.html<br />

[3] I. Biehl and B.Meyer, “Protocols <strong>for</strong> Collusion-Secure Asymmetric Fingerprinting”, Proc. 14th <strong>An</strong>nual<br />

Symposium on Theoretical Aspect of Computer Science, Springer-Verlag, 1997, pp. 399-412.<br />

[4] D. Boneh and J. Shaw, “Collusion-Secure Fingerprinting <strong>for</strong> Digital Data”, Proc. 15th annual International<br />

Cryptology Conference, Springer-Verlag, 1995, pp. 452-465.<br />

[5] S. Dutt and W. Deng, “VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques”,<br />

Proc. IEEE International Conference on Computer-Aided Design, 1996, pp. 194-200.<br />

[6] C. M. Fiduccia and R. M. Mattheyses, “A Linear Time Heuristic <strong>for</strong> Improving Network Partitions”, Proc.<br />

ACM/IEEE Design Automation Conference, 1982, pp. 175-181.<br />

[7] M. R. Garey and D. S. Johnson, Computers and Intractability: A Guide to the Theory of NP-completeness, New<br />

York, W. H. Freeman and Company, 1979.<br />

[8] I. Hong and M. Potkonjak, “Behavioral Synthesis Techniques <strong>for</strong> Intellectual Property Protection”, unpublished<br />

manuscript, 1997.<br />

[9] A. B. Kahng, J. Lach, W. H. Mangione-Smith, S. Mantik, I. L. Markov, M. Potkonjak, P. Tucker, H. Wang and<br />

G. Wolfe, “Watermarking Techniques <strong>for</strong> Intellectual Property Protection”, Proc. ACM/IEEE Design Automation<br />

Conference, June 1998, pp. 776-781.<br />

[10] A. B. Kahng, S. Mantik, I. L. Markov, M. Potkonjak, P. Tucker, H. Wang and G. Wolfe, “Robust IP<br />

Watermarking Methodologies <strong>for</strong> Physical Design”, Proc. ACM/IEEE Design Automation Conference, June 1998,<br />

pp. 782-787.<br />

[11] B. W. Kernighan and S. Lin, “<strong>An</strong> <strong>Efficient</strong> Heuristic Procedure <strong>for</strong> Partitioning Graphs”, Bell System Tech.<br />

Journal 49 (1970), pp. 291-307.<br />

[12] D. Kirovski, Y. Hwang, M. Potkonjak and J. Cong, “Intellectual Property Protection by Watermarking<br />

Combinational Logic Synthesis Solutions”, Proc. IEEE/ACM International Conference on Computer Aided Design,<br />

1998.


[13] J.Lach, W.H.Mangione-Smith and M.Potkonjak, “FPGA Fingerprinting Techniques <strong>for</strong> Protecting Intellectual<br />

Property”, Proceedings of CICC, 1998.<br />

[14] I. H. Osman and J. P. Kelly, eds., Meta-Heuristics: Theory and Applications, Kluwer, 1996.<br />

[15] B. Pfitzmann, and M. Schunter, “Asymmetic Fingerprinting”, Proc. International Conference on the Theory<br />

and Application of Cryptographic Techniques, Springer-Verlag, 1996, pp. 84-95.<br />

[16] G. Qu andM. Potkonjak, “<strong>An</strong>alysis ofWatermarking Techniques <strong>for</strong> Graph Coloring Problem”, Proc.<br />

IEEE/ACMInternational Conference on Computer Aided Design, 1998.<br />

[17] R. H. Storer, S. D. Wu and R. Vaccari, “New Search Spaces <strong>for</strong> Sequencing Problems With Application to Job<br />

Shop Scheduling”, Management Science 38 (1992), pp. 1495-1509.<br />

[18] http://dimacs.rutgers.edu/<br />

[19] http://aida.intellektik.in<strong>for</strong>matik.th-darmstadt.de/˜hoos/SATLIB/


DAC'99, pages 849-854<br />

Behavioral Synthesis Techniques <strong>for</strong> Intellectual Property Protection<br />

Inki Hong*,** and Miodrag Potkonjak**<br />

* Synopsys, Inc. Mountain View, CA 94043<br />

** Computer Science Department, University of Cali<strong>for</strong>nia, Los <strong>An</strong>geles, CA 90095<br />

Abstract<br />

The economic viability of the reusable core-based design paradigm depends on the development<br />

of techniques <strong>for</strong> intellectual property protection. We introduce the first dynamic watermarking<br />

technique <strong>for</strong> protecting the value of intellectual property of CAD and compilation tools and<br />

reusable core components. The essence of the new approach is the addition of a set of design and<br />

timing constraints which encodes the author's signature. The constraints are selected in such a<br />

way that they result in minimal hardware overhead while embedding the signature which is<br />

unique and difficult to detect, remove and <strong>for</strong>ge. We establish the first set of relevant metrics<br />

which <strong>for</strong>ms the basis <strong>for</strong> the quantitative analysis, evaluation, and comparison of watermarking<br />

techniques. We develop a generic approach <strong>for</strong> signature data hiding in designs, which is<br />

applicable in conjunction with an arbitrary behavioral synthesis task, such as scheduling,<br />

assignment, allocation, and trans<strong>for</strong>mations. Error correcting codes are used to augment the<br />

protection of the signature data from tampering attempts. On a large set of design examples,<br />

studies indicate the effectiveness of the new approach in a sense that the signature data, which<br />

are highly resilient, difficult to detect and remove, and yet easy to verify, can be embedded in<br />

designs with very low hardware overhead.<br />

REFERENCES<br />

[1] W. Bender, D. Gruhl, N. Morimoto, and A. Lu. Techniques <strong>for</strong> data hiding. IBM Systems Journal, 35(3&4):313–<br />

336, 1996.<br />

[2] S. Craver, N. Memon, B. L. Yeo, and M. M. Yeung. Can invisible watermarks resolve rightful ownerships?<br />

Technical report, IBM Research Technical Report RC 20509, 1996.<br />

[3] R.E. Crochiere and A.V. Oppenheim. <strong>An</strong>alysis of linear digital networks. Proceedings of the IEEE, 63(4):581–<br />

595, 1975.<br />

[4] D. Fernandez. Intellectual property protection in the EDA industry. In Design Automation Conference, pages<br />

161–163, 1994.<br />

[5] M.R. Garey and D.S. Johnson. Computer and Intractability: A Guide to the theory of NP-Completeness. W. H.<br />

Freeman & Co., New York, NY, 1979.<br />

[6] E. Girczyc and S. Carlson. Increasing design quality and engineering productivity through design reuse. In<br />

Design Automation Conference, pages 48–53, 1993.<br />

[7] Virtual Socket Initiative. http://www.vsi.org.<br />

[8] D.S. Johnson, C.R. Aragon, L.A. McGeoch, and C. Schevon. Optimization by simulated annealing: an<br />

experimental evaluation; II. graph coloring and number partitioning. Operations Research, 39(3):378–406, 1991.<br />

[9] A. B. Kahng, et al. Robust IP Watermarking Methodologies <strong>for</strong> Physical Design. In Design Automation<br />

Conference, pages 782–787, 1998.<br />

[10] D. Kirovski, Y.-Y. Hwang, M. Potkonjak, and J. Cong. Intellectual Property Protection by Watermarking<br />

Combinational Logic Synthesis Solutions. In International Conference on Computer-Aided Design, pages 194–198,<br />

1998.<br />

[11] S. Lin and D.J. Costello. Error Control Coding. Prentice Hall, 1983.<br />

[12] G. De Micheli. Synthesis and optimization of digital circuits. McGraw-Hill, New York, NY, 1994.


DAC'99, pages 855-860<br />

Design and Implementation of a Scalable Encryption<br />

Processor with Embedded Variable DC/DC Converter<br />

James Goodman, <strong>An</strong>antha Chandrakasan<br />

Department of EECS, Massachusetts Institute of Technology, Cambridge, MA 02139<br />

Abram P. Dancy<br />

SynQor, Hudson, MA 01749<br />

ABSTRACT<br />

This work describes the design and implementation of an energy-efficient, scalable encryption<br />

processor that utilizes variable voltage supply techniques and a high-efficiency embedded<br />

variable output DC/DC converter. The resulting implementation dissipates 134nJ/bit @ VDD =<br />

2.5V, when encrypting at its maximum rate of 1Mb/s using a maximum datapath width of 512<br />

bits. The embedded converter achieves an efficiency of 96% at this peak load. The processor is<br />

2-3 orders of magnitude more energy efficient than optimized assembly code running on a lowpower<br />

processor such as the StrongARM.<br />

REFERENCES<br />

[1] Blum, L., M. Blum, M. Shub, “A simple unpredictable pseudo-random number generator,” SIAM Journal on<br />

Computing, vol. 15, no. 2, pp. 364-383, May 1986.<br />

[2] Gutnik, V., A. P. Chandrakasan, “Embedded power supply <strong>for</strong> low power DSP,” IEEE Transactions on VLSI<br />

Systems, vol. 5, no.4, pp. 425-435, December 1997.<br />

[3] Takagi, N., “A radix-4 modular multiplication hardware algorithm <strong>for</strong> modular exponentiation,” IEEE<br />

Transactions on Computers, vol. 41, no. 8, pp. 949-956, August 1992.<br />

[4] Dancy, A. P., A. P. Chandrakasan, “Ultra low power control circuits <strong>for</strong> PWM converters,” IEEE Power<br />

Electronics Specialists Conference, pp. 21-27, 1997.<br />

[5] Wei, G-Y., M. Horowitz, “A low power switching power supply <strong>for</strong> self-clocked systems,” 1996 International<br />

Symposium on Low Power Electronics and Design, pp. 313-318, 1996.


DAC'99, pages 861-866<br />

Design Considerations <strong>for</strong> Battery-Powered Electronics<br />

Massoud Pedram, Qing Wu<br />

Department of Electrical Engineering-Systems<br />

University of Southern Cali<strong>for</strong>nia, Los <strong>An</strong>geles, CA 90089<br />

Abstract<br />

In this paper, we consider the problem of maximizing the battery life (or duration of service) in<br />

battery-powered CMOS circuits. We first show that the battery efficiency (or utilization factor)<br />

decreases as the average discharge current from the battery increases. The implication is that the<br />

battery life is a super-linear function of the average discharge current. Next we show that even<br />

when the average discharge current remains the same, different discharge current profiles<br />

(distributions) may result in very different battery lifetimes. In particular, the maximum battery<br />

life is achieved when the variance of the discharge current distribution is minimized. <strong>An</strong>alytical<br />

derivations and experimental results underline importance of the correct modeling of the batteryhardware<br />

system as a whole and provide a more accurate basis (i.e., the battery discharge times<br />

delay product) <strong>for</strong> comparing various low power optimization methodologies and techniques<br />

targeted toward battery-powered electronics. Finally, we calculate the optimal value of Vdd <strong>for</strong> a<br />

battery-powered VLSI circuit so as to minimize the product of the battery discharge times the<br />

circuit delay.<br />

REFERENCES<br />

[1] A. Chandrakasan, R. Brodersen, Low Power Digital CMOS Design, Kluwer Academic Publishers, July 1995.<br />

[2] M. Horowitz, T. Indermaur, and R. Gonzalez, “Low-Power Digital Design”, IEEE Symposium on Low Power<br />

Electronics, pp.8-11, 1994.<br />

[3] A. Chandrakasan, V. Gutnik, and T. Xanthopoulos, “Data Driven Signal Processing: <strong>An</strong> <strong>Approach</strong> <strong>for</strong> Energy<br />

<strong>Efficient</strong> Computing”, 1996 International Symposium on Low Power Electronics and Design”, pp. 347-352, Aug.<br />

1996.<br />

[4] J. Rabaey and M. Pedram, Low Power Design Methodologies, Kluwer Academic Publishers, 1996<br />

[5] URL: http://infopad.eecs.berkeley.edu/~anthonys/quals<br />

[6] M. Pedram and Q. Wu, “Battery-Powreed Digital CMOS Design”, Proceedings of Design Automation and Test<br />

in Europe Conference, pp. 72-76, Mar., 1999.<br />

[7] M. Pedram, “Power Minimization in IC Design: Principles and Applications”, ACM transactions on Design<br />

Automation of Electronic Systems, Vol. 1, No. 1, pp. 3-56, Jan., 1996.<br />

[8] M. Doyle, T. F. Fuller, and J. Newman, “Modeling of Galvanostatic Charge and Discharge of the<br />

Lithium/Polymer/Insertion Cell”, J. Electrochem. Soc., Vol. 140, No. 6, pp.1526-1533, Jun. 1993.<br />

[9] T. F. Fuller, M. Doyle, and J. Newman, “Simulation and Optimization of the Dual Lithium Ion Insertion Cell”, J.<br />

Electrochem. Soc., Vol. 141, No. 1, pp.1-9, Jan. 1994.<br />

[10] D. Fauteux, “Lithium Polymer Electrolyte Rechargeable Battery”, The Electrochemical Society Proceedings,<br />

Vol. 94-28, pp.379-388.<br />

[11] L. Xie, W. Ebner, D. Fouchard, and S. Megahed, “Electrochemical Studies of LiNiO2 <strong>for</strong> Lithium-Ion<br />

Batteries”, The Electrochemical Society Proceedings, Vol. 94-28, pp.263-276.<br />

[12] K. M. Abraham, D. M. Pasquariello, T. H. Nguyen, Z. Jiang, and D. Peramunage, “Lithiated Manganese Oxide<br />

Cathodes <strong>for</strong> Rechargeable Lithium Batteries”, The Battery Conference, pp. 317-323, 1996.<br />

[13] N. Cui, B. Luan, D. Bradhurst, H. K. Liu, and S. X. Dou, “Surface-Modified Mg2Ni-Type Negative Electrode<br />

Materials <strong>for</strong> Ni-MH Battery”, The Battery Conference, pp. 317-322, 1997.<br />

[14] J. K. Erbacher and S. P. Vukson, “Commercial Nickel-Metal Hydride (Ni-MH) Technology Evaluation”, The<br />

Battery Conference, pp. 9-15, 1997<br />

[15] B. Nelson, “TMP Ultra-High Rate Discharge Per<strong>for</strong>mance”, The Battery Conference, pp. 139-143, 1997.<br />

[16] S. Gold, “A PSPICE Macromodel <strong>for</strong> Lithium-Ion Batteries”, The Battery Conference, pp. 215-222, 1997<br />

[17] URL: http://www.valence-tech.com/products/index.htm


[18] URL: http://www.mosis.org/html/hp-gmos10qa-prm.html


DAC'99, pages 867-872<br />

Cycle-Accurate Simulation of Energy Consumption in Embedded Systems<br />

Tajana Šimunic, Luca Benini* and Giovanni De Micheli<br />

Computer Systems Lab, Stan<strong>for</strong>d University<br />

*DEIS University of Bologna, Italy<br />

Abstract<br />

This paper presents a methodology <strong>for</strong> cycle-accurate simulation of energy dissipation in<br />

embedded systems. The ARM Ltd. [1] instruction-level cycle-accurate simulator is extended<br />

with energy models <strong>for</strong> the processor, the L2 cache, the memory, the interconnect and the DC-<br />

DC converter. A SmartBadge, which can be seen as an embedded system consisting of<br />

StrongARM-1100 processor, memory and the DC-DC converter, is used to evaluate the<br />

methodology with the Dhrystone benchmark. We compared per<strong>for</strong>mance and energy computed<br />

by our simulator with measurements in hardware and found them in agreement within a 5%<br />

tolerance. The simulation methodology was applied to design exploration <strong>for</strong> enhancing a<br />

SmartBadge with real-time MPEG feature.<br />

References<br />

[1] Advanced RISC Machines Ltd (ARM), ARM Software Development Toolkit Version 2.11, 1996.<br />

[2] G. Q. Maguire, M. Smith, H. W. Peter Beadle, “SmartBadges: a wearable computer and communication system,"<br />

Invited talk slides url: www.it.kth.se/maguire/Talks/CODES-980313.pdf, 6 th International Workshop on<br />

Hardware/Software Codesign, 1998.<br />

[3] CoWare, CoWareN2c url:www.coware.com/n2c.html .<br />

[4] Mentor Graphics, www.mentor.com/codesign.<br />

[5] Synopsys, www.synopsys.com/products/hwsw.<br />

[6] Cadence, www.cadence.com/alta/products.<br />

[7] P. Landman, J. Rabaey, “Activity-Sensitive Architectural Power <strong>An</strong>alysis," IEEE Transactions on CAD, pp.571-<br />

587, June 1996.<br />

[8] D. Liu, C. Svensson, “Power Consumption Estimation in CMOS VLSI Chips," IEEE Journal of Solid-State<br />

Circuits, vol.29, no.6, pp. 663-670, June 1994.<br />

[9] M. Kamble, K. Ghose, “Energy-Efficiency of VLSI Caches: A Comparative Study," 10th International<br />

Conference on VLSI Design, pp.261-267, January 1997.<br />

[10] S. Wilton, N. Jouppi, “CACTI: <strong>An</strong> Enhanced Cache Access and Cycle Time Model," IEEE Journal of Solid-<br />

State Circuits, vol.31, no.5, pp.677-688, May 1996.<br />

[11] K. Itoh, K. Sasaki, Y. Nakagome, “Trends in Low-Power RAM Circuit Technologies," Proceedings of the<br />

IEEE, vol.83, no.4, pp.524-543, April 1995.<br />

[12] V. Tiwari, S. Malik, A. Wolfe, M. Lee, “Instruction Level Power <strong>An</strong>alysis," Journal of VLSI Signal Processing<br />

Systems, no.1, pp.223-2383, 1996.<br />

[13] M. Wan, Y. Ichikawa, D. Lidsky, J. Rabaey, “<strong>An</strong> Energy Conscious Methodology <strong>for</strong> Early Design Exploration<br />

of Heterogeneous DSPs," Proceedings of the Custom Intergrated Circuit Conference, 1998.<br />

[14] L. Benini, R. Hodgson, P. Siegel, “System-Level Power Estimation and Optimization," Proceedings of<br />

ISLPED, pp.173-178, 1998.<br />

[15] Y. Li and J. Henkel, “A Framework <strong>for</strong> Estimating and Minimizing Energy Dissipation of Embedded HW/SW<br />

Systems," Proceedings of DAC 1998, pp.188-193, 1998.<br />

[16] B. Kapoor, “Low Power Memory Architecutres <strong>for</strong> Video Applications," Proceedings of the 8th Great lakes<br />

symposium on VLSI, pp. 2-7, 1998.<br />

[17] OZ Electronics Manufacturing, PCB Modelling Tools url: www.oem.com.au/manu/pcbmodel.html.<br />

[18] A. El Gamal, Z.A. Syed, “A stochastic model <strong>for</strong> interconnections in custom integrated circuits," IEEE<br />

Transactions on Circuits and Systems, vol.CAS-28, no.9, pp.888-894, Sept. 1981.<br />

[19] V. Bhaskaran, K. Konstantinides, Image and Video Compression Standards Kluwer Academic Publishers,<br />

1997.


DAC'99, pages 873-878<br />

Lowering power consumption in clock by using Globally Asynchronous<br />

Locally Synchronous design style.<br />

A.Hemani 1 , T.Meincke 1 , S.Kumar 4 , A.Postula 5 , T.Olsson 2 , P.Nilsson 2 , J.Oberg 1 , P.Ellervee 1 ,<br />

D.Lundqvist 3<br />

1 ESD Lab, Department of Electronics, KTH, Sweden<br />

2 Lund University, Sweden<br />

3 Ericsson Radio Systems AB, Stockholm, Sweden<br />

4 Indian Institute of Technology, New Delhi, India<br />

5 Department of CSEE, University of Queensland, Brisbane, Australia<br />

ABSTRACT<br />

Power consumption in clock of large high per<strong>for</strong>mance VLSIs can be reduced by adopting<br />

Globally Asynchronous, Locally Synchronous design style (GALS). GALS has small overheads<br />

<strong>for</strong> the global asynchronous communication and local clock generation. We propose methods to<br />

a) evaluate the benefits of GALS and account <strong>for</strong> its overheads, which can be used as the basis<br />

<strong>for</strong> partitioning the system into optimal number/size of synchronous blocks, and b) automate the<br />

synthesis of the global asynchronous communication. Three realistic ASICs, ranging in<br />

complexity from 1 to 3 million gates, were used to evaluate GALS benefits and overheads. The<br />

results show an average power saving of about 70% in clock with negligible overheads.<br />

REFERENCES<br />

1. S. Hauck, “Asynchronous Design Methodologies: <strong>An</strong> Overview”, Proceedings of IEEE, Vol. 83, No. 1, pp 69-93,<br />

January 1995.<br />

2. W. Horn, “Modelling of an ATM Multiplexer in a Network Terminal <strong>for</strong> a Mixed Hardware/Firmware<br />

Implementation”, Master thesis, TRITA-ESD-1998-06,<br />

Department of Electronics, Royal Institute of Technology, Stockholm, Sweden, May 1998.<br />

3. G. M. Jacobs, R. W Broderson, “A Fully Asynchronous Digital Signal Processor Using Self-Timed Circuits”,<br />

IEEE Journal of Solid-State Circuits, Vol 25, No. 6, Dec. 1996.<br />

4. P. Nilsson, M. Torkelson, “A Monolithic Digital Clock-Generator <strong>for</strong> On-Chip Clocking of Custom DSP’s”,<br />

IEEE Journal of Solid-State Circuits, pp. 700-706, May 1996<br />

5. J.M.Rabaey, “Digital Integrated Circuits”, Prentice Hall, 1997<br />

6. J. M. Rabaey, M. Pedram, “Low Power Design Methodologies” Ch 1, Kluwer Academic Publishers, 1996,<br />

ISBN0-7923-9630-8<br />

7. J. M. Rabaey, M. Pedram, “Low Power Design Methodologies”, Ch 5, Kluwer Academic Publishers, 1996,<br />

ISBN0-7923-9630-8<br />

8. B. Svantesson, S. Kumar, A. Hemani, “A Methodology and Algorithms <strong>for</strong> <strong>Efficient</strong> Interprocess Communication<br />

Synthesis from System Description in SDL”, in Proc. of VLSI Design’98, pp 78-84, 7-8 Jan 1998, Chennai, India<br />

9. V. Tiwari et. al., “Reducing Power in High-per<strong>for</strong>mance Microprocessors”, 35th DAC, June 98.<br />

10. T. Hotta K. Kurita and N. Kitamura. PLL-based BiCMOS on-chip clock generator <strong>for</strong> very high-speed<br />

microprocessors. IEEE Journal of Solid-State Circuits, 26:pp. 485-589, April 1991.<br />

11. T. D. Burd and R. W. Brodersen, Processor Design <strong>for</strong> Portable Systems, Journal of VLSI Signal Processing,<br />

Kluwer Academic Publishers, Volume 13, Numbers 2/3, August/September 1996, pp. 203-222.<br />

12. P. Nilsson and M. Torkelson. A Custom Digital Intermediate Frequency Filter <strong>for</strong> the American Mobile<br />

Telephone System. IEEE Journal of Solid-State Circuits, 32:pp. 806-815, June 1997.<br />

13. Inki Hong et. al. Power Optimisation of Variable Voltage Core-<strong>Based</strong> Systems. 35th DAC, June 98, pp. 176-<br />

181.<br />

14. L.Benini and G. De Micheli,” Trans<strong>for</strong>mations and Synthesis of FSM’s <strong>for</strong> low power gated clock<br />

implementation”, IEEE Trans. on CAD, Vol. 15, No. 6, June 1996.


DAC'99, pages 879-884<br />

A CAD Tool <strong>for</strong> Optical MEMS<br />

Timothy P. Kurzweg*, Steven P. Levitan*, Philippe J. Marchand**,<br />

Jose A. Martinez*, Kurt R. Prough*, Donald M. Chiarulli***<br />

*University of Pittsburgh, Dept. of Electrical Engineering, Pittsburgh, PA, USA,<br />

**University of Cali<strong>for</strong>nia, San Diego, ECE Dept., La Jolla, CA, USA<br />

***University of Pittsburgh, Dept. of Computer Science, Pittsburgh, PA, USA<br />

ABSTRACT<br />

Chatoyant models free-space opto-electronic components and systems and per<strong>for</strong>ms simulations<br />

and analyses that allow designers to make in<strong>for</strong>med system level trade-offs. Recently, the use of<br />

MEM bulk and surface micro-machining technology has enabled the fabrication of microoptical-mechanical<br />

systems. This paper presents our models <strong>for</strong> diffractive optics and new<br />

analysis techniques which extend Chatoyant to support optical MEMS design. We show these<br />

features in the simulation of two optical MEM systems.<br />

Keywords: Optical MEMS, MEMS-CAD, MOEMS, micro-optics<br />

REFERENCES<br />

[1] Akiyama, T., et. al, “Scratch drive actuator with mechanical links <strong>for</strong> self-assembly of three-dimensional<br />

MEMS,” J. of Microelectromechanical Systems, Vol. 6, No. 1., Mar 1997, pp. 10-17.<br />

[2] Born, M., Wolf, E., Principles of Optics, (Pergamon Press, 1959)<br />

[3] Buck, J., et.al, “Ptolemy: a framework <strong>for</strong> simulating and prototyping heterogeneous systems,” Int. J. Computer<br />

Simulation, Vol. 4, pp. 155-182, (1994).<br />

[4] Goodman, J.W., Introduction to Fourier Optics, Second Edition (The McGraw-Hill Companies, Inc., 1996).<br />

[5] Karam, J.M., et. al, “CAD and foundries <strong>for</strong> microsystems”, 34th DAC, <strong>An</strong>aheim, CA, June 9-13, 1997, pp. 674-<br />

679.<br />

[6] Kurzweg,T.P., et. al “Modeling Optical MEMS Systems,”, TR99-103, University of Pittsburgh, 1999.<br />

[7] Levitan, S.P., et al, “Chatoyant: a computer-aided design tool <strong>for</strong> free-space optoelectronic systems,” Applied<br />

Optics, Vol. 37, No. 26, Sept 1998, pp. 6078-6092.<br />

[8] Levitan, S.P., et. al, “Computer-Aided Design of Free-Space Opto-Electronic Systems,” 34th DAC, <strong>An</strong>aheim,<br />

CA, June 9-13, 1997, pp. 768-773.<br />

[9] Martinez, J.A., et. al, “Piecewise Linear Large Scale Models <strong>for</strong> Optoelectronic Devices,” OSA Optics in<br />

Computing, Aspen, CO, Apr 1999.<br />

[10] Mukherjee, T., Fedder, G.K., “Structured Design Of Microelectromechanical Systems,” 34th DAC, <strong>An</strong>aheim,<br />

CA, June 1997, pp. 680-685.<br />

[11] Piyawattanametha, W., et. al, “MEMS Technology <strong>for</strong> Optical Crosslink <strong>for</strong> Micro/Nano Satellites,”<br />

NANOSPACE’98, NASA/Johnson Space Center, Houston, TX, Nov 1-6, 1998.<br />

[12] Rubinstein, R.Y., Simulation and the Monte Carlo Method, (John Wiley & Sons, 1981).<br />

[13] Saleh, B.E.A., Teich, M.C., Fundamentals of Photonics (New York: Wiley-Interscience, 1991).<br />

[14] Senturia, S. D., “CAD <strong>for</strong> Microelectromechanical Systems,” Transducers '95, June 25-29, 1995, Stockholm,<br />

Sweden, Vol. 2, Paper No. 232-A7.<br />

[15] Wilson, N.M., et. al, “A Heterogenous Environment <strong>for</strong> Computational Prototyping and Simulation <strong>Based</strong><br />

Design of MEMS Devices”, SISPAD 98, Leuven, Belgium, Sept 2-4, 1998.<br />

[16] Wu, M.C., “Micromachining <strong>for</strong> optical and Optoelectronic Systems,” Proc. of the IEEE, Vol. 85, No. 11, Nov<br />

1997, pp. 1833-1856.


DAC'99, pages 885-891<br />

On Thermal Effects in Deep Sub-Micron VLSI Interconnects<br />

Kaustav Banerjee, Amit Mehrotra, Alberto Sangiovanni-Vincentelli, Chenming Hu<br />

Department of Electrical Engineering and Computer Sciences<br />

University of Cali<strong>for</strong>nia, Berkeley, CA 94720<br />

Abstract<br />

This paper presents a comprehensive analysis of the thermal effects in advanced high<br />

per<strong>for</strong>mance interconnect systems arising due to self-heating under various circuit conditions,<br />

including electrostatic discharge. Technology (Cu, low-k etc) and scaling effects on the thermal<br />

characteristics of the interconnects, and on their electromigration reliability has been analyzed<br />

simultaneously, which will have important implications <strong>for</strong> providing robust and aggressive deep<br />

submicron interconnect design guidelines. Furthermore, the impact of these thermal effects on<br />

the design (driver sizing) and optimization of the interconnect length between repeaters at the<br />

upper-level signal lines are investigated.<br />

References<br />

[1] C. R. Barrett, “Microprocessor evolution and technology impact,” Symp. VLSI Technol., Dig. Tech. Papers,<br />

1993, pp. 7-10.<br />

[2] T. Makimoto, “Market and technology trends in the nomadic age,” Symp. VLSI Technol., Dig. Tech. Papers,<br />

1996, pp. 6-9.<br />

[3] R. Whittier, “Push/Pull: PC technology/end user demand,” Symp. VLSI Technol., Dig. Tech. Papers, 1996, pp. 2-<br />

5.<br />

[4] R. H. Dennard, F. H. Gaensslen, H. Yu, V. L. Rideout, E. Bassous, and A. R. LeBank, “Design of ion-implanted<br />

MOSFETs with very small physical dimensions,” IEEE J. Solid-State Circuits, Vol. SC-9, pp. 256-268, 1974.<br />

[5] P. K. Chatterjee, W. R. Hunter, A. Amerasekera, S. Aur, C. Duvvury, P. E. Nicollian, L. M. Yang, and P. Yang,<br />

“Trends <strong>for</strong> deep submicron VLSI and their implications <strong>for</strong> reliability,” Proc. IRPS, 1995, pp. 1-11.<br />

[6] J. R. Black, “Electromigration – A brief survey and some recent results,” IEEE Trans. Electron Devices, vol.<br />

ED-16, pp. 338-347, 1969.<br />

[7] B. K. Liew, N. W. Cheung, and C. Hu, “Projecting interconnect electromigration lifetime <strong>for</strong> arbitrary current<br />

wave<strong>for</strong>ms,” IEEE Trans. Electron Devices, vol. 37, pp. 1343-50, 1990.<br />

[8] K. Banerjee, A. Amerasekera, N. Cheung and C. Hu, “High-current failure model <strong>for</strong> VLSI interconnects under<br />

short-pulse stress conditions,” IEEE Electron Device Lett., vol. 18, No. 9, pp. 405-407, 1997.<br />

[9] K. Banerjee, A. Amerasekera and C. Hu, “Characterization of VLSI circuit interconnect heating and failure<br />

under ESD conditions,” Proc. IRPS, 1996, pp. 237-245.<br />

[10] W. R. Hunter, “Self-consistent solutions <strong>for</strong> allowed interconnect current density – Part I: Implications <strong>for</strong><br />

technology evolution,” IEEE Trans. Electron Devices, vol. ED-44, pp. 304-309, 1997.<br />

[11] S. Rzepka, K. Banerjee, E. Meusel, and C. Hu, “Characterization of selfheating in advanced VLSI interconnect<br />

lines based on thermal finite element simulation,” IEEE Trans. on Components, Packaging and Manufacturing<br />

Technology-Part A, vol. 21, No. 3, pp. 1-6, 1998.<br />

[12] J. Ida et al, “Reduction of wiring capacitance with new low dielectric SiOF interlayer film <strong>for</strong> high speed/low<br />

power sub-half micron CMOS,” Tech. Dig. VLSI Symp., pp. 59-60, 1994.<br />

[13] K. Banerjee, A. Amerasekera, G. Dixit and C. Hu, “The effect of interconnect scaling and low-k dielectric on<br />

the thermal characteristics of the IC metal,” in Tech. Dig. IEDM, 1996, pp. 65-68.<br />

[14] NS Nagaraj, F. Cano, H. Haznedar, and D. Young, “A practical approach to static signal electromigration<br />

analysis,” Proc. 35th Design Automation Conf., 1998, pp. 572-577.<br />

[15] National Technology Roadmap <strong>for</strong> Semiconductors (NTRS).<br />

[16] J. R. Black, “Electromigration failure modes in aluminum metallization <strong>for</strong> semiconductor devices," IEEE<br />

Trans. Electron Devices, vol. 57, no. 9, pp. 1587-1594, 1969.<br />

[17] A. A. Bilotti, “Static temperature distribution in IC chips with isothermal heat sources,” IEEE Trans. Electron<br />

Devices, vol. ED-21, pp. 217-226, 1974.


[18] W. R. Hunter, “Self-consistent solutions <strong>for</strong> allowed Interconnect current density – Part II: Application to<br />

design guidelines,” IEEE Trans. Electron Devices, vol. ED-44, pp. 310-316, 1997.<br />

[19] C. Jin, L. Ting, K. Taylor, T. Seta, and J. D. Luttmer, “Thermal conductivity measurement of low dielectric<br />

constant films,” in Proc. Second International Dielectrics <strong>for</strong> VLSI/ULSI Multilevel Interconnection Conference<br />

(DUMIC), 1996, pp. 21-28.<br />

[20] Private Communications, Professor Kenneth Goodson, Thermosciences Division, Mechanical Eng.<br />

Department, Stan<strong>for</strong>d University.<br />

[21] H. A. Schafft, “Thermal analysis of electromigration test structures,” IEEE Trans. Electron Devices, vol. ED-<br />

34, pp. 664-672, 1987.<br />

[22] R. H.J.M. Otten and R. K. Brayton, “Planning <strong>for</strong> per<strong>for</strong>mance,” Proc 35th Design Automation Conf., 1998, pp.<br />

122-127.<br />

[23] J. Culetu, C. Amir, and J. McDonald, “A practical repeater insertion method in high speed VLSI circuits,”<br />

Proc. 35th Design Automation Conf., 1998, pp. 392-395.<br />

[24] “Physical design modelling and verification project (SPACE Project)”, http://cas.et.tudelft.nl/research/<br />

space.html<br />

[25] C. Duvvury and A. Amerasekera, “State-of-the-art issues <strong>for</strong> technology and circuit design of ESD protection in<br />

CMOS ICs,” Semiconductor Science. and Tech., pp. 833-850, 1996.<br />

[26] A. Amerasekera and C. Duvvury, “The impact of technology scaling on ESD robustness and protection circuit<br />

design,” in EOS/ESD Symp. Proc., 1994, pp. 237-245.<br />

[27] S. H. Voldman, “ESD robustness and scaling implications of aluminum and copper interconnects in advanced<br />

semiconductor technology,” in EOS/ESD Symp. Proc., 1997, pp. 316-329.


DAC'99, pages 892-897<br />

Converting a 64b PowerPC Processor from CMOS Bulk to SOI Technology<br />

D. Allen, D. Behrends, B. Stanisic<br />

IBM Corporation, Rochester, MN 55901<br />

Abstract<br />

A 550MHz 64b PowerPC processor was developed <strong>for</strong> fabrication in Silicon-On-Insulator (SOI)<br />

technology from a processor previously designed and fabricated in bulk CMOS [1]. Both the<br />

design and the associated CAD methodology (point tools, flow, and models) were modified to<br />

handle demands specific to SOI technology. The challenge was to improve the cycle time by<br />

adapting the circuit design, timing, and chip integration methodologies to accommodate effects<br />

unique to SOI.<br />

References<br />

[1] D.Allen, et al,“A 550MHz 64b SOI Processor with Cu Interconnects”, ISSCC, 1999.<br />

[2] F. Assaderaghi, et al, “A 7.9/5.5 psec Room/Low Temperature SOI CMOS,” IEDM 97, pp. 415-418.<br />

[3] J-P. Colinge, Silicon-On-Insulator Technology: Materials to VLSI, Kluwer Academic Publishers, Boston MA,<br />

1991.<br />

[4] K. L. Shepard and V. Narayanan, “Noise in deep submicron digital design”, in Proceedings of the IEEE/ACM<br />

International Conference on Computer-Aided Design, pp. 524-531, November 1996.<br />

[5] “AS/X User’s Guide”, International Business Machines Technical Memorandum, No. 220-5233-00, March<br />

1994.<br />

[6] T.Drumm, J.Mollen, J.Earl,”Differences in Synthesis Behavior between Bulk and SOI Technologies,”<br />

International Business Machines Technical Memorandum, 1997<br />

[7] H. H. Chen and D. D. Ling, “Power supply noise analysis methodology <strong>for</strong> deep submicron VLSI chip design,”<br />

in Proceedings 34th Design Automation Conference, pp. 638-643, June 1997.<br />

[8] J. Rahmeh, “3DNoise User’s Guide”, International Business Machines Technical Memorandum, June 1996.


DAC'99, pages 898-903<br />

A Framework <strong>for</strong> Collaborative and Distributed Web-based Design<br />

Gangadhar Konduri, <strong>An</strong>antha Chandrakasan<br />

Department of Electrical Engineering and Computer Science<br />

Massachusetts Institute of Technology, Cambridge, MA 02139<br />

Abstract<br />

The increasing complexity and geographical separation of design data, tools and teams has<br />

created a need <strong>for</strong> a collaborative and distributed design environment. In this paper we present a<br />

framework that enables collaborative and distributed Web-based CAD, in which the designers<br />

can collaborate on a design and efficiently utilize existing design tools on the Internet. The<br />

framework includes a Java-based hierarchical collaborative schematic/block editor with<br />

interfaces to distributed Web tools and cell libraries, infrastructure to store and manipulate<br />

design objects, and protocols <strong>for</strong> tool communication, message passing and collaboration.<br />

References<br />

[1] “What's ahead <strong>for</strong> design on the Web?", Panel Discussion, IEEE Spectrum, September 1998, pp. 53-63.<br />

[2] “IC Design on the World Wide Web", IEEE Spectrum, June 1998.<br />

[3] O. Bentz, D. Lidsky, J. M. Rabaey,”In<strong>for</strong>mation-based Design Environment", IEEE VLSI Signal Processing<br />

VIII, pp. 237-246, Nov 1995.<br />

[4] D. Lidsky, J. M. Rabaey, “Early Power Exploration - a World Wide Web Application", Proc. Design Automation<br />

Conf, Las Vegas, NV, June 1996.<br />

[5] The WELD Project, http://www-cad.EECS.Berkeley.EDU/Respep/Research/weld<br />

[6] A. Boglio, L. Benini, G. De Micheli and B. Ricco, “PPP: A Gate-Level Power Estimator - A World Wide Web<br />

Application", Stan<strong>for</strong>d Technical Report No. CSL-TR-96-691, 1996.<br />

[7] XMX Home Page, http://www.cs.brown.edu/software<br />

[8] Xplexer: The Application sharing technology, http://andru.unx.com/DD/advisor/docs/jun95<br />

[9] XShare: Workstation conferencing, http://www.eit.com/software/xshare<br />

[10] XTV: A Users Guide, http://www.visc.vt.edu/succeed/xtv.html<br />

[11] Hemang Lavana, Amit Khetawat, Franc Brglez, Kyzysztof Kozminski, “Executable Workows: A Paradigm <strong>for</strong><br />

Collaborative Design on the Internet", Proceedings of the Design Automation Conference, June 1997.<br />

[12] Debashis Saha, “Framework <strong>for</strong> distributed Web-based Microsystem design", Masters thesis, MIT, Jan 1998.


DAC'99, pages 904-909<br />

Dealing With Inductance In High-Speed Chip Design<br />

Phillip Restle, Albert Ruehli, Steven G. Walker<br />

IBM T.J. Watson Research Center, Yorktown Heights, NY<br />

Abstract<br />

Inductance effects in on-chip interconnects have become significant <strong>for</strong> specific cases such as<br />

clock distributions and other highly optimized networks [1,2]. Designers and CAD tool<br />

developers are searching <strong>for</strong> ways to deal with these effects. Un<strong>for</strong>tunately, accurate on-chip<br />

inductance extraction and simulation in the general case are much more difficult than<br />

capacitance extraction. In addition, even if ideal extraction tools existed, most chip designers<br />

have little experience designing with lossy transmission lines. This tutorial will attempt to<br />

demystify on-chip inductance through the discussion of several illustrative examples analyzed<br />

using full-wave extraction and simulation methods. A specialized PEEC (Partial Element<br />

Equivalent Circuit) method tailored <strong>for</strong> chip applications was used <strong>for</strong> most cases. Effects such<br />

as overshoot, reflections, frequency dependent effective resistance and inductance will be<br />

illustrated using animated visualizations of the full-wave simulations. Simple examples of design<br />

techniques to avoid, mitigate, and even take advantage of on-chip inductance effects will be<br />

described.<br />

References<br />

[1] P. J. Restle, K. A. Jerkins, A. Deutsch and P. W. Cook, "Measurement and Modeling of On-Chip Transmission-<br />

Line Effects in a 400 MHz Microprocessor," IEEE Journal of Solid-State Circuits, Vol. 33 No. 4, pp. 662-665, Apr.<br />

1998.<br />

[2] P. J. Restle, A. Deutsch , "Designing the Best Clock Distribution Network", Symposium on VLSI Circuits Digest<br />

of Technical Papers, June '98, pp. 2-5, Honolulu, HI<br />

[3] A. E. Ruehli, "Inductance Calculations in a Complex Integrated Circuit Environment", IBM J. Res. Develop.,<br />

Vol. 16, pp. 470-481, Sept. 1972.<br />

[4] M. Kaman, F. Wang, J. White, "Recent Improvements <strong>for</strong> Fast Inductance Extraction and Simulation", In Digest<br />

of Electr. Perf. Electronic Packaging, Vol 7, pp. 281-284, Oct. 1998, West Point, NY.<br />

[5] A. E. Ruehli, "Equivalent Circuit Models <strong>for</strong> Three Dimensional Multi-Conductor Systems", IEEE Trans. of<br />

Microwave Theory and Techniques, MTT-22 (3), pp. 216-221 March, 1974.<br />

[6] J. N. Burghartz, A. E. Ruehli, K. A. Jerkins, M. Soyuer, D. Nguyen-Ngoc, "Novel Substrate Contact <strong>for</strong> High-Q<br />

Silicon-Integrated Spiral Inductors", IEDM Technical Digest, Dec. 1997, pp. 55-58.<br />

[7] D. Edelstein et. al., "Full Copper Wiring in a Sub-0.25 lm CMOS ULSI Technology", IEEE Inter. Electron<br />

Device Meeting Tech. Dig. pp. 773-6, Dec. 1997<br />

[8] A. Deutsch, G. V. Kopcsay, P. Restle, et al, "When are Transmission-Line Effects Important <strong>for</strong> On Chip<br />

Interconnections?", IEEE Trans. Microwave Theory Tech. (USA) Vol. 45, No. 10, pt. 2, pp. 1836-46, Oct. 1997.<br />

[9] Yehia Massoud, Steve Majors, Tareq Bustami, Jacob White, "Layout Techniques <strong>for</strong> Minimizing On-Chip<br />

Interconnect Self-Inductance", Proceedings of Design Automation Conf. pp 566-571, June 1998, San Fransico CA.


DAC'99, pages 910-914<br />

Interconnect <strong>An</strong>alysis: From 3-D Structures to Circuit Models<br />

M. Kamon. N. Marques. Y. Massoud. L. Silveira. J. White<br />

Research Laboratory of Electronics, Massachusetts Institute of Technology<br />

Cambridge, MA 02139<br />

Abstract<br />

In this survey paper we describe the combination of: discretized integral <strong>for</strong>mulations,<br />

sparsification techniques, and krylov-subspace based model-order reduction that has led to robust<br />

tools <strong>for</strong> automatic generation of macromodels that represent the distributed RLC effects in 3-D<br />

interconnect. A few computational results are presented, mostly to point out the problems yet to<br />

be addressed.<br />

References<br />

[1] B. Gieseke, et al. "A 600Mhz Superscalar RISC Microprocessor with Out-ofOrder Exectution" ISSCC 97, pp.<br />

176-177 San Francisco, 1997.<br />

[2] M. Kamon, M. Tsuk, C. Smithhisler, J. White, “<strong>Efficient</strong> Techniques <strong>for</strong> Inductance Extraction of Complex 3-D<br />

Geometries," Proc. Int. Conf. on Computer-Aided Design, Santa Clara, Cali<strong>for</strong>nia, November 1992, pp. 438-442.**<br />

[3] S. M. Rao, D. R. Wilton, and A. W. Glisson. Electromagnetic scattering by surfaces of arbitrary shape. IEEE<br />

Trans. <strong>An</strong>tennas Propagat., AP-30(3):409-418, May 1997.<br />

[4] S. Kapur and J. Zhao,"A fast method of moments solver <strong>for</strong> <strong>Efficient</strong> parameter extraction of MCMs" Design<br />

Automation Conference, 1997 pp. 141-146.<br />

[5] A. E. Ruehli, “Equivalent circuit models <strong>for</strong> three-dimensional multiconductor systems", IEEE Transactions on<br />

Microwave Theory and Techniques, vol. 22, no. 3, pp. 216-221, March 1974.<br />

[6] M. Kamon, N. Marques, L. M. Silveira and J. White, “Automatic generation of Accurate Cir-<br />

cuit Models of 3-D Interconnect", IEEE Transactions on Components, Packaging, and Manufacturing Technology -<br />

Part B: Advanced Packaging, August, 1998, vol. 21, no. 3, pp. 225-240<br />

[7] J. Barnes and P. Hut. A hierarchical O(N logN) <strong>for</strong>ce-calculation algorithm. Nature, 324:446-449, 1986.<br />

[8] L. Greengard and V. Rokhlin. A fast algorithm <strong>for</strong> particle simulations. J. Comput. Phys., 73:325-348, 1987.<br />

[9] R. W. Hockney and J. W. Eastwood, Computer simulation using particles. New York: Adam<br />

Hilger, 1988.<br />

[10] V. Rokhlin, “Rapid solution of integral equation of classical potential theory," J. Comput. Phys., vol. 60, pp.<br />

187-207, 1985.<br />

[11] W. Hackbusch and Z. P. Nowak, “On the Fast Matrix Multiplication in the Boundary Element Method by Panel<br />

Clustering," Numer. Math. 54, pp. 463-491, 1989.<br />

[12] K. Nabors, J. White, “A Fast Multipole Algorithm <strong>for</strong> Capacitance Extraction of Complex 3-D Geometries"<br />

Proc. Custom Int. Circuits Conf., San Diego, Cali<strong>for</strong>nia, May 1989, p21.7.1-21.7.4.**<br />

[13] K. Nabors and J. White, “Fastcap: A multipole accelerated 3-D capacitance extraction program," IEEE<br />

Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 10, pp. 1447-1459, November<br />

1991.<br />

[14] M. Kamon, M. J. Tsuk, and J. White, “FastHenry, A Multipole-Accelerated 3-D Inductance Extraction<br />

Program," Proceedings of the 30th Design Automation Conference, Dallas, June 1993.**<br />

[15] M. Bachtold, J.G. Korvink, H. Baltes, “The Adaptive, Multipole-Accelerated BEM <strong>for</strong> the Computation of<br />

Electrostatic Forces," Proc. CAD <strong>for</strong> MEMS, Zurich, 1997, pp. 14.<br />

[16] K. Nabors, F. T. Korsmeyer, F. T. Leighton, and J. White. Preconditioned, adaptive, multipole-accelerated<br />

iterative methods <strong>for</strong> three-dimensional first-kind integral equations of potential theory. SIAM J. Sci. Statist.<br />

Comput., 15(3):713-735, 1994.<br />

[17] L. Greengard, V. Rokhlin, “A New Version of the Fast Multipole Method <strong>for</strong> the Laplace <strong>Equation</strong> in Three<br />

Dimensions," Acta Numerica, 1997, pp. 229-269.<br />

[18] A. Brandt and A. A. Lubrecht, “Multilevel matrix multiplication and fast solution of integral equations," J.<br />

Comp. Phys., vol. 90, pp. 348-370, 1990.


[19] J. R. Phillips and J. K. White, “<strong>Efficient</strong> capacitance extraction of 3D structures using generalized pre-corrected<br />

FFT methods," in Proceedings IEEE 3rd topical meeting on electrical per<strong>for</strong>mance of electronic packaging,<br />

November 1994.<br />

[20] G. Beylkin, R. Coifman, and V. Rokhlin. Fast wavelet trans<strong>for</strong>ms and numerical algorithms. Comm. Pure Appl.<br />

Math., XLIV:141-183, 1991.<br />

[21] W. Shi, J. Liu, N. Kakani, and T. Yu, A Fast Hierarchical Algorithm <strong>for</strong> 3-D Capacitance Extraction<br />

Proceeding of the 29th Design Automation Conference, San Francisco, CA, June, 1997, pp. 212-217.<br />

[22] J. Tausch and J. White “Precondition and Fast Summation Techniques <strong>for</strong> First-Kind Boundary Integral<br />

<strong>Equation</strong>s" Third IMACS International Symposion on Iterative Methods in Scientific Computation, Jackson Hole<br />

WY, Jul 9-12, 1997<br />

[23] L. T.Pillage and R. A. Rohrer. Asymptotic Wave<strong>for</strong>m Evaluation <strong>for</strong> Timing <strong>An</strong>alysis. IEEE Trans. CAD,<br />

9(4):352-366, April 1990.<br />

[24] Eli Chiprout and Michael Nakhla. Generalized Moment-Matching Methods <strong>for</strong> Transient <strong>An</strong>alysis of<br />

Interconnect Networks. In 29th ACM/IEEE Design Automation Conference, pages 201-206, <strong>An</strong>aheim, Cali<strong>for</strong>nia,<br />

June 1992.<br />

[25] J. E. Bracken, V. Raghavan, and R. A. Rohrer. Interconnect Simulation with Asymptotic Wave<strong>for</strong>m Evaluation.<br />

IEEE Trans. Circuits Syst., 39(11):869-878, November 1992<br />

[26] J. R. Phillips, E. Chiprout, and D. D. Ling, "<strong>Efficient</strong> full-wave electromagnetic analysis via model-order<br />

reduction of fast integral trans<strong>for</strong>ms," Proceedings of the 33rd Design Automation Conference, Las Vegas, NV, June<br />

1996.<br />

[27] Peter Feldmann and Roland W. Freund, “<strong>Efficient</strong> linear circuit analysis by Padé approximation via the<br />

Lanczos process", in EURO-DAC'94 with EURO-VHDL'94, September 1994.<br />

[28] K. Gallivan, E. Grimme, and P. Van Dooren. Asymptotic Wave<strong>for</strong>m Evaluation via a Lanczos Method. Applied<br />

Mathematics Letters, 7(5):75-80, 1994<br />

[29] L. Miguel Silveira, M. Kamon and J. White, “<strong>Efficient</strong> Reduced-Order Modeling of Frequency-Dependent<br />

Coupling Inductances associated with 3-D Interconnect Structures", Proceedings of the 32nd Design Automation<br />

Conference, pp. 376-380, San Francisco, CA, June, 1995.**<br />

[30] J. E. Bracken. Passive modeling of linear interconnect networks. IEEE Trans. on Circuits and Systems, (Part I:<br />

Fundamental Theory and Applications), to appear<br />

[31] A. Odabasioglu, M. Celik, and L. Pileggi. PRIMA: Passive Reduced-Order Interconnect Macromodeling<br />

Algorithm. IEEE Conference on ComputerAided Design, San Jose, CA, 1997<br />

[32] Y. Massoud and J. White, “Simulation and Modeling of the Effect of Substrate Conductivity on Coupling<br />

Inductance," Proc. Int. Electron Devices Meeting, Washington D.C., December 1995.**<br />

[33] J. Wang, J. Tausch, and J. White, “A Wide Frequency Range Surface Integral Formulation <strong>for</strong> 3-D Inductance<br />

and Resistance Extraction," To appear International Conference on Modeling and Simulation of Microsystems,<br />

Semiconductors, Sensors and Actuators, San Juan, April 1999<br />

[34] K. Gallivan, E. Grimme, and P. Van Dooren, “Multi-point Padé approximants of large-scale systems via a twosided<br />

rational Krylov algorithm", in 33rd IEEE Conference on Decision and Control, Lake Buena Vista, FL,<br />

December 1994.<br />

[35] Ibrahim M. Elfadel and D. D. Ling, “A block rational Arnoldi algorithm <strong>for</strong> multipoint passive model-order<br />

reduction of multiport RLC networks", in International Conference on Computer Aided-Design, San Jose,<br />

Cali<strong>for</strong>nia, November 1997.


DAC'99, pages 915-920<br />

IC <strong>An</strong>alyses Including Extracted Inductance Models†<br />

Michael W. Beattie, Lawrence T. Pileggi<br />

Carnegie Mellon University, Dept. of ECE, Pittsburgh, PA 15213<br />

Abstract<br />

IC inductance extraction generally produces either port inductances based on simplified current<br />

path assumptions or a complete partial inductance matrix. Combining either of these results with<br />

the IC interconnect resistance and capacitance models significantly complicates most IC design<br />

and verification methodologies. In this tutorial paper we will review some of the analysis and<br />

verification problems associated with on-chip inductance, and present a subset of recent results<br />

<strong>for</strong> partially addressing the challenges which lie ahead.<br />

Keywords: Interconnect; Inductance; Model Order Reduction.<br />

References<br />

[1] K. Kerns, I. Wemple, A. Yang, Stable and <strong>Efficient</strong> Reduction of Substrate Model Network using Congruence<br />

Trans<strong>for</strong>mation, Proc. ICCAD 1995 (Nov. 1995).<br />

[2] A. Odabasioglu, M. Celik, L. Pileggi, PRIMA: Passive Reduced-order Interconnect Macromodeling Algorithm,<br />

Proc. ICCAD 1997 (Nov. 1997).<br />

[3] L. Pillage, R. Rohrer, Asymptotic Wave<strong>for</strong>m Evaluation <strong>for</strong> Timing <strong>An</strong>alysis, IEEE. Trans. Computer-Aided<br />

Design, 9, No. 4 (Apr. 1990).<br />

[4] L. Silveria, M. Kamon, J. White, <strong>Efficient</strong> Reduced-Order Modeling of Frequency-Dependent Coupling<br />

Inductance Associated with 3-D Interconnect Structure, Proc. 32nd DAC (June 1995).<br />

[5] A. Deutsch, et al., Modeling and characterization of long on–chip interconnections <strong>for</strong> high–per<strong>for</strong>mance<br />

microprocessors, IBM J. Res. Dev., 39, No. 5, pg. 547–567 (Sept. 1995).<br />

[6] F. Grover, Inductance Calculations, Dover Publications, New York (1946).<br />

[7] M. Kamon, M. Tsuk, J. White, FASTHENRY: A Multipole Accelerated 3–D Inductance Extraction Program,<br />

IEEE Trans. Microwave Theory and Techniques, 42, No. 9, pp. 1750–1758 (Sept. 1994).<br />

[8] B. Krauter, L. Pileggi, Generating Sparse Partial Inductance Matrices with Guaranteed Stability, Proc. ICCAD<br />

1996 (Nov. 1996).<br />

[9] M. Beattie, L. Alatan, L. Pileggi, Equipotential Shells <strong>for</strong> <strong>Efficient</strong> Partial Inductance Extraction, Proc. 1998<br />

IEDM (Dec. 1998).<br />

[10] E. Rosa, The Self and Mutual Inductance of Linear Conductors, Bulletin of the National Bureau of Standards,<br />

4, pp. 301-344 (1908).<br />

[11]A. Ruehli, Inductance Calculations in a Complex Integrated Circuit Environment, IBM J. Res. Dev., 16, No. 5,<br />

pg. 470-481 (Sept. 1972).<br />

[12]W. Weeks, L. Wu, M. McAllister, A. Singh, Resistive and Inductive Skin Effect in Rectangular Conductors,<br />

IBM J. Res. Dev., 23, No. 6, pg. 652-660 (Nov. 1979).<br />

[13] R. Arunachalam, F. Dartu and L. Pileggi, CMOS Gate Delay Models <strong>for</strong> General RLC Loading, Proc. ICCD<br />

1997 (Oct. 1997).<br />

[14]M. Kamon, N. Marques, L. Silveira, J. White, Generating Reduced Order Models via PEEC <strong>for</strong> Capturing Skin<br />

and Proximity Effects, Proc. 6th Meeting on Electr. Per<strong>for</strong>m. of Electr. Packaging, San Jose (Nov. 1997).<br />

[15]D. Bailey, B. Benschneider, Clocking Design and <strong>An</strong>alysis <strong>for</strong> a 600–MHz Alpha Microprocessor, IEEE J.<br />

Solid–State Circuits, 33, No. 11 (Nov. 1998).


DAC'99, pages 921-926<br />

On-chip Inductance Issues in Multiconductor Systems<br />

Shannon V. Morton<br />

Alpha Development Group, Compaq Computer Corporation, Shrewsbury, MA 01545<br />

ABSTRACT<br />

As the family of Alpha microprocessors continues to scale into more advanced technologies with<br />

very high frequency edge rates and multiple layers of interconnect, the issue of characterizing<br />

inductive effects and providing a chip-wide design methodology becomes an increasingly<br />

complex problem. To address this issue, a test chip has been fabricated to evaluate various<br />

conductor configurations and verify the correctness of the simulation approach. The<br />

implementation of and results from this test chip are presented in this paper. Furthermore the<br />

analysis has been extended to the upcoming EV7 microprocessor, and important aspects of the<br />

derivation of its design methodology, as pertains to these inductive effects, are discussed.<br />

Keywords: Alpha microprocessor, semiconductor, interconnect, buses, inductance, resistance,<br />

capacitance, RLC, noise, cross-talk, transmission line.<br />

REFERENCES<br />

[1] H. Fair, D. Bailey, “Clocking Design and <strong>An</strong>alysis <strong>for</strong> a 600 MHz Alpha Microprocessor”, ISSCC Digest of<br />

Technical Papers, Feb 1998, pp. 398-399.<br />

[2] P. Gronowski, W. Bowhill, R. Preston, M. Gowan, R. Allmon, “High-Per<strong>for</strong>mance Microprocessor Design”,<br />

IEEE Journal of Solid-State Circuits, May 1998, pp. 676-686.<br />

[3] Y. I. Ismail, E. G. Friedman, J. L. Neves, “Figures of Merit to Characterize the Importance of On-Chip<br />

Inductance”, DAC’98, June 1998, pp. 560-565.<br />

[4] B. A. Gieseke et al., “A 600MHz Superscalar RISC Microprocessor with Out-Of-Order Execution”, ISSCC<br />

Digest of Technical Papers, Feb 1997, pp. 176-177.


DAC'99, pages 927-932<br />

A Methodology <strong>for</strong> Accurate Per<strong>for</strong>mance Evaluation in Architecture Exploration<br />

George Hadjiyiannis, Pietro Russo, Srinivas Devadas<br />

Laboratory <strong>for</strong> Computer Science, Massachusetts Institute of Technology<br />

Cambridge, MA 02139, USA<br />

Abstract<br />

We present a system that automatically generates a cycle-accurate and bit-true Instruction Level<br />

Simulator (ILS) and a hardware implementation model given a description of a target processor.<br />

<strong>An</strong> ILS can be used to obtain a cycle count <strong>for</strong> a given program running on the target<br />

architecture, while the cycle length, die size, and power consumption can be obtained from the<br />

hardware implementation model. These figures allow us to accurately and rapidly evaluate target<br />

architectures within an architecture exploration methodology <strong>for</strong> system-level synthesis.<br />

In an architecture exploration scheme, both the ILS and the hardware model must be generated<br />

automatically, else a substantial programming and hardware design ef<strong>for</strong>t has to be expended in<br />

each design iteration. Our system uses the ISDL machine description language to support the<br />

automatic generation of the ILS and the hardware synthesis model, as well as other related tools.<br />

References<br />

[1] G. Hadjiyiannis, S. Hanono, and S. Devadas. ISDL: <strong>An</strong> Instruction Set Description Language <strong>for</strong> Retargetability.<br />

In Proceedings of the Design Automation Conference, pages 299–302, June 1997.<br />

[2] S. Hanono and S. Devadas. Instruction Selection, Resource Allocation, and Scheduling in the AVIV<br />

Retargetable Code Generator. In Proceedings of the Design Automation Conference, pages 510–515, 1998.<br />

[3] G. Hadjiyiannis, S. Hanono, and S. Devadas. ISDL: <strong>An</strong> Instruction Set Description Language <strong>for</strong> Retargetability.<br />

Technical report, Massachusetts Institute of Technology, 1996. (http://www.ee.princeton.edu/spam/pubs/ISDL-<br />

TR.html).<br />

[4] G. I. Hadjiyiannis. ISDL: Instruction Set Description Language - Version 1.0. MIT Laboratory <strong>for</strong> Computer<br />

Science, July 1998. (http://www.caa.lcs.mit.edu/˜ghi/PostScript/isdl manual.ps).<br />

[5] P. Marwedel. The MIMOLA Design System: Tools <strong>for</strong> the Design of Digital Processors. In Proceedings of the<br />

21th Design Automation Conference, pages 587–593, 1984.<br />

[6] G. Zimmermann. The MIMOLA Design System: A computer Aided Digital Processor Design Method. In<br />

Proceedings of the 16th Design Automation Conference, pages 53–58, 1979.<br />

[7] A. Fauth, J. Van Praet, and M. Freericks. Describing Instruction Sets Using nML (Extended Version). Technical<br />

report, Technische Universit¨at Berlin and IMEC, Berlin (Germany)/Leuven (Belgium), 1995.<br />

[8] D. Lanneer et al. CHESS: Retargetable Code Generation <strong>for</strong> Embedded DSP Processors. In Code Generation <strong>for</strong><br />

Embedded Processors. Kluwer Academic Publishers, 1995.<br />

[9] M. A. Hartoog et al. Generation of Software Tools from Processor Descriptions <strong>for</strong> Hardware/Software<br />

Codesign. In Proceedings of the Design Automation Conference, pages 303–306, 1997.<br />

[10] V. Zivojnovic, S. Pees, and H. Meyr. LISA – Machine Description Language and Generic Machine Model <strong>for</strong><br />

HW/SW Co-Design. In Proceedings of 1996 IEEE Workshop on VLSI Signal Processing, 1996.<br />

[11] J. C. Gyllenhaal,W.W. Hwu, and B. R. Rau. HMDES Version 2.0 Specification. Technical Report IMPACT-<br />

96-3, University of Illinois, Urbana, 1996.<br />

[12] V. Kathail, M. S. Schlansker, and B. R. Rau. HPL PlayDoh Architecture Specification: Version 1.0. Technical<br />

Report HPL-93-80, Hewlett-Packard Laboratories, 1994.


DAC'99, pages 933-938<br />

LISA - Machine Description Language <strong>for</strong> Cycle-Accurate Models<br />

of Programmable DSP Architectures<br />

Stefan Pees 1 , <strong>An</strong>dreas Hoffmann 1 , Vojin Zivojnovic 2 , Heinrich Meyr 1<br />

1 Integrated Signal Processing Systems, Aachen University of Technology, Aachen, Germany<br />

2 AXYS Design Automation, Inc., Irvine, CA, USA<br />

Abstract<br />

This paper presents the machine description language LISA <strong>for</strong> the generation of bit-and cycle<br />

accurate models of DSP processors. <strong>Based</strong> on a behavioral operation description, the<br />

architectural details and pipeline operations of modern DSP processors can be covered. Beyond<br />

the behavioral model, LISA descriptions include other architecture-related in<strong>for</strong>mation like the<br />

instruction set. The in<strong>for</strong>mation provided by LISA models enables automatic generation of<br />

simulators and assemblers which are essential elements of DSP software development<br />

environments. in order to proof the applicability of our approach, a realized model of the Texas<br />

Instruments TMS320C6201 DSP is presented and derived LISA code examples are given.<br />

References<br />

[1] V. Zivojnovic, S. Pees, and H. Meyr, "LISA - machine description language and generic machine model <strong>for</strong><br />

HW/SW co-design," in Proceedings of the IEEE Workshop on VLSI Signal Processing, (San Francisco), Oct. 1996.<br />

[2] Texas Instruments, TMS320C62x/C67x CPU and Instruction Set Reference Guide, Mar. 1998.<br />

[3] J. Rowson, "Hardware/ Software co-simulation," in Proc. of the ACM/IEEE Design Automation Conference<br />

(DAC), 1994.<br />

[4] D. Bradlee, R. Henry, and S. Eggers, "The Marion system <strong>for</strong> retargetable instruction scheduling," in Proc. ACM<br />

SIGPLAN'91 Conference on Programming Language Design and Implementation, Toronto, Canada, pp. 229-<br />

240,1991.<br />

[5] B. Rau, "VLIW compilation driven by a machine description database," in Proc. 2nd Code Generation<br />

Workshop, Leuven, Belgium, 1996.<br />

[6] A. Fauth, J. Van Praet, and M. Freericks, "Describing instruction set processors using nML," in Proc. European<br />

Design and Test Conf, Paris, Mar. 1995.<br />

[7] M. Hartoog, J. Rowson, et al., "Generation of software tools from processor descriptions <strong>for</strong> hardware/software<br />

codesign," in Proc. of the ACM/IEEE Design Automation Conference (DAC), Jun. 1997.<br />

[8] W. Geurts, D. Lanneer, et al., "Design of DSP systems with Chess/Checkers," in 2nd Int. Workshop on Code<br />

Generation <strong>for</strong> Embedded Processors, (Leuven), Mar. 1996.<br />

[9] G. Hadjiyiannis, S. Hanono, and S. Devadas, "ISDL: <strong>An</strong> instruction set description language <strong>for</strong> retargetability,"<br />

in Proc. o f the ACM/IEEE Design Automation Conference (DAC), Jun. 1997.<br />

[10] V. Kathail, M. Schlansker, and B. Rau, "HPL PlayDoh Architecture Specification: Version 1.0," in HP<br />

Laboratories Technical Report HPL-93-80, Mar. 1994.<br />

[11] A. Halambi, P. Grun, et al., "EXPRESSION: A language <strong>for</strong> architecture exploration through compiler/<br />

simulator retarget ability," in Proceedings of the European Conference on Design, Automation and Test (DATE),<br />

Mar. 1999.<br />

[12] C. Siska, "A processor description language supporting retargetable multi-pipeline dsp program development<br />

tools," in Proceedings of the International Symposium on System Synthesis (ISSS), Dec. 1998.<br />

[13] S. Pees, V. Zivojnovic, A. Ropers, and H. Meyr, "Fast Simulation of the TI TMS 320C54x DSP," in Proc. Int.<br />

Con f . on Signal Processing Application and Technology (ICSPAT), (San Diego), pp. 995-999, Sep. 1997.<br />

[14] http://www.ert.rwth-aachen.de/lisa/lisa.html.


DAC'99, pages 939-944<br />

Exploiting Intellectual Properties in ASIP Designs <strong>for</strong> Embedded DSP Software<br />

Hoon Choi, Ju Hwan Yi, Jong-Yeol Lee, In-Cheol Park, and Chong-Min Kyung<br />

Department of Electrical Engineering,<br />

Korea Advanced Institute of Science and Technology, Taejon, Korea<br />

Abstract<br />

The growing requirements on the correct design of a high-per<strong>for</strong>mance system in a short time<br />

<strong>for</strong>ce us to use IP's in many designs. In this paper, we propose a new approach to select the<br />

optimal set of IP's and interfaces to make the application program meet the per<strong>for</strong>mance<br />

constraints in ASIP designs. The proposed approach selects IP's with considering interfaces and<br />

supports concurrent execution of parts of task in kernel as software code with others in IP's,<br />

while the previous state-of-the-art approaches do not consider IP's and interfaces simultaneously<br />

and cannot support the concurrent execution. The experimental results on real applications show<br />

that the proposed approach is effective in making application programs meet the per<strong>for</strong>mance<br />

constraints using IP's.<br />

References<br />

[1] M. Keating, “A Financial Model <strong>for</strong> Design Reuse,” http://www.synopsys.com/roi/, Sept. 1998.<br />

[2] R. Passerone, J. A. Rowson and A. Sangiovanni-Vincentelli, “Automatic Synthesis of Interfaces between<br />

Incompatible Protocols,” 35th Design Automation Conference, pp. 8-13, 1998.<br />

[3] J. Smith and G. De Micheli, “Automated Composition of Hardware Components,” 35th Design Automation<br />

Conference, pp. 14-19, 1998.<br />

[4] K. S. Chung, R. K. Gupta and C. L. Liu, “<strong>An</strong> Algorithm <strong>for</strong> Synthesis of System-Level Interface Circuits,”<br />

International Conference on Computer-Aided Design, pp. 442-447, 1996.<br />

[5] S. Narayan and D. Gajski, “Interfacing Incompatible Protocols using Interface Process Generation,” 32nd Design<br />

Automation Conference, pp. 468-473, 1995.<br />

[6] R. B. Ortega, L. Lavagno and G. Borriello, “Models and Methods <strong>for</strong> HW/SW Intellectual Property Interfacing,”<br />

NATO ASI Proceedings on System Synthesis, 1998.<br />

[7] P. Chou, R. B. Ortega, G. Borriello, “Synthesis of the Hardware/Software Interface in Microcontroller-<strong>Based</strong><br />

Systems,” International Conference on Computer-Aided Design, pp. 488-495, 1992.<br />

[8] A. Alomary, T. Nakata, Y. Honma, M. Imai and N. Hikichi, “<strong>An</strong> ASIP Instruction Set Optimization Algorithm<br />

with Functional Module Sharing Constraint,” International Conference on Computer-Aided Design, pp. 526-532,<br />

1993.<br />

[9] H. Choi, I.-C. Park, S. H. Hwang and C.-M. Kyung, “Synthesis of Application Specific Instructions <strong>for</strong><br />

Embedded DSP Software,” International Conference on Computer-Aided Design, pp. 665-671, 1998.<br />

[10] H. A. Taha, Operations Research, Prentice Hall, 1997, Chapter 9, pp. 367-373


DAC'99, pages 945-950<br />

MAELSTROM: <strong>Efficient</strong> Simulation-<strong>Based</strong> Synthesis <strong>for</strong> Custom <strong>An</strong>alog Cells<br />

Michael Krasnicki, Rodney Phelps, Rob A. Rutenbar, L. Richard Carley<br />

Department of Electrical and Computer Engineering<br />

Carnegie Mellon University, Pittsburgh, Pennsylvania 15213<br />

Abstract<br />

<strong>An</strong>alog synthesis tools have failed to migrate into mainstream use primarily because of<br />

difficulties in reconciling the simplified models required <strong>for</strong> synthesis with the industrialstrength<br />

simulation environments required <strong>for</strong> validation. MAELSTROM is a new approach that<br />

synthesizes a circuit using the same simulation environment created to validate the circuit. We<br />

introduce a novel genetic/ annealing optimizer, and leverage network parallelism to achieve<br />

efficient simulator-in-the-loop analog synthesis.<br />

REFERENCES<br />

[1] E. Ochotta, R.A. Rutenbar, L.R. Carley, “Synthesis of High-Per<strong>for</strong>mance <strong>An</strong>alog Circuits and ASTRX/OBLX,”<br />

IEEE Trans. CAD, vol. 15, no. 3, March 1996.<br />

[2] K.S. Kundert, The Designer’s Guide to SPICE & SPECTRE, Kluwer Academic Publishers, Kluwer Academic<br />

Publishers, 1995.<br />

[3] E. Ochotta, T. Mukherjee, R.A. Rutenbar, L.R. Carley, Practical Synthesis of High-Per<strong>for</strong>mance <strong>An</strong>alog<br />

Circuits, Kluwer Academic Publishers, 1998.<br />

[4] M. Degrauwe et al., “Towards an analog system design environment,” IEEE JSSC, vol. sc-24, no. 3, June 1989.<br />

[5] H.Y. Koh, C.H. Sequin, and P.R. Gray, “OPASYN: a compiler <strong>for</strong> MOS operational amplifiers,” IEEE Trans.<br />

CAD, vol. 9, no. 2, Feb. 1990.<br />

[6] G. Gielen, et al., “<strong>An</strong>alog circuit design optimization based on symbolic simulation and simulated annealing,”<br />

IEEE JSSC, vol. 25, June 1990.<br />

[7] F. Leyn, W. Daems, G. Gielen, W. Sansen, “A Behavioral Signal Path Modeling Methodology <strong>for</strong> Qualitative<br />

Insight in and <strong>Efficient</strong> Sizing of CMOS Opamps,” Proc. ACM/IEEE ICCAD, 1997.<br />

[8] P. C. Maulik, L. R. Carley, and R. A. Rutenbar, “Integer Programming <strong>Based</strong> Topology Selection of Cell Level<br />

<strong>An</strong>alog Circuits,” IEEE Trans. CAD, vol. 14, no. 4, April 1995.<br />

[9] W. Kruiskamp and D. Leenaerts, “DARWIN: CMOS Opamp Synthesis by Means of a Genetic Algorithm,”<br />

Proc. 32nd ACM/IEEE DAC, 1995.<br />

[10] R. Harjani, R.A. Rutenbar and L.R. Carley, “OASYS: a framework <strong>for</strong> analog circuit synthesis,” IEEE Trans.<br />

CAD, vol. 8, no. 12, Dec. 1989.<br />

[11] B.J. Sheu, et al., “A Knowledge-<strong>Based</strong> <strong>Approach</strong> to <strong>An</strong>alog IC Design,” IEEE Trans. Circuits and Systems,<br />

CAS-35(2):256-258, 1988.<br />

[12] E. Berkcan, et al., “<strong>An</strong>alog Compilation <strong>Based</strong> on Successive Decompositions,” Proc. of the 25th IEEE DAC,<br />

pp. 369-375, 1988.<br />

[13] J. P. Harvey, et al., “STAIC: <strong>An</strong> Interactive Framework <strong>for</strong> Synthesizing CMOS and BiCMOS <strong>An</strong>alog<br />

Circuits,” IEEE Trans. CAD, Nov. 1992.<br />

[14]C. Makris and C. Toumazou, “<strong>An</strong>alog IC Design Automation Part II--Automated CIrcuit Correction by<br />

Qualitative Reasoning,” IEEE Trans. CAD, vol. 14, no. 2, Feb. 1995.<br />

[15]A. Torralba, J. Chavez and L. Franquelo, “FASY: A Fuzzy-Logic <strong>Based</strong> Tool <strong>for</strong> <strong>An</strong>alog Synthesis,” IEEE<br />

Trans. CAD, vol. 15, no. 7, July 996.<br />

[16]G. Gielen, P. Wambacq, and W. Sansen, “Symbolic ANalysis Methods and Applications <strong>for</strong> <strong>An</strong>alog Circuits: A<br />

Tutorial Overview, “ Proc. IEEE, vol. 82, no. 2, Feb., 1990.<br />

[17] C.J. Shi, X. Tan, “Symbolic <strong>An</strong>alysis of Large <strong>An</strong>alog Circuits with Determinant Decision Diagrams,” Proc.<br />

ACM/IEEE ICCAD, 1997.<br />

[18]Q. Yu and C. Sechen, “A Unified <strong>Approach</strong> to the Approximate Symbolic <strong>An</strong>alysis of Large <strong>An</strong>alog Integrated<br />

Circuits,” IEEE Trans. Circuits and Sys., vol. 43, no. 8, August 1996.<br />

[19] F. Medeiro, F.V. Fernandez, R. Dominguez-Castro and A. Rodriguez-Vasquez, “ A Statistical Optimization<br />

<strong>Based</strong> <strong>Approach</strong> <strong>for</strong> Automated Sizing of <strong>An</strong>alog Cells,” Proc. ACM/IEEE ICCAD, 1994.


[20] S. Kirkpatrick, C.D. Gelatt, M.P. Vecchi, “Optimization by simulated annealing,” Science, vol. 220, no. 4598,<br />

13 May 183.<br />

[21]L. T. Pillage and R.A. Rohrer, “Asymptotic Wave<strong>for</strong>m Evaluation <strong>for</strong> Timing <strong>An</strong>alysis,” IEEE Trans. CAD,<br />

vol. 9. no. 4, April 1990.<br />

[22]W. Nye, et al., “DELIGHT.SPICE: an optimization-based system <strong>for</strong> the design of integrated circuits,” IEEE<br />

Trans. CAD, vol. 7, April 1988.<br />

[23]M. Krasnicki, “Generalized <strong>An</strong>alog Circuit Synthesis,” M.S. Thesis, Dept. of ECE, Carnegie Mellon, Dec. 1997.<br />

[24] K. Nakamura and L.R. Carley, “A current-based positive-feedback technique <strong>for</strong> efficient cascode<br />

bootstrapping,” Proc. VLSI Circuits Symposium, June 1991.<br />

[25] J.H. Holland. Adaptation in Nature and Artificial Systems, University of Michigan Press, <strong>An</strong>n Arbor, 1975.<br />

[26] S. W. Mahfoud and D.E. Goldberg, “Parallel Recombinative Simulated <strong>An</strong>nealing: A Genetic Algorithm,”<br />

Parallel Computing, vol. 21, 1995.<br />

[27] A. Geist, A. Beguelin, J. Dongarra, W. Jiang, R. Manchek, V. Sunderam. PVM: Parallel Virtual Machine A<br />

User’s Guide and Tutorial <strong>for</strong> Network Parallel Computing. MIT Press, 1994.<br />

[28]T. Mukherjee, L.R. Carley, R.A. Rutenbar, “Synthesis of Manufacturable <strong>An</strong>alog Circuits,” Proc. ACM/IEEE<br />

ICCAD, 1994.


DAC'99, pages 951-957<br />

Behavioral Synthesis of <strong>An</strong>alog Systems using Two-Layered Design Space Exploration<br />

Alex Doboli, Adrian Nunez-Aldana, Nagu Dhanwada, Sree Ganesan, and Ranga Vemuri<br />

Laboratory <strong>for</strong> Digital Design Environments, Department of ECECS,<br />

University of Cincinnati, Cincinnati, OH 45221<br />

Abstract<br />

This paper presents a novel approach <strong>for</strong> synthesis of analog systems from behavioral VHDL-<br />

AMS specifications. We implemented this approach in the VASE behavioral-synthesis tool. The<br />

synthesis process produces a netlist of electronic components that are selected from a component<br />

library and sized such that the overall area is minimized and the rest of the per<strong>for</strong>mance<br />

constraints such as power, slew-rate, bandwidth, etc. are met. The gap between system level<br />

specifications and implementations is bridged using a hierarchically-organized, design-space<br />

exploration methodology. Our methodology per<strong>for</strong>ms a two-layered synthesis, the first being<br />

architecture generation, and the other component synthesis and constraint trans<strong>for</strong>mation. For<br />

architecture generation we suggest a branch-and-bound algorithm, while component synthesis<br />

and constraint trans<strong>for</strong>mation use a Genetic Algorithm based heuristic method. Crucial to the<br />

success of our exploration methodology is a fast and accurate per<strong>for</strong>mance estimation engine that<br />

embeds technology process parameters, SPICE models <strong>for</strong> basic circuits and per<strong>for</strong>mance<br />

composition equations. We present a telecommunication application as an example to illustrate<br />

our synthesis methodology, and show that constraint-satisfying designs can be synthesized in a<br />

short time and with a reduced designer ef<strong>for</strong>t.<br />

References<br />

[1] “IEEE Standard VHDL Language Reference Manual (Integrated with VHDLAMS changes)”, IEEE Std.1076.1.<br />

[2] B.G.Arsintescu, E. Charbon, E. Malavasi, U. Choudhury,W.H. Kao, “GeneralAC Constraint Trans<strong>for</strong>mation <strong>for</strong><br />

<strong>An</strong>alog ICs”, Proc. of the 35th Design Automation Conference, pp.38-43, 1998.<br />

[3] P. Campisi, “ACMOS<strong>An</strong>alog Cell Library <strong>for</strong><strong>An</strong>alog Synthesis Systems”,Master of Science Thesis, University<br />

of Cincinnati, 1998.<br />

[4] L.R. Carley, G. Gielen, R. Rutenbar, W. Sansen, “Synthesis Tools <strong>for</strong> Mixed-Signal ICs: Progress on Frontend<br />

and Backend Strategies”, Proc. of the 33 rd Design Automation Conference, pp.298-303, 1996.<br />

[5] H. Chang et al, “A Top-Down Constraint Driven Methodology <strong>for</strong> <strong>An</strong>alog Integrated Circuits”, Kluwer<br />

Academic, 1997.<br />

[6] J. M. Cohn et al, “KOAN/ANAGRAM II: New Tools <strong>for</strong> Device-Level <strong>An</strong>alog Placement and Routing”, IEEE<br />

JSSC, Vol.26, Nr.3, March 1991.<br />

[7] N.R. Dhanwada, A. Nunez, R. Vemuri, “Hierarchical Constraint Trans<strong>for</strong>mation using Directed Interval Search<br />

<strong>for</strong> <strong>An</strong>alog Synthesis” , Proceedings of DATE’99, pp.328-335, 1999.<br />

[8] A. Doboli, R. Vemuri, “The Definition of a VHDL-AMS Subset <strong>for</strong> Behavioral Synthesis of <strong>An</strong>alog Systems”,<br />

IEEE/VIUF BMAS’98, 1998.<br />

[9] A. Doboli, A. Nunez-Aldana, N. Dhanwada, R. Vemuri, “VHIF - A Hierarchical Representation <strong>for</strong> Behavioral<br />

Synthesis of <strong>An</strong>alog Systems from VHDL-AMS”, Technical Report, DDEL, University of Cincinnati, April 1998.<br />

[10] A. Doboli, R. Vemuri, “A VHDL-AMS Compiler and Architecture Generator <strong>for</strong> Behavioral Synthesis of<br />

<strong>An</strong>alog Systems”, Proceedings of DATE’99, pp.338-345, 1999.<br />

[11] S. Donnay et al, “Using Top-Down CAD Tools <strong>for</strong> Mixed <strong>An</strong>alog/Digital ASICs: a Practical Design Case”,<br />

<strong>An</strong>alog Integrated Circuits and Signal Processing, pp.101-117, 1996.<br />

[12] S. Franco, “Design with Operational Amplifiers and <strong>An</strong>alog Integrated Circuits”, McGraw Hill, 1988.<br />

[13] M. Gen, R. Cheng, “Genetic Algorithms and Engineering Design”, John Wiley & Sons, 1997.<br />

[14] G. Gielen, H. Walscharts, W. Sansen, “<strong>An</strong>alog Circuit Design Optimization <strong>Based</strong> on Symbolic Simulation and<br />

Simulated <strong>An</strong>nealing”, IEEE Trans on Solid-State Circuits, Vol.25, No.3, pp.707-713, June 1990.<br />

[15] E. Horowitz, S. Sahni, “Fundamentals of Computer Algorithms”, Computer Science Press, 1985.


[16] D. Leenaerts, “Application of Interval <strong>An</strong>alysis <strong>for</strong> Circuit Design”, IEEE Transactions of Circuits and<br />

Systems, Vol.37, No.6, pp.803-807, June 1990.<br />

[17] M.del Mar Hershenson,S.Boyd,T.Lee,“CMOSOperational Amplifier Design and Optimization via Geometric<br />

Programming”,Proc. 1st Int’l Workshop on Design of Mixed-Mode Integrated Circuits and Applications, 1997.<br />

[18] A. Nunez and R. Vemuri, “<strong>An</strong> <strong>An</strong>alog Per<strong>for</strong>mance Estimator <strong>for</strong> Improving the Effectiveness of CMOS<br />

<strong>An</strong>alog System Circuit Synthesis”, Proceedings of DATE’99, pp.406-411, 1999.<br />

[19] W. Nye, D. Riley, A. Sangiovanni-Vincentelli, A. Tits, “DELIGHT.SPICE: an optimization-based system <strong>for</strong><br />

the design of integrated circuits”, IEEE Transaction on CAD, vol.7, No.4, pp.501-519, April 1988.<br />

[20] E. Ochotta, R. Rutenbar, R. Carley, “ASTRX/OBLX: Tools <strong>for</strong> Rapid Synthesis of High-Per<strong>for</strong>mance <strong>An</strong>alog<br />

Circuits”, Proc. of the 31st ACM/IEEE Design Automation Conference, pp.24-30, 1994.


DAC'99, pages 958-963<br />

Circuit Complexity Reduction <strong>for</strong> Symbolic <strong>An</strong>alysis of <strong>An</strong>alog Integrated Circuits<br />

Walter Daems Georges Gielen Willy Sansen<br />

Katholieke Universiteit Leuven, Department of Electrical Engineering, ESAT-MICAS<br />

B-3001 Heverlee, Belgium<br />

Abstract<br />

This paper presents a method to reduce the complexity of a linear or linearized (small-signal)<br />

analog circuit. The reduction technique, based on quality-error ranking, can be used as a standard<br />

reduction engine that ensures the validity of the resulting network model in a specific (set of)<br />

design point(s) within a given frequency range and a given magnitude and phase error. It can<br />

also be used as an analysis engine to extract symbolic expressions <strong>for</strong> poles and zeroes. The<br />

reduction technique is driven by analysis of the signal flow graph associated with the network<br />

model. Experimental results show the effectiveness of the approach.<br />

References<br />

[1] G.E. Alderson and P.M. Lin, “Computer generation of symbolic network functions: A new theory and<br />

implementation”, IEEE Trans. on Circuit Theory, vol. 20, no. (1), pp. 48–56, January 1973.<br />

[2] S.J. Seda, G.R. Degrauwe, and W. Fichtner, “A symbolic analysis tool <strong>for</strong> analog circuit design automation”, in<br />

Proc. IEEE/ACM ICCAD, Santa Clara, 1988, pp. 488–491.<br />

[3] G. Gielen, H. Walscharts, and W. Sansen, “ISAAC: a symbolic simulator <strong>for</strong> analog integrated circuits”, IEEE J.<br />

Solid-State Circuits, vol. 24, no. 6, pp. 1587–1597, Dec. 1989.<br />

[4] F.V. Fernández, A. Rodríguez-Vázquez, J.-L. Huertas, and G. Gielen, Symbolic <strong>An</strong>alysis Techniques:<br />

applications to analog design, IEEE Press, 1997.<br />

[5] C.A. Desoer and E.S. Kuh, Basic Circuit Theory, McGraw-Hill, Cali<strong>for</strong>nia, 1969.<br />

[6] P. Wambacq, F.V. Fernández, G. Gielen, W. Sansen, and A. Rodríguez-Vázquez, “<strong>Efficient</strong> symbolic<br />

computation of approximated small-signal characteristics”, IEEE J. Solid-State Circuits, vol. 30, no. 3, pp. 327–330,<br />

Mar. 1995.<br />

[7] F.V. Fernández, A. Rodríguez-Vázquez, and J.-L. Huertas, “Interactive ac modeling and characterization of<br />

analog circuits via symbolic analysis”, Kluwer J. <strong>An</strong>alog Integrated Circuits and Signal Processing, vol. 1, pp. 183–<br />

208, Nov. 1991.<br />

[8] Q. Yu and C. Sechen, “<strong>Efficient</strong> approximation of symbolic network functions using matroid intersection<br />

algorithms”, in Proc. 3rd Workshop on Symbolic Methods and Applications to Circuit Design, Sevilla, Oct. 1994,<br />

pp. 261–227.<br />

[9] Q. Yu and C. Sechen, “Approximate symbolic analysis of large analog integrated circuits”, in Proc. IEEE/ACM<br />

ICCAD, 1994, pp. 664–671.<br />

[10] R. Sommer, E. Hennig, G. Dröge, and E.-H. Horneber, “<strong>Equation</strong>-based symbolic approximation by matrix<br />

reduction with quantitative error prediction”, Alta Frequenza - Rivista Di Elettronica, vol. 5, no. 6, pp. 317–325,<br />

Nov. 1993.<br />

[11] S. Mason, “Feedback theory—some properties of signal flow graphs”, in Proc. IRE, Sept. 1953, pp. 1144–<br />

1156.<br />

[12] C.L. Coates, “Flow-graph solutions of linear algebraic equations”, in IRE Trans. Circuit Theory, June 1959,<br />

vol. 6, pp. 170–187.


DAC'99, pages 964-969<br />

Cycle and Phase Accurate DSP Modeling and Integration <strong>for</strong> HW/SW Co-Verification<br />

Lisa Guerra*, Joachim Fitzner**, Dipankar Talukdar*, Chris Schläger**, Bassam Tabbara+,<br />

Vojin Zivojnovic**<br />

*Conexant Systems, Newport Beach, CA 92660, USA<br />

**?AXYS GmbH, 52134 Herzogenrath, Germany<br />

+UC Berkeley EECS Dept., Berkeley, CA 94720, USA<br />

ABSTRACT<br />

We present our practical experience in the modeling and integration of cycle/phase-accurate<br />

instruction set architecture (ISA) models of digital signal processors (DSPs) with other hardware<br />

and software components. A common approach to the modeling of processors <strong>for</strong> HW/SW coverification<br />

relies on instruction-accurate ISA models combined (i.e. wrapped) with the bus<br />

interface models (BIM) that generate the clock/phase-accurate timing at the component's<br />

interface pins. However, <strong>for</strong> DSPs and new microprocessors with complex architectural features<br />

this approach is from our perspective not acceptable. The additional extensive modeling of the<br />

pipeline and other architectural details in the BIM would <strong>for</strong>ce us to develop two detailed<br />

processor models with a complex BIM API between them. We there<strong>for</strong>e propose an alternative<br />

approach in which the processor ISAs themselves are modeled in a full cycle/phase-accurate<br />

fashion. The bus interface model is then reduced to just modeling the connection to the pins. Our<br />

models have been integrated into a number of cycle-based and event-driven system simulation<br />

environments. We present one such experience in incorporating these models into a VHDL<br />

environment. The accuracy has been verified cycle-by-cycle against the gate/RTL level models.<br />

Multi-processor debugging and observability into the precise cycle-accurate processor state is<br />

provided. The use of co-verification models in place of the RTL resulted in system speedups up<br />

to 10 times, with the cycle-accurate ISA models themselves reaching per<strong>for</strong>mances of up to<br />

123K cycles/sec.<br />

REFERENCES<br />

[1] T. Albrecht, J. Notbauer, S. Rohringer, “HW/SW CoVerification Per<strong>for</strong>mance Estimation & Benchmark <strong>for</strong> a 24<br />

Embedded RISC Core Design,” DAC, pp. 808-811, 1998.<br />

[2] F. Balarin, M. Chiodo, P. Guisto, H. Hsieh, A. Jurecska, L. Lavagno, C. Passerone, A. Sangiovanni-Vincentelli,<br />

B. Tabbara, “Hardware-Software Co-Design of Embedded Systems: The POLIS <strong>Approach</strong>,” Kluwer Academic<br />

Publishers, 1997.<br />

[3] D. Becker, R. Singh, S. Tell, "<strong>An</strong> engineering environment <strong>for</strong> hardware/software co-simulation," DAC, pp. 129-<br />

134, 1992.<br />

[4] W.T. Chang, A. Kalavade, E. Lee, "Effective heterogenous design and co-simulation," NATO Advanced Study<br />

Institute Workshop on Hardware/software codesign, June 1995.<br />

[5] S. Coumeri, D. Thomas, "A simulation environment <strong>for</strong> hardware-software codesign," ICCD, pp. 58-63, 1995.<br />

[6] D. Ditzel, A. Berenbaum, “Using CAD tools in the design of CRISP,” IEEE Design & Test, 21-31, June 1987.<br />

[7] R. Earnshaw, L. Smith, K. Welton, " Challenges in crossdevelopment," IEEE Micro, pp. 28-36, July/Aug. 1997.<br />

[8] R. Gupta, C. Coelho, G. De Micheli, “Synthesis and simulation of digital systems containing interacting<br />

hardware and software components.” DAC, pp. 225-230, 1992.<br />

[9] R. Klein, “Miami: A hardware software co-simulation environment,” IEEE Int’l workshop on rapid system<br />

Prototyping, pp. 173-77, 1996<br />

[10] B. Lin, K. Van Rompaey, S. Vercauteren, D. Verkest, I. Bolsens, H. De Man, "Designing single chip systems,"<br />

ASIC , 1996.<br />

[11] G. Maturana, J. Ball, J. Gee, A. Iyer, J. M. O’Connor, “Incas: A cycle accurate model of UltraSPARC,” ICCD,<br />

pp. 130-135, 1995.<br />

[12] J. Rowson, "HW/SW co-simulation," DAC, pp. 439-440, 1994.


[13] B. Schnaider, E. Yogev, "Software development in a hardware simulation environment," DAC, pp. 684-689,<br />

1996.<br />

[14] Synopsys Eagle tool. http://www.synopsys.com/products/hwsw/.<br />

[15] V. Zivojnovic, H. Meyr, "Compiled HW/SW co-simulation,” DAC, pp. 690-695, 1996.<br />

[16] AXYS SuperSim simulators. http://www.axys.de/products.


DAC'99, pages 970-975<br />

A Study in Coverage-Driven Test Generation<br />

Mike Benjamin,<br />

STMicroelectronics, Bristol, BS32 4SQ, UK<br />

Daniel Geist, Alan Hartman, Yaron Wolfsthal<br />

IBM Science and Technology, Matam - Advanced Technology Center, 31905, Haifa, Israel<br />

Gerard Mas, Ralph Smeets<br />

STMicroelectronics, 38240, Meylan, France<br />

ABSTRACT<br />

One possible solution to the verification crisis is to bridge the gap between <strong>for</strong>mal verification<br />

and simulation by using hybrid techniques. This paper presents a study of such a functional<br />

verification methodology that uses coverage of <strong>for</strong>mal models to specify tests. This was applied<br />

to a modern superscalar microprocessor and the resulting tests were compared to tests generated<br />

using existing methods. The results showed some 50% improvement in transition coverage with<br />

less than a third the number of test instructions, demonstrating that hybrid techniques can<br />

significantly improve functional verification.<br />

Keywords: Functional verification, test generation, <strong>for</strong>mal models, transition coverage<br />

REFERENCES<br />

[1] A. Aharon, D. Goodman, M. Levinger, Y. Lichtenstein, Y. Malka, C. Metzger, M. Molcho, and G. Shurek. Test<br />

program generation <strong>for</strong> functional verification of PowerPC processors in IBM. In 32nd Design Automation<br />

Conference, DAC 95, pages 279–285, 1995.<br />

[2] F.Casaubieilh, A.McIsaac, M.Benjamin, M.Bartley, F.Pogodolla, F.Rocheteau, M.Belhadj, J.Eggleton, G.Mas,<br />

G.Barrett, C.Berthet, Functional Verification Methodology of Chameleon Processor. In DAC 96: 33rd Design<br />

Automation Conference, June 1996, Las Vegas.<br />

[3] D.L.Dill, What’s Between Simulation and Formal Verification?. In DAC 98: 35th Design Automation<br />

Conference, June 1999, San Francisco<br />

[4] D. Geist, M. Farkas, A. Landver, Y. Lichtenstein, S. Ur, and Y. Wolfsthal, Coverage directed test generation<br />

using symbolic techniques. In FMCAD 96, Nov. 1996, Palo Alto.<br />

[5] R. C. Ho, C. H. Yang, M. A. Horowitz, and D. L. Dill. Architecture validation <strong>for</strong> processors. In International<br />

Symposium of Computer Architecture 1995, pages 404–413, 1995<br />

[6] Murø: URL:http://sprout.stan<strong>for</strong>d.edu/dill/murphi.htm<br />

[7] 0-In Design Automation, URL:http://www.0-In.com/


DAC'99, pages 976-981<br />

IC Test Using the Energy Consumption Ratio<br />

Wanli Jiang, Bapiraju Vinnakota<br />

Department of Electrical and Computer Engineering<br />

University of Minnesota, Minneapolis, MN 55455<br />

Abstract<br />

Dynamic-current based test techniques can potentially address the drawbacks of traditional and<br />

Iddq test methodologies. The quality of dynamic current based test is degraded by process<br />

variations in IC manufacture. The energy consumption ratio (ECR) is a new metric that improves<br />

the effectiveness of dynamic current test by reducing the impact of process variations by an order<br />

of magnitude. We address several issues of significant practical importance to an ECR-based test<br />

methodology. We use the ECR to test a low-voltage submicron IC with a microprocessor core.<br />

The ECR more than doubles the effectiveness of the dynamic current test already used to test the<br />

IC. The fault coverage of the ECR is greater than that offered by any other test, including Iddq.We<br />

develop a logic-level fault simulation tool <strong>for</strong> the ECR and techniques to set the threshold <strong>for</strong> an<br />

ECR-based test process. Our results demonstrate that the ECR offers the potential to be a highquality<br />

low-cost test methodology. To the best of our knowledge, this is the first dynamic-current<br />

based test technique to be validated with manufactured ICs.<br />

References<br />

[1] S. Chakravarty, P.J. Thadikaran, “Simulation and Generation of I DDQ Tests <strong>for</strong> Bridging Faults in Combinational<br />

Circuits,” IEEE Trans. Comput., Vol. 45, No. 10, pp. 1131–1140, Oct., 1996.<br />

[2] T. Chen, I.N. Hajj, et al, “<strong>An</strong> <strong>Efficient</strong> I DDQ Test Generation Scheme <strong>for</strong> Bridging Faults in CMOS Digital<br />

Circuits,” Digest of Papers, IEEE Int. Workshop on I DDQ Testing, pp. 74–78, 1996.<br />

[3] J.T. Chang, E.J. McCluskey, “Detecting Bridging Faults in Dynamic CMOS Circuits,” Digest of Papers, IEEE<br />

Int. Workshop on I DDQ Testing, pp. 106–109, 1997.<br />

[4] M. Dalpasso, M. Favalli, and P. Olivo, “I DDQ Test Invalidation by Break faults,” Electronics Letters, Vol. 32, No.<br />

11, pp. 994–995, 1996.<br />

[5] T.W. Williams, R. Kapur, et al, “I DDQ Testing <strong>for</strong> High Per<strong>for</strong>mance CMOS—The Next Ten Years,” Proc.<br />

European Design and Test Conf., pp. 578–583, 1996.<br />

[6] M. Sachdev, “Deep Sub-micron I DDQ Testing: Issues and Solutions,” Proc. European Design and Test Conf., pp.<br />

271–278, 1997.<br />

[7] J. Beasely, H. Ramamurthy, J. Ramirez-<strong>An</strong>gulo, and M. Deyong, “Idd Pulse Response Testing: A Unified<br />

<strong>Approach</strong> to Testing Digital and <strong>An</strong>alogue ICs,” Electronics Letters, pp. 2101–2103, Nov. 25 1993.<br />

[8] S.-T. Su, R. Makki, and T. Nagle, “Transient Power Supply Current Monitoring—A New Test Method <strong>for</strong><br />

CMOS VLSI Circuits,” Journal of Electronic Testing: Theory and Applications, pp. 23–43, Feb. 1995.<br />

[9] J. A. Segura, M. Roca, D. Mateo, and A. Rubio, “<strong>An</strong> <strong>Approach</strong> to Dynamic Power Consumption Testing of<br />

CMOS ICs,” Proc. IEEE VLSI Test Sympos., pp. 95–100, April 1995.<br />

[10] J.F. Plusquellic, D.M. Chiarulli and S.P. Levitan, “Digital Integrated Circuit Testing Using Transient Signal<br />

<strong>An</strong>alysis,” IEEE ITC, pp. 481–490, 1996.<br />

[11] A. Walker, P.K. Lala, “<strong>An</strong> <strong>Approach</strong> <strong>for</strong> Detecting Bridging Fault-induced Delay Faults in Static CMOS<br />

Circuits Using Dynamic Power Supply Current Monitoring,” Digest of Papers, IEEE Int. Workshop on IDDQ<br />

Testing, pp. 73–77, 1997.<br />

[12] Y. Min, Z. Zhao, and Z. Li, “IDDT Testing,” Proc. Asian Test Sympos., pp. 378–382, 1997.<br />

[13] Bapiraju Vinnakota, “Monitoring Power Dissipation <strong>for</strong> Fault Detection”, Proc. IEEE VLSI Test Sympos., pp.<br />

483–488, Apr., 1996.<br />

[14] Bapiraju Vinnakota, Wanli Jiang, D. Sun, “Process-Tolerant Test with Energy Consumption Ratio”, Proc.<br />

IEEE ITC98, Oct., 1998.<br />

[15] J. Lin et al, “A Cell-based Power Estimation in CMOS Combinational Circuits,” Proc. IEEE Int. Conf.<br />

Computer-Aided Design, pp.304–309, 1994.


[16] H. Sarin, and A. McNelly, “A Power Modeling and Characterization Method <strong>for</strong> Logic Simulation,” Proc.<br />

IEEE Custom Integrated Circuits Conf., pp.363–366, 1995.<br />

[17] http://www.mosis.org, Mosis Web Site.


DAC'99, pages 982-987<br />

Design Strategy of On-Chip Inductors <strong>for</strong> Highly Integrated RF Systems<br />

C. Patrick Yue<br />

T-Span Systems Corporation, Palo Alto, CA 94301<br />

S. Simon Wong<br />

Stan<strong>for</strong>d University, Center <strong>for</strong> Integrated Systems, Stan<strong>for</strong>d, CA 94305<br />

ABSTRACT<br />

This paper describes a physical model <strong>for</strong> spiral inductors on silicon which is suitable <strong>for</strong> circuit<br />

simulation and layout optimization. Key issues related to inductor modeling such as skin effect<br />

and silicon substrate loss are discussed. <strong>An</strong> effective ground shield is devised to reduce substrate<br />

loss and noise coupling. A practical design methodology based on the trade-off between the<br />

series resistance and oxide capacitance of an inductor is presented. This method is applied to<br />

optimize inductors in state-of-the-art processes with multilevel interconnects. The impact of<br />

interconnect scaling, copper metallization and low-K dielectric on the achievable inductor<br />

quality factor is studied.<br />

Keywords: Spiral inductor, quality factor, skin effect, substrate loss, substrate coupling,<br />

patterned ground shield, interconnects<br />

REFERENCES<br />

[1] N.M. Nguyen and R.G. Meyer, “Si IC-compatible inductors and LC passive filters,” IEEE Journal of Solid-State<br />

Circuits, vol. 25, no. 4, pp. 1028-?1030, August 1990.<br />

[2] D. Lovelace, N. Camilleri, and G. Kannell, “Silicon MMIC inductor modeling <strong>for</strong> high volume, low cost<br />

applications,” Microwave Journal, pp. 60-71, August 1994.<br />

[3] J. Crols, P. Kinget, J. Craninckx, and M.S.J. Steyaert, “<strong>An</strong> analytical model of planar inductors on lowly doped<br />

silicon substrates <strong>for</strong> high frequency analog design up to 3 GHz,” in 1996 Symposium on VLSI Circuits Digest of<br />

Technical Papers, pp. 28?-29, June 1996.<br />

[4] C.P. Yue, C. Ryu, J. Lau, T.H. Lee, and S.S. Wong, “A physical model <strong>for</strong> planar spiral inductors on silicon,” in<br />

1996 International Electron Devices Meeting Technical Digest, pp. 155?-158, December 1996.<br />

[5] J.R. Long and M.A. Copeland, “The modeling, characterization, and design of monolithic inductors <strong>for</strong> silicon<br />

RF IC’s,” Journal of Solid-State Circuits, vol. 32, no. 3, pp. 357?-369, March 1997.<br />

[6] A.M. Niknejad and R.G. Meyer, “<strong>An</strong>alysis and optimization of monolithic inductors and trans<strong>for</strong>mers <strong>for</strong> RF<br />

ICs,” in Proceedings of the IEEE 1997 Custom Integrated Circuits Conference, pp. 375?-378, May 1997.<br />

[7] J.Y.-C. Chang, A.A. Abidi, and M. Gaitan, “Large suspended inductors on silicon and their use in a 2-mm<br />

CMOS RF amplifier,” IEEE Electron Device Letters, vol. 14, no.5, pp. 246-248, May 1993.<br />

[8] K.B. Ashby, I.A. Koullias, W.C. Finley, J.J. Bastek, and S. Moinian, “High Q inductors <strong>for</strong> wireless applications<br />

in a complementary silicon bipolar process,” IEEE Journal of Solid-State Circuits, vol. 31, no. 1, pp. 4-?9, January<br />

1996.<br />

[9] J.N. Burghartz, M. Soyuer, and K.A. Jenkins, “Integrated RF and microwave components in BiCMOS<br />

technology,” IEEE Transactions on Electron Devices, vol. 43, no. 9, pp. 1559?-1570, September 1996.<br />

[10] C.P. Yue and S.S. Wong, “On-chip spiral inductors with patterned ground shields <strong>for</strong> Si-based RF IC’s,” IEEE<br />

Journal of Solid-State Circuits, vol. 33, no. 5, pp.743?-752, May 1998.<br />

[11] T.D. Stetzler, I.G. Post, J.H. Havens, and M. Koyama, “A 2.7?4.5 V single chip GSM transceiver RF integrated<br />

circuit,” IEEE Journal of Solid-State Circuits, vol. 30, no. 12, pp. 1421-?1429, December 1995.<br />

[12] R.G. Meyer, W.D. Mack, and J.J.E.M. Hagreraats “A 2.5-GHz BiCMOS transceiver <strong>for</strong> wireless LAN’s,” IEEE<br />

Journal of Solid-State Circuits, vol. 32, no. 12, pp. 2097-?2104, December 1997.<br />

[13] D.K. Shaeffer, A.R. Shahani, S.S. Mohan, H. Samavati, H. Rategh, M.M. Hershenson, M. Xu, C.P. Yue, D.<br />

Eddleman, and T.H. Lee, "A 115-mW, 0.5-µm CMOS GPS receiver with wide dynamic-range active filters," IEEE<br />

Journal of Solid-State Circuits, vol. 33, no. 12, pp. 2219-?2231, December 1998.<br />

[14] F.W. Grover, Inductance Calculations, Princeton, New Jersey: Van Nostrand, 1946. Reprinted by New York,<br />

New York: Dover Publications, 1962.


[15] H.M. Greenhouse, “Design of planar rectangular microelectronic inductors,” IEEE Transactions on Parts,<br />

Hybrids, and Packing, vol. PHP-10, no. 2, pp. 101?-109, June 1974.<br />

[16] Maxwell 2D Parameter Extractor User’s Reference, <strong>An</strong>soft Corporation, 1997.<br />

[17] C.P. Yue and S.S. Wong, “A study on substrate effects of silicon-based RF passive components,” in 1999 MTT-<br />

S International Microwave Symposium Digest, June 1999.<br />

[18] National Technology Roadmap <strong>for</strong> Semiconductors, SIA, 1997.


DAC'99, pages 988-993<br />

The Simulation and Design of Integrated Inductors<br />

N.R. Belk 1 , M.R.Frei 2 , M. Tsai 2 , A.J. Becker 2 , K.L. Tokuda 2<br />

1 Bell Laboratories, Lucent Technologies, Holmdel NJ 07733<br />

2 Bell Laboratories, Lucent Technologies, Murray Hill, NJ 07974<br />

ABSTRACT<br />

At present there are two common types of integrated circuit inductor simulation tools. The first<br />

type is based on the Greenhouse methods[1], and obtains a solution in a fraction of a second;<br />

however, because it does not use solutions of the inductor charge and current distributions, it has<br />

limited accuracy. The second type, method of moments (MoM) solvers, determines the charge<br />

and current variations by decomposing the inductor into thousands of sub elements and solving a<br />

matrix. However, this process takes between minutes and hours to obtain a reasonably accurate<br />

solution. In this paper, we present a series of algorithms <strong>for</strong> solving inductors, of radius small<br />

compared to the wave length of the electrical signal, that equal or exceed the accuracy of MoM<br />

solvers, but obtain those solutions in roughly 1 second.<br />

REFERENCES<br />

[1]E. Pettenpaul, et al., IEEE trans.on microwave theory and techniques,36(2):294-304, Feb 1988.<br />

[2]Sommerfeld, A. 1949. Partial Differential <strong>Equation</strong>s in Physics. New York:Acedemic Press.<br />

[3]Born, M. and E. Wolf. 1980 Principles of Optics. New York:Pergamon Press.<br />

[4]Bellman, R., and G. M. Wing. 1975. <strong>An</strong> Introduction to invariant Imbedding. New York:John Wiley & Sons.<br />

[5]Chew, W.C., 1995 Waves and Fields in Inhomogeneous Media. IEEE Press Piscataway, NJ.<br />

[6]R.L. Remke and G.A. Burdick, Spiral Inductors <strong>for</strong> Hybrid and MicroWave Applications, in Proc. 24th Electron<br />

Components Conf. (Washington, DC) May 1974, pp. 152-161.<br />

[7]U.A. Shivastava, Fast and Accurate Algorithms of Self and Mutual Inductances of Rectangular Conductors, 8th<br />

<strong>An</strong>n. Int. elect. Packag. Conf., IEPS-8, pp. 488-507, Nov.1988.


DAC'99, pages 994-998<br />

Optimization of Inductor Circuits via Geometric Programming<br />

Maria del Mar Hershenson, Sunderarajan S. Mohan, Stephen P. Boyd, Thomas H. Lee<br />

Electrical Engineering Department, Stan<strong>for</strong>d University, Stan<strong>for</strong>d CA 94305<br />

Abstract<br />

We present an efficient method <strong>for</strong> optimal design and synthesis of CMOS inductors <strong>for</strong> use in<br />

RF circuits. This method uses the the physical dimensions of the inductor as the design<br />

parameters and handles a variety of specifications including fixed value of inductance, minimum<br />

self-resonant frequency, minimum quality factor, etc. Geometric constraints that can be handled<br />

include maximum and minimum values <strong>for</strong> every design parameter and a limit on total area.<br />

Our method is based on <strong>for</strong>mulating the design problem as a special type of optimization<br />

problem called geometric programming, <strong>for</strong> which powerful efficient interior-point methods<br />

have recently been developed. This allows us to solve the inductor synthesis problem globally<br />

and extremely efficiently.Also, we can rapidly compute globally optimal trade-off curves<br />

between competing objectives such as quality factor and total inductor area.<br />

We have fabricated a number of inductors designed by the method, and found good agreement<br />

between the experimental data and the specifications predicted by our method.<br />

References<br />

[1] C. P. Yue et al. A physical model <strong>for</strong> planar spiral inductors on silicon. In Proceedings IEEE IEDM’96, 1996.<br />

[2] R. J. Duffin, E. L. Peterson, and C. Zener. Geometric Programming — Theory and Applications. Wiley, 1967.<br />

[3] C. P. Yue and S. S. Wong. On-chip spiral inductors with patterned ground shields <strong>for</strong> Si-based RF IC’s. IEEE<br />

Journal of solid-state circuits, 33(5):743–752, May 1998.<br />

[4] J. R. Long and M. A. Copeland. The modeling, characterization, and design of monolithic inductors <strong>for</strong> silicon<br />

RF IC’s. IEEE Journal of Solid-State Circuits, 32(3):357–369, March 1997.<br />

[5] S. S. Mohan et al. Simple accurate expressions <strong>for</strong> planar spiral inductances. Submitted to IEEE Journal of<br />

Solid-State Circuits, http://smirc.stan<strong>for</strong>d.edu/, 1999.<br />

[6] Thomas H. Lee. The design of CMOS radio-frequency integrated circuits. Cambridge University Press, 1998.<br />

[7] M. Hershenson, S. Boyd, and T. H. Lee. GPCAD: A tool <strong>for</strong> CMOS op-amp synthesis. In Proceedings of the<br />

IEEE/ACMInternational Conference on Computer Aided Design, San Jose, CA, November 1998.


DAC'99, pages 999-1000<br />

Panel: What is the Proper System on Chip Design Methodology?<br />

Chair: Richard Goering - EE Times, Felton, CA<br />

Panel Members: Pierre Bricaud, James G. Dougherty, Steve Glaser, Michael Keating,<br />

Robert Payne, Davoud Samani<br />

Over the past year two distinct answers have emerged regarding SoC design methodologies. On<br />

the one hand, it is posited in the Reuse Methodology Manual, that a logic synthesis-based design<br />

methodology can be used effectively to develop system chips. <strong>An</strong> alternative methodology<br />

focuses on integration (or "reference") plat<strong>for</strong>ms and the customization of the basic applicationspecific<br />

plat<strong>for</strong>m through the addition of selected SW and/or HW IP blocks. This panel session<br />

will debate the merits of these seemingly incompatible proposed SoC methodologies.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!