11.01.2013 Views

Derwent World Patents Index (DWPI) - EPI ... - Thomson Reuters

Derwent World Patents Index (DWPI) - EPI ... - Thomson Reuters

Derwent World Patents Index (DWPI) - EPI ... - Thomson Reuters

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

adiation treatment U11-C03A<br />

U11-C03E<br />

recrystallisation U11-C03J1<br />

resist layer processing U11-C04A1F<br />

resistive layer formation U11-C05G<br />

scanning tunnelling microscope processing<br />

U11-C11<br />

self-assembly-monolayer deposition<br />

U11-C12<br />

sputtering deposition appts. U11-C09A<br />

strained layer U11-C01J6A<br />

temperature measuring U11-F01A4<br />

treatment producing localised depth profile<br />

U11-C03J7<br />

trimming, circuit repair U11-C19A<br />

vacuum apparatus U11-C09Q<br />

vacuum appts. U11-C09Q<br />

viral deposition U11-C12<br />

wafer charging prevention during mfr.<br />

U11-C10<br />

wafer identification U11-C15A<br />

wafer labelling U11-C15A<br />

wafer shaping U11-C15A<br />

waste processing U11-C15Q<br />

water purification U11-C15B3<br />

Semiconductor resistor U12-C03A<br />

Semiconductor structures U12-E01<br />

chalcogenide/chalcopyrite compounds<br />

U12-E01A4<br />

heterojunctions U12-E01B1<br />

quantum well, wire, supperlatice U12-E01B2<br />

silicon on insulator U12-E01A5<br />

with AII-BVI compounds U12-E01A2<br />

with AIII-BV compounds U12-E01A1<br />

with AIV elements and their compounds<br />

U12-E01A3<br />

Semiconductor wafer<br />

circuit testing S01-G02B1<br />

U11-F01D<br />

flatness measurements U11-F01A3<br />

point defects, dust measurements by<br />

beam scanning U11-F01B2<br />

Semiconductors<br />

abrasives U11-A10<br />

adhesives U11-A09<br />

annealing for U11-C03J2A<br />

bipolar U12-D01<br />

blanket treatment, heat/radiation U11-C03J3<br />

built-in self test U11-F01D2<br />

carrier concentration measurement U11-F01A1<br />

carrier mobility measurement U11-F01A1<br />

chalcogenide/chalcopyrite, solar cell<br />

U12-A02A2E<br />

chemical analysis U11-F01A5<br />

cleaners U11-A10<br />

conductive materials U11-A08B<br />

conductive materials (inorganic) U11-A08B2<br />

conductive materials (organic) U11-A08B1<br />

containers U11-D01<br />

cooling arrangements U11-D02<br />

defects, control U11-C03J2B<br />

deposition of U11-C01<br />

developers U11-A11<br />

dielectric materials U11-A08A<br />

dielectric test U11-F01A9<br />

dislocations, measurement U11-F01A2<br />

<strong>EPI</strong> Manual Codes 2011 1145<br />

Part 3<br />

dopants U11-A01M<br />

doping U11-C02J<br />

encapsulants U11-A07<br />

etchants U11-A10<br />

etching U11-C07<br />

film measurement, by beam scanning<br />

U11-F01B2<br />

film measurement, during processing<br />

U11-F01B1<br />

film measurement, optical/electron<br />

microscopic examination U11-F01B4<br />

gases, for mfr U11-A12<br />

gettering U11-C03J2B<br />

handling U11-F02<br />

headers U11-D01<br />

heating arrangements U11-D02<br />

impurity reduction U11-C03J2A<br />

indirect bandgap, LED U12-A01A1D<br />

insulating materials for dielectric layer<br />

U11-A08A<br />

insulators U11-A08A<br />

layer formation U11-C05<br />

lead arrangements U11-D03<br />

lead attaching U11-E01<br />

lithography U11-C04<br />

measuring, positioning U11-F<br />

mountings U11-D<br />

packages U11-D<br />

polishers U11-A10<br />

positioning U11-F02B<br />

precursor material for deposition process<br />

U11-A13<br />

recrystallising layer U11-C03J1<br />

sealants U11-A07<br />

temperature measuring U11-F01A4<br />

terminal arrangements U11-D03<br />

terminals U11-D<br />

testing U11-F01<br />

testing apparatus U11-F01D3<br />

testing IC packaged device U11-F01C3<br />

testing of manufacturing apparatus U11-C09F1<br />

testing probes for IC packaged device<br />

U11-F01C3<br />

testing probes, for wafer level testing<br />

U11-F01D1<br />

testing using electron microscope U11-F01D3<br />

testing, at wafer level U11-F01D<br />

unipolar U12-D02<br />

ventilating arrangements U11-D02<br />

wafer holders U11-F02A1<br />

U11-F02A2<br />

Sense amplifier for memories U14-A07A<br />

Sensing<br />

digital mark T04-A03<br />

Sensitiser<br />

electrophotography, charge application<br />

S06-E02<br />

material in photoconductor S06-E01A3<br />

Sensor<br />

addressing, telemetry W05-D02J<br />

W05-D08E<br />

compensation S02-K02<br />

heat S03-A03<br />

image, facsimile S06-D05<br />

image, video camera W04-M01B5<br />

inductive V02-G01E

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!