11.01.2013 Views

Derwent World Patents Index (DWPI) - EPI ... - Thomson Reuters

Derwent World Patents Index (DWPI) - EPI ... - Thomson Reuters

Derwent World Patents Index (DWPI) - EPI ... - Thomson Reuters

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

1144 Subject <strong>Index</strong><br />

simulation U11-F01<br />

U11-G<br />

testing, at wafer or die level S01-G02B1<br />

U11-F01D<br />

testing, completed (encapsulated) S01-G02B5<br />

U11-F01C<br />

unipolar U12-D02<br />

Semiconductor devices, interconnections<br />

- see Interconnections for<br />

semiconductor devices<br />

Semiconductor film measurement U11-F01B<br />

by beam scanning U11-F01B2<br />

during processing U11-F01B1<br />

in reaction vessel U11-F01B1<br />

optical/electron microscopic examination<br />

U11-F01B4<br />

thickness U11-F01B5<br />

using image recognition U11-F01B3<br />

Semiconductor inductor U12-C03B<br />

Semiconductor lasers U12-A01B<br />

V08-A04A<br />

arrays U12-A01B1J<br />

V08-A04A<br />

V08-A07<br />

bias circuit U12-A01B4<br />

V08-A02A<br />

characterised by material (excludes<br />

AIII-BV compounds) U12-A01B6<br />

V08-A01D<br />

V08-A04A<br />

connection to optical fibre U12-A01C<br />

V07-G10C<br />

control circuitry U12-A01B4<br />

V08-A03<br />

V08-A04A<br />

distributed feedback U12-A01B1<br />

V08-A04A<br />

drive circuitry U12-A01B4<br />

V08-A04A<br />

V08-A02A<br />

electrode formation U11-C05F6<br />

heterojunction U12-A01B1A<br />

V08-A01A<br />

V08-A04A<br />

heterojunction, double U12-A01B1B1<br />

homojunction U12-A01B1<br />

manufacture U12-A01B2<br />

V08-A04A<br />

packages U12-A01B3<br />

V08-A04A<br />

packages with cooling arrangements<br />

U12-A01B3A<br />

V08-A04A<br />

V08-A05<br />

ridge waveguide U12-A01B1A<br />

V08-A01A<br />

V08-A04A<br />

with quantum well U12-A01B1B<br />

V08-A01A<br />

V08-A04A<br />

Semiconductor materials U11-A01<br />

AI-BIII-CVI compounds U11-A01X<br />

AII-BIV-CV compounds U11-A01X<br />

AII-BVI compounds U11-A01C<br />

AIII-BV compounds U11-A01B<br />

AIV elements, compounds U11-A01D<br />

AIV-BVI compounds U11-A01X<br />

chemical analysis U11-F01A5<br />

for layer deposition U11-C01J<br />

organic U11-A01F<br />

silicon U11-A01A<br />

silicon, porous U11-A01A1<br />

Semiconductor memories U14-A<br />

U13-C04<br />

Semiconductor on insulator - see SOI<br />

Semiconductor processing U11-C<br />

AII-BVI compounds, doping U11-C02J1B<br />

AII-BVI compounds, etching U11-C07C4B<br />

AII-BVI compounds, layer deposition<br />

U11-C01J3B<br />

AII-BVI compounds, treatment U11-C03J8B<br />

AIII-BV compounds, etching U11-C07C4A<br />

AIII-BV compounds, layer deposition<br />

U11-C01J3A<br />

AIII-BV compounds, treatment U11-C03J8A<br />

AIV element/compound, etching U11-C07C4C<br />

AIV elements and compounds, doping<br />

U11-C02J1C<br />

AIV elements and their compounds,<br />

treatment U11-C03J8C<br />

AIV elements, compounds, layer deposition<br />

U11-C01J4A<br />

annealing U11-C03J2A<br />

beam treatment U11-C03B<br />

chemical analysis U11-F01A5<br />

chemical vapour deposition appts. U11-C09B<br />

chemical vapour deposition, insulating<br />

layer U11-C05B2<br />

chemical vapour deposition,<br />

semiconductor layer U11-C01B<br />

conductive layer etching U11-C07C2<br />

conductive layer formation U11-C05C<br />

deposition of semiconductor layer U11-C01<br />

doping U11-C02<br />

electrical treatment U11-C03C<br />

etching U11-C07<br />

etching techniques U11-C07D<br />

etching, silicon layer U11-C07C1<br />

gettering U11-C03J2B<br />

heat treatment appts. U11-C03A<br />

IC copying and use protection U11-C19B<br />

insulating layer etching U11-C07C3<br />

interconnection formation U11-C05D<br />

laser treatment U11-C03D<br />

layer formation U11-C05<br />

lithography U11-C04<br />

localised beam treatment U11-C03J5<br />

localised radiation treatment U11-C03J5<br />

manufacturing control (general) U11-C15D<br />

multi-chamber appts. U11-C09M<br />

nitridation U11-C05B1<br />

optical/electron microscopic examination<br />

U11-F01B4<br />

oxidation U11-C05B1<br />

packaging U11-D01<br />

physical deposition U11-C01A<br />

physical deposition, insulating layer U11-C05B2<br />

plasma apparatus U11-C09C<br />

V05-F05C<br />

X14-F02<br />

plasma treatment U11-C03C<br />

process control U11-C15C<br />

process simulation U11-C15C

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!