Newsletter_07-2024_EN
You also want an ePaper? Increase the reach of your titles
YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.
<strong>EN</strong> <strong>07</strong>/24<br />
Receiving ISO 3 cleanroom<br />
certification for<br />
CTL Linear Units
TITLE<br />
Receiving ISO 3<br />
cleanroom certification<br />
for CTL Linear Units<br />
UNIMOTION, leader in the field of linear and drive technology,<br />
announces that its CTL linear units have been awarded<br />
ISO 3 and ISO 5 clean room certifications. This certification<br />
confirms that the CTL linear units meet the strict standards in<br />
cleanrooms up to ISO 3 class and are therefore ideally suited<br />
for applications that are operated in controlled cleanroom environments.<br />
July <strong>2024</strong><br />
Dear cleanroom professionals,<br />
While the European Football Championship<br />
is going on in the background, our team has<br />
collected many interesting articles and prepared<br />
them for you in an easy-to-read and clear format.<br />
On the following pages you can find out more<br />
about<br />
“This certification is a testament to the superior quality, reliability and<br />
linear positioning cleanliness of our CTL linear units. Our customers in<br />
industries with the highest environment cleanliness requirements can<br />
now utilize our technology with even greater confidence.” – Peter Grilc,<br />
CEO.<br />
The ISO 3 and ISO 5 classifications, which measure particle concentration<br />
in the environment, are critical for industries where the slightest<br />
contamination can disrupt the manufacturing process.<br />
Application in Cleanroom Environments<br />
With ISO 3 and ISO 5 certification, the CTL linear units are suitable for<br />
use in environments where the lowest particulate emissions are required.<br />
This is particularly important in industries such as semiconductor<br />
manufacturing, pharmaceuticals or medical technology, where even the<br />
smallest particles can cause considerable damage to products or even<br />
render them unusable.<br />
This certification ensures that the use of the linear units does not<br />
compromise the strict cleanliness standards required in critical manufacturing<br />
processes. It also extends their applicability in advanced technology<br />
sectors that require precise environmental control and high accuracy.<br />
With this certification, UNIMOTION underlines its commitment<br />
to product details and its ability to meet the demanding requirements<br />
of modern industry. The certification ensures that the CTL linear units<br />
meet the highest cleanliness standards required for sensitive and precise<br />
positioning in clean rooms.<br />
> Receiving ISO 3 cleanroom certification<br />
for CTL Linear Units<br />
> Quantum sensor improves process and<br />
quality control by analyzing particles<br />
in real time<br />
> Cleaning & Disinfection according to<br />
new Annex 1: An interview with Tim Sandle<br />
> Unique look behind the scenes<br />
of AT&S research<br />
> Cleanzone <strong>2024</strong>: Networking<br />
and Knowledge Transfer<br />
> Enhancing sustainability through<br />
accurate biogas upgrading assessment<br />
> Superconductor technology: Contactless<br />
working in the laboratory of the future<br />
> ACHEMA <strong>2024</strong> sets the tone for a more<br />
sustainable and competitive process industry<br />
> . . .<br />
With kind regards<br />
Reinhold Schuster<br />
UNIMOTION GmbH / UNIMOTION<br />
D 65510 Idstein<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 2/28
Quantum sensor improves<br />
process and quality control<br />
by analyzing particles in real time<br />
The properties of raw materials and feedstock<br />
play a decisive role in analysis and production<br />
processes as well as in laboratory<br />
and process technology. Detailed information<br />
about the size, number, composition<br />
and distribution of particles contribute to<br />
process control and quality assurance. At<br />
Analytica in Munich, the Stuttgart-based<br />
high-tech start-up Q.ANT is showcasing a<br />
compact particle sensor for industrial applications<br />
that can be used to monitor these<br />
parameters in real time. The patented sensor,<br />
which is based on quantum technology,<br />
offers real added value for numerous sectors:<br />
from additive manufacturing, biotechnology,<br />
the ceramics industry, the materials<br />
and chemical industry to water treatment,<br />
medical technology and cosmetics.<br />
Accelerated shape detection without<br />
camera<br />
Instead of taking samples and analyzing<br />
them in the laboratory, which is still standard<br />
in many industries, users receive immediate<br />
feedback on the quality and composition<br />
of ingredients. The sensor detects<br />
and analyses particles in raw materials and<br />
feedstocks, regardless of the medium, which<br />
can be liquid, gaseous or powdery. Process<br />
monitoring, process optimization and quality<br />
control are thus possible in real time. In<br />
addition to the size, number and distribution<br />
of individual particles, the sensor developed<br />
by Q.ANT will also be able to classify particle<br />
shapes in real time in the future. This is<br />
made possible by the quantum technology<br />
used in conjunction with an AI that can be<br />
trained to differentiate between predefined<br />
shapes, such as elliptical or spherical particles<br />
and round particles, which can also<br />
be used to identify agglomerates. For this<br />
purpose, the AI must be trained for specific<br />
“use cases”.<br />
Numerous fields of application<br />
There are many industries and fields of application<br />
for the particle sensor, which can<br />
also be used in combination with other<br />
measurement methods and mechanisms.<br />
The quantum particle sensor detects and classifies the particles in liquids, gases or as<br />
powders according to size, number, composition and, in future, shape.<br />
For example, the quantum sensor can monitor<br />
algae cells in bioreactors and recognize<br />
dead cells as soon as they change their shape.<br />
The system control can then intervene to<br />
regulate the process without having to take<br />
samples from the reactor. Another example<br />
is water treatment: the AI recognizes<br />
contamination, for example by bacteria or<br />
other organisms that are difficult to detect,<br />
which allows conclusions to be drawn about<br />
the purification process. In additive manufacturing<br />
using 3D printing, as well as in the<br />
metal, ceramics and cement industries, the<br />
quantum sensor analyses different powder<br />
granulations, which can result in specific<br />
material properties. Other conceivable areas<br />
of application are the chemical and cosmetics<br />
industries, including pigment analysis<br />
for the development of colors and textures.<br />
Q.ANT offers partnerships for specific<br />
applications<br />
In order to develop specific applications,<br />
Q.ANT offers partnerships to interested<br />
companies. “We offer the industry early<br />
access to this new solution for particularly<br />
challenging problems without having to go<br />
through long development cycles,” says Vanessa<br />
Bader, Customer Project Engineer at<br />
Q.ANT. “Our partners have the opportunity<br />
to work with us to integrate the quantum<br />
sensor into their production processes and<br />
adapt it to their applications.” Q.ANT, which<br />
has patented the new technology, currently<br />
offers two particle sensors with different<br />
measuring ranges: from 2 to 50 micrometers<br />
and from 20 to 700 micrometers “With this<br />
range, we cover most applications in industrial<br />
production,” says Bader. Pilot projects<br />
indicate very high data quality. For example,<br />
the AI can distinguish particle agglomerates<br />
in metallic powders from individual particles<br />
that are just as large.<br />
The compact sensor is easy to handle and<br />
quick to integrate<br />
Another advantage: the compact quantum<br />
sensor fits on any laboratory bench and the<br />
system is quicker and easier to handle compared<br />
to the time-consuming conventional<br />
sampling process for analyzing particles. In<br />
addition to the laboratory device, feeding<br />
systems are being developed in the partner<br />
program to integrate the sensor inline into<br />
processes. A normal computer is all that is<br />
needed to transfer and visualize the measured<br />
data. The sensor is immediately ready<br />
for use via a website in the browser. “Nothing<br />
needs to be installed, you don’t need<br />
any extra computing power or complicated<br />
training for employees,” explains Bader.<br />
Q.ANT GmbH<br />
D 70565 Stuttgart<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 3/28
Interview by Cristina Masciola, AM Instruments<br />
– Marketing & Communication Manager<br />
Cleaning & Disinfection according to new<br />
Annex 1: An interview with Tim Sandle<br />
“For disinfection to be effective, prior cleaning to remove surface<br />
contamination should be performed. Cleaning programmes should<br />
effectively remove disinfectant residues. More than one type of disinfecting<br />
agent should be employed to ensure that where they have<br />
different modes of action, their combined usage is effective against<br />
bacteria and fungi. Disinfection should include the periodic use of a<br />
sporicidal agent.”<br />
Once again, we spoke to Tim Sandle, microbiologist, author and<br />
science journalist, known as one of the leading experts in the field,<br />
to discuss in the most appropriate way what challenges the pharmaceutical<br />
industry is facing in adapting cleaning & disinfection to the<br />
Annex 1 requirements.<br />
Rotation of disinfectants<br />
The latest version of Annex 1 adds in paragraph 4.33: “more than<br />
one type of disinfecting agent should be employed to ensure that<br />
where they have different modes of action, their combined usage<br />
is effective against bacteria and fungi”. Thus, it seems that more<br />
products effective against bacteria and fungi should be used in<br />
addition to a sporicidal agent. Is the two-product rotation (one<br />
bactericide/fungicide and one sporicide) – already in use by many<br />
pharmaceutical companies – acceptable?<br />
When cleanroom disinfectants are selected many users opt to<br />
select two or more disinfectants. If a sporicide is a regularly used<br />
agent, then two disinfectants should be sufficient.<br />
Rotation is important for several reasons:<br />
– Most disinfectants do not have a complete spectrum of activity<br />
effective against all microorganisms (spectrum of activity is the<br />
ability of the disinfectant to kill different types of microorganisms<br />
and microorganisms which are in different physiological states).<br />
The disinfectants commonly used are often effective against<br />
vegetative cells but are not sporicidal. To maintain an effective<br />
contamination control, the elimination of bacterial endospores<br />
through a sporicidal disinfectant is recommended (these are sometimes<br />
referred to as high-level disinfectants). Here a sporicide<br />
would be used in rotation with a non-sporicidal disinfectant.<br />
– The disinfectants with the formulations which are effective<br />
against the greatest range of microorganisms are often expensive.<br />
With this, many manufacturers use a general broad-spectrum<br />
disinfectant daily or weekly with a sporicidal disinfectant used<br />
weekly or monthly (a decision often based on the results of microbiological<br />
environmental monitoring and the characterization<br />
of the isolated microorganisms).<br />
– Some disinfectants, such as sporicides, are corrosive. While the<br />
risk to surfaces can be reduced through rinsing, rotation is sometimes<br />
undertaken in order to reduce the risk of damage to cleanroom<br />
equipment and working benches.<br />
– Rotating two disinfectants can also reduce the possibility of resistant<br />
strains of microorganisms developing. Whilst the phenomenon<br />
of microbial resistance is an issue of major concern for antibiotics<br />
there are few data to support development of resistance to<br />
disinfectant. Nonetheless, it remains a regulatory expectation.<br />
Thus, the reasons for rotation are approached from either a desire to<br />
widen the mode of action or to address anticipated regulatory concerns.<br />
Effective cleaning & disinfection<br />
Cleaning and disinfection affect floors, walls, ceilings, but also<br />
machinery and equipment, and often hard-to-reach surfaces.<br />
Which cleaning and disinfection methods are most effective in<br />
this respect?<br />
These depends on the circumstances, however good application<br />
techniques are important, for example with floor cleaning and disinfection:<br />
– Either roll all of the floor surface with a tacky roller to remove any<br />
loose debris and fibers, moving any equipment to one half of the<br />
room nearest exit door, or wipe the floor using a neutral detergent<br />
solution and mop and bucket.<br />
– Use a use disinfectant impregnated mop wipes and mops (alter-<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 4/28
natively a mop with a ready prepared disinfectant solution could<br />
be used).<br />
– Using overlapping mop strokes, wipe down half the floor area,<br />
working methodically around the area starting at furthest reach<br />
point and working towards yourself in a straight line. Replace the<br />
mop wipe if the wipe becomes visibly contaminated or dry.<br />
– NOTE: Particular attention should be paid to corners and edges.<br />
– Leave the disinfectant solution on the surface for the manufacturer’s<br />
recommended contact time to allow the disinfectant to be<br />
effective.<br />
– Remove the mop head and discard to waste.<br />
– Fit a second mop head with ethanol impregnated mop wipe (alternatively<br />
a mop with a ready prepared alcohol solution could be<br />
used).<br />
– After the allotted time, using the fresh mop head wipe down<br />
using overlapping mop strokes to remove any residue, working<br />
methodically around the area starting at furthest reach point and<br />
working towards yourself in a straight line. Replace the mop wipe<br />
if the wipe becomes visibly contaminated or dry.<br />
Use of fumigation<br />
Where disinfection is difficult, is it advisable to use fumigation?<br />
Yes, and this is always advisable following events that can lead to<br />
high rates of contamination, such as maintenance works or a facility<br />
shutdown. Fumigation-based approaches are advantageous for<br />
decontaminating the inside of buildings because they are easily dispersed,<br />
penetrate into difficult to access areas, decontaminate the<br />
interior volume of the space (not only surfaces) and are less labour<br />
intensive than many spray based approaches. Optimal agents are hydrogen<br />
peroxide and chlorine dioxide.<br />
Attention needs to be paid to the concentration and dwell time,<br />
and with controlling temperature and humidity, as well as the mechanism<br />
of dispersing the fumigant. Each of these factors contributes<br />
to the overall efficacy.<br />
“The movement of material or equipment from lower grade or<br />
unclassified area to higher-grade clean areas should be subject to<br />
cleaning and disinfection commensurate with the risk and in line<br />
with the CCS.”<br />
The Contamination Control Strategy refers to risk-commensurate<br />
cleaning and disinfection.<br />
Validation<br />
The validation of detergent and disinfectant products, as well as<br />
the validation of cleaning is a very important step: what are the<br />
mandatory activities?<br />
Validation studies are broken down into three sections: suspension<br />
tests (phase 1 and 2) to evaluate the reduction of a known organism<br />
population inoculated directly into a sample of the liquid disinfectant,<br />
surface tests (phase 2) that assess a disinfectant’s ability to reduce<br />
the number of challenge organisms on an inoculated surface,<br />
and field trials (phase 3) a final assessment of the environmental<br />
monitoring data to validate the approach.<br />
Suspension methods evaluate the reduction= of a known organism<br />
population inoculated directly into a sample of the liquid disinfectant.<br />
Following inoculation and the observation of a predetermined<br />
contact time, samples of the inoculated substance are removed,<br />
neutralized and evaluated for survivors as compared to an untreated<br />
control suspension. Since the simulation of organism films on the<br />
specific environmental surface types are not accounted for in this<br />
method, it is recommended that suspension-based tests be used<br />
only for initial disinfectant screening purposes.<br />
Surface testing involves aliquoting onto a surface coupon a mix<br />
of the challenge organism and, where required, an interfering substance<br />
(such a protein, to simulate dirty conditions). The surface<br />
coupon will be fashioned from a representative surface in the cleanroom<br />
(here several different materials will require testing in order to<br />
show how the disinfectant performs). To this an amount of the test<br />
disinfectant is added. The solutions are left for the required contact<br />
time. Once the contact time has elapsed, the coupon is transferred<br />
to a neutralizer solution. Then, as with the suspension test once sufficient<br />
time has been given for neutralization, microbial survivors are<br />
assessed by plating out or filtering the disinfectant neutralizer solution<br />
using a microbial culture method.<br />
A possible array of surfaces to consider (this will be<br />
facility dependent) are:<br />
– Stainless steel<br />
– Glass<br />
– Aluminum<br />
– Epoxy<br />
– Enamel<br />
– Acrylic<br />
– Mipolam<br />
– Vinyl<br />
– Hardwood<br />
– Plastic<br />
– Plexiglas<br />
– Chromium<br />
Once the testing has been performed, a report should be generated<br />
that must conclude the disinfectant efficacy test outcome in relation<br />
to the acceptance criteria. If a disinfectant passes the test, deeming<br />
it suitable for use, cleanroom procedures must reflect the practices<br />
adopted during the qualification, such as disinfectant concentration,<br />
contact time and method of application to surfaces. The disinfectant’s<br />
final adoption must then be based on a follow-up assessment<br />
or field trial, which includes an evaluation of microbial counts and<br />
species recovered.<br />
Use of sterile concentrates<br />
“Disinfectants and detergents used in grade A and grade B areas<br />
should be sterile prior to use. Disinfectants used in grade C and D<br />
may also be required to be sterile where determined in the CCS.<br />
Where the disinfectants and detergents are diluted / prepared by<br />
the sterile product manufacturer, this should be done in a manner to<br />
prevent contamination and they should be monitored for microbial<br />
contamination. Dilutions should be kept in previously cleaned containers<br />
(and sterilized where applicable) and should only be stored<br />
for the defined period. If the disinfectants and detergents are supplied<br />
“ready-made” then results from certificates of analysis or conformance<br />
can be accepted subject to successful completion of the<br />
appropriate vendor qualification.”<br />
In-house prep: in the latest Annex 1 version there is more focus<br />
on both in-house preparation of detergents and disinfectants and on<br />
the use of WFI water.<br />
What do you think about the use of sterile concentrates<br />
in cleaning and disinfection procedures?<br />
The use of a concentrate that is made up or the use of ready-to-use<br />
solutions, is a choice of each user. Both methods are effective.<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 5/28
In particular, many companies, switching to under-isolator<br />
production, are considering the use of concentrated products for<br />
Grade C/D outdoor environments. Would you recommend their<br />
use? If so, with which procedure?<br />
Yes, there are no concerns, especially within lower grades of cleanrooms.<br />
The important point is to ensure the dilution is correct and<br />
that the correct grade of water is used.<br />
Training of personnel<br />
Personnel training: with the latest Annex 1, and the increasingly<br />
stringent rules on cleaning and disinfection, personnel training is<br />
a key point. Are there also changes in training methods with the<br />
new Annex 1?<br />
The Annex could go further with training. Appropriate training for all<br />
personnel dealing with disinfectants is vital. This training should include<br />
all relevant staff including contract personnel working within<br />
the facility. Documentation of this training is essential. All personnel<br />
should understand the importance of cGMP. For a new employee,<br />
this training could be part of their initial GMP induction. A pre-determined<br />
program of training should be in place and documented as<br />
well as a system to measure the effectiveness of the training. Personnel<br />
should all be trained in good cleaning techniques using the appropriate<br />
equipment. All staff should be provided with appropriate<br />
clothing in order to perform this operation, e.g., cleanroom clothing<br />
in manufacturing areas with adequate PPE. Procedures should also<br />
be in place if spillage occurs with any of these agents.<br />
Training programs could include:<br />
– The importance of disinfection in relation to GMP, its necessity<br />
in preventing microbial proliferation, cross contamination and<br />
the importance and significance of good disinfection routines.<br />
– The importance of the correct handling of disinfectants, whether<br />
it is during the disinfectant’s preparation, testing or use.<br />
– Basic microbiology and how contamination is transferred in the<br />
workplace.<br />
– An understanding of the properties of disinfectants and their<br />
correct application.<br />
A refresher program should also be in place, ensuring that once personnel<br />
are trained, their knowledge is kept up to date. Many companies<br />
now incorporate this into regular GMP refresher training,<br />
recommended to be undertaken on a periodic basis. Adequate documentation<br />
of these updates in an employee training record is essential.<br />
Cleaning & Disinfection in Grade C & D areas<br />
Finally, the CCS in the new Annex 1 extends to all areas at risk of<br />
contamination.<br />
Also in paragraph 4.35 it is stated that “disinfectants used in grade<br />
C and D may also be required to be sterile where determined in the<br />
CCS”. This implies increased attention also for non-sterile areas<br />
and for producers of cosmetics, ointments and biological intermediates<br />
with low bacterial load etc. For the latter, the challenge will<br />
certainly be significant. What are the essential activities to adapt?<br />
Some good practices for the adoption and use of disinfectants, in<br />
accordance with GMP, are:<br />
– Written procedures should be in place.<br />
– Responsibilities for cleaning should be assigned. Often this is interpreted<br />
as the need to have independent cleaning staff separate<br />
from those involved in product manufacture.<br />
– Staff must be trained in cleaning techniques and have a training<br />
record.<br />
– Details of cleaning frequencies, methods, equipment, and<br />
materials must be recorded in written procedures. This may<br />
relate to an approved supplier specification.<br />
– The cleaning of equipment and materials must take place<br />
at regular intervals.<br />
– Inspection of equipment for cleanliness before use should<br />
be part of routine operations.<br />
– A cleaning log should be kept. The purpose is to keep a record of<br />
the areas cleaned, agents used, and the identity of the operator.<br />
– The microorganisms isolated (the microbiota) from environmental<br />
monitoring programs should be examined for resistant strains.<br />
Some isolates from these reviews should be incorporated into<br />
disinfectant efficacy studies.<br />
– The monitoring for microbial contamination in disinfectant and<br />
detergent solutions should be periodically undertaken.<br />
– The storing of disinfectant and detergent solutions should be for<br />
defined (and short) periods.<br />
– Room use should be recorded after each operation.<br />
– There should be a technical agreement with the company who<br />
supplies the disinfectant. Ideally the disinfectants purchased<br />
should be lot tracked.<br />
– SOPs containing references to disinfectants, cleaning agents,<br />
materials and equipment used and calibration of equipment<br />
are accessible. Here the cleaning and disinfection methods are<br />
clearly defined.<br />
– The SOP should include cleaning method details e.g., wiping<br />
front to back or top to bottom with overlapping strokes.<br />
– Cleaning method, e.g., double bucket, rinsing wipe action, contact<br />
time and cleaning of cleaning materials must also be included in<br />
the<br />
– Documentation of rotation, rationale, and frequency,<br />
needs to be set out.<br />
– Cleaning logs also need to be in place and available.<br />
In compliance with GMP, cleaning and disinfection procedures<br />
should be included in any audit program as it maintains assurance<br />
that contamination control procedures are adequate and in control.<br />
Conclusions<br />
The Contamination Control Strategy underlying the new Annex 1<br />
finds its backbone in Quality Risk Management: assessing risks on a<br />
scientific basis and offering a commensurate response to them is the<br />
real key to adapting to the new requirements.<br />
Dr. Tim Sandle is a pharmaceutical microbiologist, science writer and<br />
journalist. He is a chartered biologist and holds a first class honours<br />
degree in Applied Biology; a Masters degree in education; and has a<br />
doctorate from Keele University.<br />
AM INSTRUM<strong>EN</strong>TS<br />
Via Isonzo, 1/C<br />
Phone: +39 02 8728421<br />
email: info@aminstruments.com<br />
IT20812 Limbiate (MB)<br />
Internet: https://global.aminstruments.com/<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 6/28
Unique look behind the<br />
scenes of AT&S research<br />
The technology leader AT&S already holds almost 800 patents and<br />
was giving an insight into its R&D departments in Leoben at the<br />
“Long Night of Research” on May 24, <strong>2024</strong>. But some things remain<br />
top secret: the new research center and Europe’s first substrate plant<br />
recently installed a high-tech machine that is unique in the world. It<br />
allows the exposure of substrate structures that are 14 times thinner<br />
than a human hair.<br />
“No photography” and “Restricted Area” – as if these signs weren’t<br />
enough, the high-tech machine is also concealed behind a<br />
plastic screen. What stands here in the protective yellow light of the<br />
clean room is the pride and joy of the R&D team at the new substrate<br />
research center “HTB3” in Leoben.<br />
From the outside, the cube-shaped machine is inconspicuous.<br />
And yet: there is no other machine like it in the world and it would<br />
cost several million euros to buy – but AT&S has been provided with<br />
it by the manufacturer as a prototype for six months for testing purposes.<br />
This provides the production company with practical data<br />
to make the device ready for series production, and the AT&S engineers<br />
have a special tool to carry out invaluable R&D analyses in<br />
parallel with production.<br />
The inner workings are spectacular: the machine is an exposure<br />
tool for lithography, a so-called Direct Imager, which can expose extremely<br />
fine structures in substrate production. In microtechnology,<br />
the micrometer is the measure of all things: 1 micrometer (1 μm) is a<br />
thousandth of a millimeter. The conductor tracks that can be produced<br />
using this exposure device are only 5 μm wide (0.005 mm). By<br />
comparison, a human hair is around 70 μm thick (0.<strong>07</strong> mm) – and<br />
therefore 14 times wider.<br />
The team led by Leoben R&D expert Timo Schwarz has been<br />
testing the Direct Imager since May and has carried out countless<br />
analyses. The new R&D tool enables a high resolution in combination<br />
with greater depth of field, generally more configuration options,<br />
unlimited design variations and a faster, more flexible and resource-saving<br />
test phase compared to other technologies. “For the first<br />
time in Europe, we are researching the further development of our<br />
IC substrate technology under near-production conditions, creating<br />
added value for us and our partners,” Schwarz is proud of the development<br />
in Leoben.<br />
What are substrates?<br />
In simple terms, substrates are reduction couplings from printed<br />
circuit boards to the chip. They connect the tiny inputs and outputs<br />
of powerful microchips (such as in graphics cards) with the much<br />
larger structures of the printed circuit board. Today, substrates are<br />
an important basis for all forms of data processing. AT&S already<br />
holds almost 800 patents for the manufacture of IC substrates and<br />
high-tech printed circuit boards. More than a fifth of the company’s<br />
turnover is the result of the innovative strength of AT&S research.<br />
“The fact that we not only support top customers with AT&S, but are<br />
even development partners for many of them, shows the trust and<br />
appreciation that we enjoy with our technological excellence,” says<br />
AT&S Head of Research Hannes Voraberger. “Especially in Europe, a<br />
focus on research and development of highly complex microelectronics<br />
is essential to avoid becoming economically and technologically<br />
dependent.”<br />
AT&S CTO Peter Griehsnig also emphasizes the aspects of<br />
sustainability: “AT&S’s R&D activities contribute to sustainable development<br />
in two ways: Firstly, innovative tools in research work<br />
save valuable amounts of time, costs and materials, and secondly, the<br />
products created with them ensure more efficient data transmission<br />
and smart, green energy efficiency for our end customers.”<br />
AT & S Austria Technologie & Systemtechnik<br />
Aktiengesellschaft<br />
A 8700 Leoben<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 7/28
The Cleanzone Conference addresses current industry trends. (Source: Messe Frankfurt)<br />
Cleanzone <strong>2024</strong>:<br />
Networking and Knowledge Transfer<br />
Cleanzone <strong>2024</strong> will bring the global cleanroom industry together<br />
in Frankfurt am Main on 25 and 26 September. The<br />
key topics of technical innovation, energy efficiency and<br />
lifelong learning will be the focus of the event and will give<br />
new momentum to the market. Leading suppliers from all<br />
over the world and from all areas of cleanroom technology<br />
have already registered. The Cleanzone Conference offers<br />
an attractive and highly informative conference programme<br />
on both days of the trade fair, thus contributing to the transfer<br />
of knowledge between suppliers, users and experts.<br />
The cleanroom community is looking forward to Cleanzone, the international<br />
trade fair for cleanroom and cleanliness technology, hygiene<br />
and contamination control, on 25 and 26 September in Frankfurt.<br />
The trade fair is expecting exhibitors from around 15 countries<br />
to present their innovations to an international trade audience; about<br />
one third of the exhibitors are new. In addition to the established<br />
suppliers, numerous start-ups will also be present this time, for<br />
example from the fields of particle measurement, digitalisation and<br />
qualification. The exhibitor search for Cleanzone <strong>2024</strong> will be available<br />
on the Website from 3 July <strong>2024</strong>.<br />
Cleanzone Conference: The innovation forum<br />
for cleanroom technology<br />
In addition to the highlights from the exhibiting companies, the<br />
specialist supporting programme will set the tone. This year‘s Cleanzone<br />
Conference will once again present visions for the future and<br />
pick up on current industry trends. Energy efficiency, new cleanroom<br />
concepts and digitalisation - these topics are currently driving<br />
developments in cleanroom technology. Cleanroom environments<br />
are required wherever there is a risk of contamination from particles.<br />
The regulatory environment, such as the new Annex 1, demands<br />
significantly more automation. The requirements for product and<br />
personal protection are high. The Cleanzone Conference addresses<br />
all of these topics.<br />
The content of the first day of the conference has been organised by<br />
Messe Frankfurt together with the Vogel Communication Group. In<br />
his keynote speech, Gernot Dittel from Dittel Engineering will highlight<br />
what the cleanroom industry can learn from space research.<br />
Hans Eder from ZETA GmbH will demonstrate the cost-cutting potential<br />
offered by energy-efficient solutions for HVAC and utilities.<br />
And Holger Saal from Kyoobee Tech shares his knowledge of the<br />
future technology ATMP (Advanced Therapy Medicinal Products).<br />
The second day of the conference programme will be organised<br />
this year by the ICCCS International Confederation of Contamination<br />
Control Societies. One of the speakers is Conor Murray,<br />
Chairman ICCCS on „The Future of Contamination Control“<br />
and „Global Challenges in Cleanrooms for Energy Management“.<br />
Matts Ramstorp will speak on „Garments in future Class B cleanrooms“<br />
and Hasim Solmaz, expert and Secretary General of<br />
the ICCCS, on „New GMP Annex 1 + Contamination Control<br />
Strategy“.<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 8/28
industry forward and at the same time contribute to the sustainability<br />
and profitability of companies. Cleanzone is the place where<br />
technical and innovative solutions are on display.<br />
Energy efficiency and sustainability in cleanrooms<br />
The international cross-sectional trade fair Cleanzone forms<br />
the bridge between the most diverse industries.<br />
(Source: Messe Frankfurt Exhibition GmbH)<br />
Innovation as a success factor: the Cleanzone Award<br />
Innovations, for example in digitalisation and in the field of artificial<br />
intelligence, are driving the cleanroom industry forward and at<br />
the same time contribute to the sustainability and profitability of<br />
companies. With the Cleanzone Award, which is presented in collaboration<br />
with the publishing house Wiley and its publication „Rein-<br />
RaumTechnik“, Cleanzone supports companies in their endeavours<br />
to introduce innovations to the market. Products, ideas and innovations<br />
that help to make clean production processes more efficient<br />
and sustainable can be submitted. A high-calibre jury will nominate<br />
up to five outstanding concepts, which will be presented at Cleanzone.<br />
The winner will be chosen by the public during the trade fair.<br />
The award will be presented on 26 September <strong>2024</strong> at Cleanzone in<br />
Frankfurt am Main. The winner will receive a cheque for 3,000.00<br />
Euros from Cleanzone media partner „ReinRaumTechnik“.<br />
The top themes reflect what is driving the industry<br />
Cleanzone <strong>2024</strong> reflects the topics that significantly influence the<br />
business of exhibiting companies and trade fair visitors. At its core<br />
are the top themes of technology and innovation, energy efficiency<br />
and sustainability, as well as training, lifelong learning and qualifications.<br />
They characterise the offerings at the stands and the expert<br />
conference programme.<br />
The top themes in detail:<br />
Technology and innovations for a wide range of applications<br />
This top theme deals with the importance of technology and innovations<br />
in the cleanroom. In almost every industry, products are<br />
being manufactured under clean conditions; technical details are<br />
therefore crucial. The scope of this topic ranges from automotive<br />
production to biotechnology and pharmaceuticals. The production<br />
of medical cannabis, for example, requires aseptic production processes<br />
and a cleanroom environment. Cleanroom technology is also<br />
used in the dismantling of nuclear power plants or in the production<br />
of semiconductors, batteries and components for electromobility.<br />
Here, it is essential to meet special quality requirements and specific<br />
standards and to ensure efficient production. Innovations, for example<br />
in digitalization and in the field of artificial intelligence, drive the<br />
How do you save energy in the cleanroom? This is a major issue in<br />
the industry. New energy, energy optimization, CO2 footprint, new<br />
regulations and certifications are the buzz words here. The taxonomy<br />
regulation as part of the EU‘s Green Deal confronts cleanroom<br />
specialists with new challenges. Ecological and sustainable qualities<br />
must now be made measurable; this results in new fields of action<br />
and objectives. But this also creates opportunities, as sustainability<br />
contributions foster a positive reputation. Solutions for energy<br />
saving include flexible project planning, new production methods<br />
such as the „breathing factory“ and product innovations, for example<br />
in the field of clean room dehumidification. Cleanzone provides the<br />
ideal platform for a professional exchange on this topic.<br />
Education, lifelong learning and professional qualification<br />
An important element enabling cleanroom companies to grow and<br />
achieve their sustainability goals is qualified personnel. In particular,<br />
the special know-how required for planning and operating cleanrooms<br />
cannot be acquired through a single training course. Rather,<br />
specialized knowledge is built up through experience, continuous<br />
training and lifelong learning. The industry is also affected by a general<br />
shortage of personnel. One of the ways to retain and attract<br />
skilled personnel is to enhance the image of cleanroom companies.<br />
Cleanzone not only fulfills the task of sharing and exchanging expertise<br />
and know-how, but also serves as a platform where staff can be<br />
recruited.<br />
Cleanzone - interdisciplinary and international<br />
The international cross-section fair Cleanzone connects the most<br />
diverse industries. It offers a comprehensive market overview of the<br />
latest solutions for production and research. Exhibiting companies<br />
and visitors from all relevant application areas such as the pharmaceutical<br />
industry, biotechnology, chemical industry, healthcare, food<br />
technology, surface and plastics technology, microelectronics, optics<br />
and laser technology, aerospace technology and the automotive<br />
industry come to network in Frankfurt.<br />
A review of the last event shows the importance of Cleanzone:<br />
54 per cent of visitors were high-ranking corporate decision-makers,<br />
46 per cent had the intention of making definite investments. In addition<br />
to Germany, the most important visitor countries included<br />
the Netherlands, Türkiye, Switzerland, Ireland, France, the United<br />
Kingdom, Italy, Austria, the Czech Republic and Sweden. A survey<br />
of exhibitors and visitors during Cleanzone 2022 showed that 91 per<br />
cent of all visitors and 92 per cent of exhibitors were very satisfied.<br />
cleanzone<br />
Messe Frankfurt Exhibition GmbH<br />
Ludwig-Erhard-Anlage 1<br />
D 60327 Frankfurt am Main<br />
Telefon: +49 69 75756290<br />
Telefax: +49 69 757596290<br />
eMail: anja.diete@messefrankfurt.com<br />
Internet: https://cleanzone.messefrankfurt.com<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 9/28
Intelligent energy management for the future<br />
Fraunhofer IPMS supports the 300 mm<br />
process development of smart power<br />
technologies for the semiconductor<br />
manufacturer Infineon at the Dresden site<br />
In a joint development project spanning around one year, important progress<br />
was made in the production of “Smart power technologies”. Fraunhofer IPMS<br />
provided significant support to the semiconductor manufacturer Infineon by<br />
supplying selected process modules within the entire CMOS process value chain<br />
on 300 mm wafers.<br />
The collaboration played a key role in the<br />
process development for the factory expansion<br />
at Infineon Dresden. Over 2000<br />
wafers were successfully processed as part<br />
of this collaboration. The wafers were exchanged<br />
several times between Fraunhofer<br />
IPMS and Infineon Dresden to ensure optimal<br />
use of resources and optimum integration<br />
into the production lines.<br />
„The results of this joint project are extremely<br />
promising and mark a significant step<br />
forward for the production of smart power<br />
technologies at Infineon Dresden,“ commented<br />
project manager Andreas Thamm<br />
from Infineon. „The close collaboration and<br />
the provision of process modules by Fraunhofer<br />
IPMS have enabled us to move ahead<br />
faster than planned with the process transfer<br />
to 300mm equipment needed to expand<br />
our manufacturing capabilities.“<br />
300 mm cleanroom at the Fraunhofer IPMS. © Fraunhofer IPMS<br />
Aerial view of Infineon Dresden. © Infineon Technologies AG<br />
The successful implementation of this project<br />
underlines the technological expertise<br />
and effective collaboration between Fraunhofer<br />
IPMS and its cooperation partners.<br />
The fact that several joint projects have<br />
already been completed with semiconductor<br />
expert Infineon proved to be valuable:<br />
„We have been working together for years,“<br />
confirms IPMS project manager Dr. Malte<br />
Czernohorsky „In this project, Fraunhofer<br />
experts worked closely with colleagues<br />
from Infineon. The teams are now well<br />
attuned to each other. Our cooperation<br />
was always focused on achieving results,<br />
and our colleagues at Infineon were very<br />
accommodating towards our ideas, which<br />
commends Czernohorsky. „Based on the<br />
positive experiences from previous years,<br />
we are already thinking about follow-up<br />
projects.“<br />
This milestone and the expansion of<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 10/28
„Smart power technologies“ in applications for<br />
end consumers. © Infineon Technologies AG<br />
capacities in the Smart Power Fab area illustrate<br />
Infineon‘s ongoing efforts to further<br />
strengthen its position as a leading<br />
supplier of high-performance circuits,<br />
particularly at the Dresden site. With the<br />
construction of the new Smart Power Fab,<br />
Infineon is making one of the largest single<br />
investments in its history. The aim of the<br />
semiconductor manufacturer is to increase<br />
the speed at which it expands its semiconductor<br />
production capacities and to further<br />
strengthen Europe as a chip manufacturing<br />
location. This is an important contribution<br />
to meeting the growing global demand for<br />
semiconductors - for example for applications<br />
to generate renewable energy, for use<br />
in data centers and for electromobility.<br />
Infineon‘s work is being funded by the<br />
European Union, the German Federal Ministry<br />
for Economic Affairs and Climate<br />
Protection and the Free State of Saxony as<br />
part of an Important Projects of Common<br />
European Interest (IPCEI) in the fields<br />
of microelectronics and communication<br />
technologies. The funding supports the<br />
development of future-oriented, innovative<br />
microelectronics and communication<br />
technologies up to market maturity. The<br />
aim is to complete the European value<br />
chain, contribute to European technological<br />
sovereignty and promote climate protection<br />
through energy-efficient technologies<br />
and processes.<br />
Fraunhofer-Institut für Photonische<br />
Mikrosysteme IPMS<br />
D 01109 Dresden<br />
Suedpack Kamakshi receives BRCGS<br />
recommended ‚A’ Grade Certification<br />
for product safety and quality culture<br />
Suedpack Kamakshi, the Indian arm of the renowned<br />
German packaging leader Suedpack, has received the coveted<br />
BRCGS (Brand Reputation through Compli-ance Global<br />
Standards) recommended ‚A‘ Grade certification in flexible<br />
packag-ing for food and agri industry. This certification,<br />
which is the highest global standard for packaging materials,<br />
solidifies Suedpack Kamakshi‘s position as a frontrunner<br />
in quality, safety, and customer satisfaction, all while<br />
leveraging the immense expertise of its German counterpart.<br />
The BRCGS is a leading brand and consumer protection organization,<br />
renowned for its stringent Global Standards for Packaging<br />
Materials. The ‚A Grade‘ certifica-tion is reserved for companies<br />
demonstrating exceptional performance across all areas, including<br />
quality management systems, facility standards, product safety, and<br />
operational criteria.<br />
The ‚A‘ Grade certification underscores Suedpack Kamakshi‘s<br />
unwavering com-mitment to excellence. The certification is a testament<br />
to the company‘s rigorous manufacturing processes, meticulous<br />
risk management strategies, and a deeply ingrained culture of<br />
quality that permeates every level of the organization. Brand Reputation<br />
Compliance Global Standard and BRCGS is a GFSI standard<br />
(Global Food Safety Initiatives).<br />
„We are incredibly proud to receive the BRCGS recommended<br />
‚A Grade‘ certifica-tion,“ said Latha Ekambaram, CEO at Suedpack<br />
Kamakshi Pvt Ltd. „This confirms our high standard of quality, which<br />
we owe to our team‘s dedication and the knowledge shared by our<br />
German partners at Suedpack.<br />
At Suedpack Kamakshi, quality and product safety are paramount.<br />
Every step, from the initial design and development stages<br />
to final distribution, is meticulously executed to ensure compliance<br />
with the highest standards and approved proce-dures.<br />
„Our commitment to quality is absolute,“ added Latha. „We will<br />
continue to lever-age the expertise of Suedpack and invest in our<br />
people, processes, and infrastruc-ture to solidify our position as a<br />
trusted partner for customers in the Indian Market.<br />
With the BRCGS ‚A Grade‘ certification, Suedpack Kamakshi<br />
strengthens its posi-tion as a leader in the Indian packaging sector.<br />
By harnessing the strengths of an international manufacturer and<br />
its own unwavering commitment to quality, Sued-pack Kamakshi<br />
delivers safe, high-quality products that meet the most demanding<br />
requirements of customers across diverse food segments.<br />
SÜDPACK VERPACKUNG<strong>EN</strong> SE & Co. KG<br />
D 88416 Ochsenhausen<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 11/28
Enhancing sustainability through<br />
accurate biogas upgrading assessment<br />
In an era where sustainable and renewable energy sources<br />
are imperative, biogas stands out as a promising solution.<br />
However, maximizing its potential necessitates efficient<br />
utilization, achieved through biogas upgrade systems. These<br />
systems play a pivotal role in enhancing the quality and<br />
utility of biogas, propelling it beyond a mere source of energy.<br />
One notable example in this field is Pentair, a recognized leader<br />
known for engineering advanced biogas upgrade systems. Pentair‘s<br />
expertise lies in designing and implementing cutting-edge solutions<br />
that elevate biogas to a standard comparable to natural gas. Through<br />
their precise engineering and innovative technologies, Pentair empowers<br />
biogas to seamlessly integrate into existing infrastructure, unlocking<br />
a spectrum of sustainable applications. With a track record<br />
of over half a decade, Pentair has been instrumental in deploying<br />
sustainable solutions, including CO2 recovery plants and biogas upgrading<br />
systems.<br />
Biogas upgrading systems, such as the ones engineered by Pentair,<br />
play a pivotal role in maximizing the potential of biogas as a<br />
sustainable and clean energy source. These systems enhance the<br />
energy content of biogas by concentrating methane and reducing<br />
impurities, enabling it to match the quality of natural gas. This upgraded<br />
biogas, often termed biomethane or renewable natural gas, can<br />
be seamlessly integrated into existing natural gas grids, providing<br />
a direct, clean, and renewable energy supply. It serves<br />
as an environmentally friendly fuel, significantly lowering<br />
carbon dioxide emissions when utilized for electricity generation,<br />
heating, or transportation. Moreover, the ability to<br />
store and transport upgraded biogas efficiently enhances its<br />
role as a reliable and sustainable energy storage solution.<br />
By transforming organic waste into valuable energy and<br />
supporting a circular economy, these systems contribute<br />
to waste reduction and efficient utilization of biomass resources.<br />
“In our pursuit of sustainable progress, we believe in<br />
remaining vigilant and thorough, implementing careful controls<br />
to minimize revenue losses for our customers while ensuring<br />
that our biogas upgrading plants minimize methane<br />
slip during purification, thus making a positive contribution<br />
to the energy transition and sustainability goals”, explains<br />
Machiel van Essen, NPD Project Manager - Technology at<br />
Pentair.<br />
Pentair operates a facility called Pentair Haffmans in<br />
Venlo, The Netherlands, where they engineer and manufacture<br />
analysis equipment for breweries, CO2 recovery<br />
installations, and biogas upgrading systems. Sustainable<br />
Fuel Plant (SFP), an innovative facility dedicated to the production<br />
and advancement of sustainable energy solutions,<br />
approached Pentair to evaluate the biogas upgrading system<br />
that had been installed by Pentair at the SFP site, located in<br />
Westdorpe, The Netherlands. This collaboration extended<br />
further through Pentair‘s partnership with Vaisala, a leading<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 12/28
provider of measurement instruments, who played a crucial role in<br />
the successful assessment of the biogas upgrading system.<br />
The Challenge<br />
The assessment of the biogas upgrading system at Sustainable Fuel<br />
Plant (SFP) brought forth a specific set of challenges that demanded<br />
a meticulous approach. Firstly, achieving precise analysis of both the<br />
biogas feed flow and the process permeate flow (offgas) was deemed<br />
critical due to the complex composition of gases involved. Any<br />
inaccuracies in the process had the potential to cause elevated CH4<br />
levels in the permeate flow, which could significantly impact the revenue<br />
of biogas upgrading sites.<br />
Operating under challenging wet conditions was an additional<br />
hurdle, requiring analyzers that could function effectively despite<br />
the high relative humidity in both the biogas feed and permeate<br />
flows. Moreover, compliance with ATEX zones, an imperative safety<br />
requirement in biogas facilities, had to be ensured. Conducting<br />
in-situ analysis was also vital, minimizing the need for new analysis<br />
lines and providing a more accurate representation of real-time conditions.<br />
Lastly, the analysis was intended to be temporary, necessitating<br />
easily implementable and removable analyzers that could adapt<br />
to evolving requirements during the assessment process.<br />
The Solution<br />
Cutout: The Vaisala MGP262 installed<br />
To surmount the array of challenges posed by the biogas upgrading<br />
assessment, Pentair joined forces with Vaisala, a distinguished industry<br />
leader renowned for their expertise in measurement instruments<br />
and solutions. Vaisala, with their extensive experience and<br />
innovation in the field, proposed a strategic solution for the evaluation.<br />
They recommended the utilization of their cutting-edge Vaisala<br />
MGP261 and MGP262 multigas probes, which proved to be instrumental<br />
in addressing the specific requirements of this assessment.<br />
The MGP261 and MGP262 multigas probes offered a remarkable<br />
capability to provide real-time process values, precisely within<br />
the defined ranges of CH4 and CO2, exhibiting an exceptional level<br />
of accuracy. A significant advantage was their adaptability to challenging<br />
wet conditions, ensuring reliable performance even in highhumidity<br />
environments - a crucial factor given the nature of biogas<br />
processing. Moreover, these probes were designed to comply with<br />
ATEX zones, meeting the stringent safety regulations of biogas facilities.<br />
Furthermore, the implementation of these probes was streamlined<br />
by their threaded process connections, allowing for straightforward<br />
integration into the existing system. This feature considerably eased<br />
the process of installation, thereby enhancing the efficiency and<br />
speed of the assessment, aligning with the project‘s temporary nature.<br />
By synergizing the data obtained from the biogas feed, retentate,<br />
and permeate streams, a comprehensive analysis of the entire process<br />
was conducted. This holistic approach allowed for a thorough<br />
assessment, enabling a deep understanding of the dynamics and efficiency<br />
of the biogas upgrading system. The analysis revealed that<br />
Pentair‘s process design conformed rigorously to the specified design<br />
criteria. This validation provided a strong assurance to SFP that<br />
the biogas upgrading system was functioning precisely as intended,<br />
in alignment with the set objectives and performance expectations.<br />
The successful implementation of the MGP261 and MGP262<br />
multigas probes proved to be a pivotal step in evaluating the biogas<br />
upgrading system at the Sustainable Fuel Plant (SFP). The probes<br />
functioned seamlessly, delivering accurate and precise measurements<br />
throughout the assessment period. Accurate measurement<br />
and optimization of methane and CO2 levels are fundamental to<br />
enhancing the efficiency and sustainability of the biogas upgrading<br />
process. The precision achieved in these measurements ensures<br />
that the upgraded biogas meets the desired quality standards, aligning<br />
with sustainability objectives.<br />
“We are committed to sustainable progress, and we always strive<br />
to prevent revenue losses for our customers by applying rigorous<br />
controls. Our biogas upgrading plants also reduce methane emissions<br />
which we measure with Vaisala MGP261 and MGP262 multigas<br />
instruments to optimize the purification process. This way, we contribute<br />
positively to the energy transition and sustainability goals”<br />
explains Machiel van Essen, NPD Project Manager - Technology at<br />
Pentair.<br />
In essence, the collaboration with Vaisala and the utilization of<br />
their state-of-the-art multigas probes proved to be a pivotal step in<br />
successfully navigating the intricate challenges posed by the assessment,<br />
ultimately ensuring a thorough and accurate evaluation of the<br />
biogas upgrading system at the Sustainable Fuel Plant (SFP).<br />
Summary<br />
In summary, the collaboration between Pentair and Vaisala, leveraging<br />
the MGP261 and MGP262 multigas probes, demonstrated the<br />
effectiveness of these instruments in verifying the performance of<br />
the biogas upgrading system at the Sustainable Fuel Plant site. The<br />
ease of installation and reliable performance make these probes a<br />
valuable extension of Pentair‘s process analysis kit. Moreover, this<br />
successful configuration, made possible through collaboration with<br />
Vaisala, has the potential to be offered to Pentair customers in the<br />
future, enabling the tracking of both process and material performance,<br />
aligning with Pentair‘s commitment to sustainable and responsible<br />
solutions in the field of gases.<br />
Vaisala GmbH<br />
Rheinwerkallee 2<br />
D 53227 Bonn<br />
Telefon: +49 228 249710<br />
Telefax: +49 228 2497111<br />
eMail: vertrieb@vaisala.com<br />
Internet: http://www.vaisala.de<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 13/28
Opening of the joint ASML-imec High NA EUV Lithography Lab marks a milestone in<br />
preparing High NA EUV lithography for accelerated adoption in mass manufacturing<br />
ASML and imec open joint High NA EUV<br />
Lithography Lab offering an early<br />
development platform to the leading-edge<br />
semiconductor ecosystem<br />
The TWINSCAN EXE:5000 High NA EUV scanner in<br />
the High NA Lab demonstrating the first-ever 10 nm<br />
dense lines obtained in a single exposure.<br />
Imec, a world-leading research and innovation<br />
hub in nanoelectronics and digital technologies,<br />
and ASML Holding N.V. (ASML), a<br />
leading lithography supplier to the semiconductor<br />
industry, today announced the opening<br />
of the High NA EUV Lithography Lab<br />
in Veldhoven, the Netherlands, a lab jointly<br />
run by ASML and imec. After a build and integration<br />
period of years, the Lab is ready to<br />
provide leading-edge logic and memory chip<br />
makers, as well as advanced materials and<br />
equipment suppliers access to the first prototype<br />
High NA EUV scanner (TWINSCAN<br />
EXE:5000) and surrounding processing and<br />
metrology tools.<br />
The opening of the joint ASML-imec High NA EUV Lab represents<br />
a milestone in preparing High NA EUV for high-volume manufacturing<br />
– anticipated to happen in the 2025–2026 timeframe. By<br />
giving leading-edge logic and memory chip manufacturers access to<br />
the High NA EUV prototype scanner and surrounding tools (which<br />
include a coat and development track, metrology tools, wafer and<br />
mask handling systems), imec and ASML support them in de-risking<br />
the technology and develop private High NA EUV use cases before<br />
the scanners will be operational in their production fabs. Access will<br />
also be provided to the broader ecosystem of material and equipment<br />
suppliers and to imec’s High NA<br />
patterning program.<br />
Readying the 0.55 NA EUV scanner<br />
and infrastructure followed intense preparations<br />
that started in 2018. In this time<br />
span, ASML and ZEISS were able to develop<br />
High NA EUV scanner specific solutions<br />
related to the source, optics, lens<br />
anamorphicity, stitching, reduced depth<br />
of focus, edge placement errors and overlay<br />
accuracy. Meanwhile, imec, in tight<br />
collaboration with its extended supplier<br />
network, prepared the patterning ecosystem,<br />
including the development of<br />
advanced resist and underlayer materials,<br />
photomasks, metrology and inspection techniques, (anamorphic)<br />
imaging strategies, optical proximity correction (OPC), and integrated<br />
patterning and etch techniques. The preparatory work recently<br />
resulted in first exposures, showing for the first time ever 10<br />
nm dense lines (20 nm pitch) printed in Veldhoven on metal oxide<br />
resists (MORs) using the 0.55 NA EUV prototype scanner.<br />
Imec’s president and CEO Luc Van den hove: “High NA EUV<br />
is the next milestone in optical lithography, promising the patterning<br />
of metal lines/spaces with 20 nm pitch in one single exposure<br />
and enabling next generations of DRAM chips. This will improve<br />
yield and reduce cycle time and even CO2 emissions compared to<br />
existing multi-patterning 0.33 NA EUV schemes. It will therefore<br />
be a key enabler to push Moore’s Law well into the ångström era.<br />
We are now thrilled to explore these capabilities in real life, using<br />
the prototype High NA EUV scanner. For imec and its partners, the<br />
High NA EUV Lithography Lab will act as a virtual extension of our<br />
300 mm cleanroom in Leuven, enabling us to further improve the<br />
patterning ecosystem and push the resolution of the High NA EUV<br />
towards its ultimate limits.”<br />
ASML’s President and CEO Christophe Fouquet: “The<br />
ASML-imec High NA EUV Lithography Lab provides an opportunity<br />
for our EUV customers, partners and suppliers to access<br />
the High NA EUV system for process development while waiting<br />
for their own system to be available at their factories.. This type<br />
of very early engagement with the ecosystem is unique and could<br />
significantly accelerate the learning curve on the technology and<br />
smoothen the introduction in manufacturing. We are committed<br />
to work with and support our customers in this journey with High<br />
NA EUV.”<br />
IMEC Belgium<br />
BL 3001 Leuven<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 14/28
National study requires new peel apart packs to assess relationship between<br />
microbiological quality of operating theatre air and infection rate<br />
Cherwell develops bespoke new<br />
product to support air quality<br />
audit in UCA operating theatres<br />
Cherwell, cleanroom microbiology solutions<br />
expert, has developed a new peel apart pack<br />
settle plate product to support a UK national<br />
study investigating the relationship between<br />
microbiological quality of operating<br />
theatre air and infection rate. Supported<br />
by NHS England, the UK Health Security<br />
Agency and British Orthopaedic Association,<br />
the JOINTCASE audit aims to check the<br />
air quality of ultra clean air (UCA) operating<br />
theatres while actually in use for surgery.<br />
Despite the well-documented relationship<br />
between microbiological air quality and<br />
deep infection rates, it is not routine to monitor<br />
operating theatres when in use. This is<br />
because slit samplers are traditionally used<br />
to test air quality by volumetric counting, but<br />
these are labour-intensive, requiring trained<br />
individuals and not practical for routine audits.<br />
The study management group noted<br />
settle plate counting to be a simpler methodology<br />
and its relationship with volumetric<br />
counting well established.<br />
Conducted jointly by local orthopaedic<br />
and microbiology/infectious disease departments<br />
in elective orthopaedic units nationwide,<br />
the audit now uses a settle plate<br />
based technique. For this, packs of 10 microbiology<br />
plates, which can be simply peeled<br />
apart aseptically for easy sterile dispensing<br />
onto surgical instrument trolleys and next<br />
to the wound, were specially developed by<br />
Cherwell. To further minimise contamination<br />
risk, during transfer for incubation after<br />
exposure, the plates can be secured with<br />
sterile elastic bands which are also supplied<br />
within the packs.<br />
The new peel apart packs were required<br />
as settle plates are generally packed double<br />
or triple wrapped and sterilised for laboratory<br />
or cleanroom use, which is not sufficient<br />
during surgery in an operating theatre.<br />
Study lead and orthopaedic surgeon, Mr.<br />
Andrew Thomas, The Royal Orthopaedic<br />
Hospital, Birmingham, explained, “Regular<br />
plate packs must be opened in a completely<br />
New peel apart settle plate pack developed by Cherwell to support UK national study on<br />
relationship between microbiological air quality of operating theatres and infection rates.<br />
aseptic way using sterile scissors, and that<br />
is an additional task for the scrub person. It<br />
distracts them and there is a risk of de-sterilising<br />
the outside of the settle plates.”<br />
“The logical answer was to have a peel<br />
apart pack, which can be dispensed onto the<br />
sterile instrument trolley, just like any other<br />
piece of equipment that is dispensed to the<br />
scrub nurse by the circulating staff, but this<br />
wasn’t available on the market,” continued<br />
Andrew.<br />
Cherwell’s Bespoke Product team supported<br />
Andrew and the JOINTCASE audit<br />
through the company’s New Product Request<br />
Process. Andrew said, “We approached<br />
Cherwell who took a keen interest in<br />
our problem and worked hard to deliver the<br />
solution. Other well-known multinational<br />
companies working in the area were simply<br />
not interested in a relatively small problem.<br />
Cherwell’s team, recognised that making<br />
the peel apart packs would be a great contribution,<br />
and actually not terribly difficult<br />
to deliver.”<br />
The Joint Orthopaedics and Infectious diseases<br />
National Theatre Clean Air Services<br />
Evaluation (JOINTCASE) is an ongoing<br />
national, multicentre, prospective audit.<br />
All elective orthopaedic units in the UK<br />
are encouraged to participate in this study.<br />
This includes major elective centres, tertiary<br />
units, and district general hospitals. Initially,<br />
the audit will focus on UCA theatres used<br />
for joint replacement surgery in the UK.<br />
In addition to its standard range of Redipor®<br />
media products, instrument accessories<br />
and packaging, Cherwell also offers a<br />
fully bespoke service for any aspect of environmental<br />
monitoring programs. Cherwell’s<br />
new peel apart packs of Redipor® tryptone<br />
soy agar (TSA) settle plates, developed initially<br />
as a bespoke product for the JOINTCA-<br />
SE study, are now available for purchase as<br />
packs of 10.<br />
Cherwell Laboratories Ltd<br />
OX26 4XB BICESTER<br />
Vereinigtes Königreich<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 15/28
Festo SupraMotion <strong>2024</strong>: SupraMotion <strong>2024</strong>: Opening,<br />
closing, filling and weighing in the cleanroom.<br />
Festo SupraMotion <strong>2024</strong>: Entering Clean Room: The contactless<br />
levitation module of SupraMotion moves the freeze-dry<br />
container into a symbolized clean room.<br />
Automated filling and weighing of freeze-dry containers in the cleanroom<br />
with SupraMotion from Festo<br />
Superconductor technology:<br />
Contactless working in the<br />
laboratory of the future<br />
Superconductor technology enables the contactless movement<br />
and handling of objects – ideal for high-tech industries<br />
such as laboratory automation or biotechnology. At<br />
the Hannover Messe, Festo presents a concept for the fully<br />
automated filling and weighing of freeze-dry containers<br />
in cleanrooms. Thanks to SupraMotion levitation modules<br />
and products from the Festo automation portfolio for laboratory<br />
applications, the highest standards of cleaning and<br />
cleanliness can be met.<br />
„Keeping processes in the laboratory free of contamination is not<br />
easy,“ says Michael Schöttner, Head of SupraMotion Projects at Festo.<br />
„The equipment used, for example test tubes or measuring devices<br />
such as scales, are particularly problematic. Taken from the dirty<br />
outside, they are prone to carry contaminations to the clean inside.<br />
We can largely rule this out with our SupraMotion modules, as they<br />
allow to transport and weigh without contact.“ In the exhibit, special<br />
disposable freeze-dry containers are filled automatically and the added<br />
mass is checked using a contactless scale. Products from Festo‘s<br />
LifeTech portfolio are used in combination with a levitation module<br />
from the „SupraMotion“ range. Altogether, this results in a reliable<br />
overall solution that makes cleaning simple and effective to meets<br />
the highest cleanliness requirements.<br />
The magnetic forces between the superconductor and the carrier<br />
on which the containers are transported allow levitation heights<br />
of 10 millimeters and more. Permeating though many materials, the<br />
levitation leaves plenty of space for separating walls enclosing sterile<br />
working environments. Movement of the carrier is possible from the<br />
exterior, as is weight control using a standard laboratory scale. This<br />
keeps most of the technology outside the cleanroom; contamination<br />
of all kinds is reduced to an absolute minimum. „With our concept,<br />
we show how to combine our innovative superconductor technology<br />
with our automation solutions for the life science sector and thus<br />
manage some of the most demanding challenges in laboratory automation,“<br />
says Michael Schöttner.<br />
Opening, closing, filling and weighing in the cleanroom<br />
The contactless levitation module of SupraMotion moves the<br />
freeze-dry container into a symbolized clean room. A universally applicable,<br />
compact EHMD rotary gripper module, which was specially<br />
developed for laboratory automation, opens the screw cap of the<br />
container regardless of its thread pitch. It is then transported to the<br />
next station, where two VTOE dosing heads fill the container with<br />
liquid. These dosing heads work very precisely with a typical varia-<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 16/28
tion coefficient of < 1% in the range of 10 to 1000 μl. The contactless<br />
scales integrated into the transport system allow the filling volume to<br />
be checked precisely at all times during the process.<br />
„With the SupraMotion modules and our products for laboratory<br />
automation, we are able to design innovative complete solutions<br />
for customers in the life science sector – precise, reliable and largely<br />
contamination-free thanks to contactless handling. Only Festo offers<br />
these solutions!“ says Marcus Kroll, Head of LifeTech Business<br />
Development at Festo. Upon request, interested potential users will<br />
receive a customized application according to their needs.<br />
About superconductor-based magnetic levitation<br />
Superconductors are materials with unique magnetic features. The<br />
superconductor used in SupraMotion applications can anchor the<br />
magnetic field of a permanent magnet to its bulk, creating a strong<br />
but invisible coupling keeping the magnet and superconductor at a<br />
fixed distance from each other – even through walls, in liquids or in a<br />
vacuum. Levitation gaps of 10 mm and more are possible. As long as<br />
it remains below its transition temperature, the magnetic memory of<br />
the superconductor stores the fingerprint of the magnet and thus its<br />
position, even if the two are separated.<br />
The technology is characterized by a low energy requirement<br />
independent of the levitation height and payload – the power requirement<br />
with the current coolers is between 15 and 80 watts, depending<br />
on the application. The levitation effect is maintained for<br />
up to 15 minutes in case of a power failure, does not require separate<br />
control technology and does not heat up surfaces or levitation<br />
modules.<br />
Festo SE & Co. KG<br />
D 73734 Esslingen<br />
BOY Machines celebrated a successful<br />
fiftieth anniversary at the NPE in Orlando<br />
The German machine manufacturer BOY can look back on a successful<br />
and well-attended plastics trade fair, the NPE in Orlando.<br />
With a total of six exhibits the specialist for injection moulding<br />
machines, based in Neustadt-Fernthal, Germany, impressed a large<br />
number of trade fair visitors with interesting applications at the<br />
booth of its American sister company BOY Machines, Inc.<br />
BOY Machines, Exton PA (BMI) has been a solid factor in the<br />
North American plastics industry since 1974.<br />
A representative selection of the BOY machine range was demonstrated<br />
to the trade visitors at the exhibition booth right at the<br />
main entrance to Hall West: from the new BOY XS E and the compact<br />
BOY 35 E VV injection moulding machine with integrated automation<br />
cell to the BOY 125 E with an efficient servo drive and 1250<br />
kN clamping force. The performance and strengths of the various<br />
machines, the good automation options as well as the machine efficiency<br />
were perfectly presented on the very functional, bright and<br />
openly designed exhibition booth.<br />
Mrs. Helga Schiffer, Chairwoman of BMI‘s Board of Directors,<br />
was particularly impressed by the success of the NPE trade fair appearance.<br />
‘We have participated in all NPE trade fairs from the very<br />
beginning,’ commented Helga Schiffer, ‘but this time the number of<br />
visitors clearly exceeded anything we have seen before and the discussions<br />
were of a high quality. The need for personal contacts and<br />
information after the long period of six years since the last NPE was<br />
clearly noticeable.’<br />
A good example of the efficiency of the BOY injection moulding<br />
machines was the introduction of a production cell developed on<br />
the basis of the LR 5, which demonstrated the versatility of the BOY<br />
LR 5. In collaboration with a BOY 35 E VV insert moulding machine,<br />
an additional input terminal, the LR 5 handling device and another<br />
assembly automation, metal inserts were over-moulded into T-shaped<br />
handles, removed by the LR 5 and delivered to an assembly automation<br />
system.<br />
Here, four bits individually selected by the visitor were inserted<br />
into the plastic handle. The assembled set was then placed on a<br />
conveyor belt by the LR 5 and the visitor received a self-configured<br />
tool set.<br />
The compact BOY 35 E VV with sliding table attracted special<br />
interest by the visitors. BOY exhibited this machine for the first time<br />
in the USA.<br />
A particular ‘NPE eye-catcher’ was the BOY 60 E hybrid equipped<br />
with electromechanical injection and plasticising as well as an<br />
electromechanical ejector. Our injection moulding machine is completed<br />
with our proven servo-hydraulic two-platen clamping unit. It<br />
has turned out that this is often a much better option than the current<br />
purely electromechanical machines. The clamping unit of the<br />
BOY 60 E is cleaner in particular and therefore more suitable for<br />
clean room applications, it has lower energy consumption and the<br />
smallest footprint in its industry.<br />
Dr. Boy GmbH & Co. KG<br />
D 53577 Neustadt-Fernthal<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 17/28
Improved die-to-wafer assembly flow opens doors to logic/memory-on-logic stacking,<br />
and to optically interconnected systems-on-wafer<br />
Imec demonstrates die-to-wafer<br />
hybrid bonding with a Cu<br />
interconnect pad pitch of 2µm<br />
This week, at the <strong>2024</strong> IEEE Electronic Components and Technology<br />
Conference (ECTC), imec, a world-leading research and innovation<br />
hub in nanoelectronics and digital technologies, presents a<br />
Cu-to-Cu and SiCN-to-SiCN die-to-wafer bonding process resulting<br />
in a Cu bond pad pitch of only 2µm at
Acquisition will advance Merck’s integrated offering for viral vector manufacturing.<br />
Merck Signs Definitive Agreement<br />
to Acquire Life Science Company<br />
Mirus Bio for US$ 600 million<br />
– Acquisition will advance Merck’s integrated offering for viral vector manufacturing<br />
– Complements existing portfolio for development and production of novel modalities such as cell and gene therapies<br />
– Novel modalities are a key growth area for Merck’s Life Science business sector<br />
Merck, a leading science and technology company, has signed a<br />
definitive agreement to acquire life science company Mirus Bio for<br />
US$ 600 million (around € 550 million). Based in Madison, Wisconsin,<br />
USA, Mirus Bio is a specialist in the development and commercialization<br />
of transfection reagents. Transfection reagents, such as<br />
Mirus Bio‘s TransIT-VirusG<strong>EN</strong>®, are used to help introduce genetic<br />
material into cells. These reagents play a key role in the production<br />
of viral vectors for cell and gene therapies.<br />
“This strategic acquisition is a further building block for accelerating<br />
growth in the break-through technologies of the future. As<br />
a leader in the production of viral vectors, our goal is to make the<br />
significant potential of cell and gene therapy available for patients<br />
worldwide,” said Belén Garijo, Chair of the Executive Board and<br />
CEO of Merck. “As a leading science and technology company, we<br />
are well-positioned to support our Life Science customers across<br />
the biopharmaceutical industry to bring new curative treatments to<br />
market.”<br />
“Novel modalities, such as viral vector-based cell and gene therapies,<br />
hold immense promise to improve the lives of patients. Combining<br />
Mirus Bio‘s leading technology with Merck‘s bioprocessing<br />
expertise and portfolio allows us to provide solutions for almost every<br />
step of viral vector development and manufacturing,” said Matthias<br />
Heinzel, Member of the Executive Board of Merck and CEO<br />
Life Science. “With our integrated offering along the viral vector value<br />
chain, we are now well-positioned to support our customers in<br />
this fast-growing market to positively impact the lives and health of<br />
patients worldwide.”<br />
“We have been driving innovation in nucleic acid delivery for<br />
two decades,” said Dale Gordon, CEO of Mirus Bio. “Merck’s broad<br />
portfolio, scale, and global reach, combined with our leading transfection<br />
reagents, will help take our business to even greater heights<br />
and allow us to serve more customers, and ultimately patients,<br />
worldwide.”<br />
The transaction with Gamma Biosciences, a life sciences platform<br />
established by global investment firm KKR, for the acquisition<br />
of Mirus Bio is expected to close in the third quarter of <strong>2024</strong> and is<br />
subject to regulatory clearance and other customary closing conditions.<br />
Merck’s Life Science business sector provides the tools, highgrade<br />
chemicals and consumables that accelerate scientific breakthroughs<br />
across the entire pharmaceutical industry. This includes<br />
one of the broadest product portfolios for bioproduction processes.<br />
The acquisition of Mirus Bio is an important step towards Merck‘s<br />
ambition to offer solutions for every step of viral vector manufacturing<br />
to advance cell and gene therapies from preclinical through<br />
commercial production. The company’s expertise covers a variety of<br />
viral vector types, including adeno-associated virus, lentivirus, and<br />
adenovirus. Additionally, Merck offers both contract testing services<br />
and a wide range of comprehensive contract development and manufacturing<br />
services for viral vector manufacturing with more than<br />
three decades of experience supporting cell and gene therapies on<br />
the path to commercialization.<br />
Novel Modalities like cell and gene therapies, antibody-drug<br />
conjugates or mRNA hold immense promise to improve the lives of<br />
patients. The global market for process products for these modalities<br />
is expected to grow by around 20% per year over the mid-term. For<br />
example, the overall number of cell and gene therapies in development<br />
has doubled since 2019, and more advance to commercial<br />
stage. Viral Vectors play a key role in the production of these lifechanging<br />
therapies. To support this growth, Merck opened its second<br />
Carlsbad, California-based viral vector contract development<br />
manufacturing facility in 2021. The € 100 million investment more<br />
than doubled the company’s capacity to support large-scale commercial<br />
and industrial manufacturing for viral gene therapy. Merck<br />
also invests in R&D to enable its customers to bring new curative<br />
treatments to market. In <strong>2024</strong>, the company laid the cornerstone<br />
for a new Life Science research center at its global headquarters in<br />
Darmstadt. The more than € 300 million investment will bring together<br />
research on key technologies, including viral vectors and novel<br />
modalities like mRNA.<br />
Merck KGaA<br />
D 64293 Darmstadt<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 19/28
On-chip flow cytometer using integrated photonics paves the way<br />
for high-throughput cell analysis<br />
Scalable on-chip detection of<br />
human white blood cells<br />
Imec, a world-leading research and innovation hub in nanoelectronics<br />
and digital technologies, and Sarcura GmbH, an Austrian<br />
early-stage technology start-up, present their proof-of-concept<br />
on-chip flow cytometer using integrated photonics. Published on<br />
20th May <strong>2024</strong> in Scientific Reports, part of the Nature Publishing<br />
Group, this innovation offers a unique platform for the detection<br />
and discrimination of human leukocytes and marks a significant<br />
stride towards cost-effective, scalable, and highly parallelized cell<br />
analysis.<br />
Accurate identification of human cells is a<br />
key operation in modern medicine, pivotal<br />
for understanding disease mechanisms and<br />
advancing targeted and personalized treatments.<br />
With the advent of cell manufacturing,<br />
living cells can now be engineered to<br />
function as treatments, notably in groundbreaking<br />
therapies like CAR-T immune cell<br />
therapy for cancer. The ability to identify<br />
these therapeutic cells in complex cell products<br />
at high throughput is crucial, and often<br />
time sensitive.<br />
The method of choice today is flow cytometry,<br />
which enables characterization of<br />
cell populations based on the physical and<br />
chemical characteristics of individual cells<br />
as they flow past a laser. However, the current<br />
implementation include bulky instrumentation,<br />
complex and manual workflows<br />
(posing contamination risks), and high<br />
operational costs. These challenges hinder<br />
widespread availability and adoption of cell<br />
therapies in decentralized settings.<br />
To address these limitations, imec<br />
harnesses its expertise in CMOS<br />
technology, photonics, and fluidics to<br />
automate, miniaturize and parallelize flow<br />
cytometry. In a study published in Scientific<br />
Reports imec, together with Sarcura, unveils<br />
an on-chip flow cytometer using integrated<br />
photonics. Fabricated on imec’s 200mm<br />
CMOS pilot line, the opto-fluidic chip features<br />
a pioneering material stack facilitating<br />
both cell illumination and capturing of scattered<br />
light through waveguide optics, and<br />
precise cell delivery to the detection points<br />
using microfluidic channels.<br />
“Silicon photonics, as successfully demonstrated<br />
in this novel photonic chip, is<br />
the revolutionary and essential building<br />
block that merges single-cell detection capabilities<br />
with massive parallelization on<br />
a dramatically miniaturized footprint. This<br />
breakthrough opens new possibilities for<br />
addressing previously unsolved challenges<br />
in applications such as cell therapy manu-<br />
Figure 2: (Left) Schematic cross-section of the chip layer stack, indicating light coupling<br />
into the chip, cell illumination, and collection and detection of cell scattering signals.<br />
(Right) Experimental scatter plot of a full peripheral blood mononuclear sample<br />
measured with the on-chip flow cytometer.<br />
Figure 1: Picture of the on-chip flow cytometer.<br />
facturing,“ states Daniela Buchmayr, CEO<br />
and Co-founder of Sarcura.<br />
Niels Verellen, Scientific Director at<br />
imec, remarked, “We have demonstrated,<br />
for the first time, that a monolithically integrated<br />
biophotonic chip can be used to collect<br />
optical scattering signals that allow the<br />
discrimination of lymphocytes and monocytes<br />
from a patient’s blood sample, rivaling<br />
the performance of commercial cytometers.<br />
The main advantage lies in the potential for<br />
dense parallelization of multiple flow channels<br />
to boost the system throughput.” In a<br />
next phase, the compact, alignment-free<br />
design should enable billions of cells to be<br />
identified within a limited amount of time.<br />
Crucially, the chip architecture seamlessly<br />
integrates with imec’s previously<br />
developed bubble jet cell sorting module,<br />
compatible with wafer-scale fabrication.<br />
Furthermore, the photonic components and<br />
layout can be tailored to suit specific applications.<br />
This proof-of-concept therefore<br />
marks a substantial leap towards cost-effective,<br />
scalable, and highly parallelized cell<br />
sorting platforms.<br />
IMEC Belgium<br />
BL 3001 Leuven<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 20/28
Viola Schäfer, Pfeiffer Vacuum Market Manager R&D (right) welcomes Professor Aulenbacher (left) and Dr. Keckert (center)<br />
to the Pfeiffer Vacuum booth at the DPG conference.<br />
Pfeiffer Vacuum Supports Young<br />
Scientist Award for Accelerator Physics<br />
Conferred by the German Physical Society<br />
– New materials for superconducting high-frequency systems<br />
– Vacuum indispensable for basic scientific research<br />
– DPG Working Group on Accelerator Physics confers award in cooperation with renowned institutions and corporations<br />
Dr. Sebastian Keckert is awarded this year’s Young Scientist Award<br />
for Accelerator Physics by the German Physical Society (DPG). The<br />
prize is endowed with 5,000 euros and honors his outstanding research<br />
results in the field of new materials for superconducting<br />
high-frequency systems. Pfeiffer Vacuum is a sponsor of this award<br />
which is conferred annually by the DPG Working Group on Accelerator<br />
Physics in cooperation with renowned institutions and corporations.<br />
The institutions German Electron Synchrotron (DESY),<br />
GSI Helmholtz Centre for Heavy Ion Research and Helmholtz Centre<br />
Berlin for Materials and Energy (HZB) as well as the companies<br />
Pfeiffer Vacuum and RI Research Instruments jointly honor young<br />
scientists. The goal is to recognize the work of young researchers in<br />
the field of accelerator physics at an early stage of their research at a<br />
university or scientific institution.<br />
Keckert has achieved a decisive further development involving<br />
the principle of the quadrupole resonator for testing superconducting<br />
materials. The improvements he has made are now used by<br />
several laboratories worldwide. They provide the foundation for<br />
precise and comprehensive characterization of the high-frequency<br />
properties of new superconducting material systems. Among his<br />
successes is the first precise characterization of a multilayer superconductor<br />
with the potential to outperform the conventionally used<br />
material niobium in its attainable field strength as well as its power<br />
loss. Mobilizing these potentials is of considerable importance for<br />
designing future accelerator systems, particularly when sustainability<br />
aspects are considered.<br />
“The next big step for superconducting particle accelerators is<br />
cavities which use superconducting thin-film layers”, explains Keckert.<br />
“This would allow cavities to be operated at 4 Kelvin instead of<br />
2 Kelvin. Significant savings in the energy used for cooling purposes<br />
could be achieved as a result. In addition, the use of simpler cooling<br />
systems opens up entirely new applications for superconducting<br />
particle accelerators.”<br />
Andreas Schopphoff, Head of Market Segment R&D at Pfeiffer<br />
Vacuum, outlines the importance of vacuum technology for cutting-edge<br />
research: “We are pleased that our products are used in<br />
accelerator systems to obtain new research results and to make these<br />
systems more sustainable. Advanced vacuum solutions not only<br />
enable precise experiments, but also an efficient use of resources<br />
and a reduction in the energy consumption of these systems. Superconducting<br />
cavities can accelerate particles to high energies very<br />
efficiently.”<br />
The DPG Working Group on Accelerator Physics (AKBP) is an<br />
association of experts from the field of accelerator physics in Germany.<br />
It works to advance and develop accelerator physics and organizes<br />
regular events as well as conferences to promote an exchange<br />
between scientists, industry and politics.<br />
Pfeiffer Vacuum GmbH<br />
D 35614 Asslar<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 21/28
“Flowtracers” animate the filling details of the screening star in SIGMASOFT®<br />
SIGMASOFT® at DKT <strong>2024</strong><br />
New features for elastomer simulation<br />
At DKT <strong>2024</strong>, SIGMA Engineering introduces the new version<br />
of SIGMASOFT® Virtual Molding. The spotlight is on<br />
new features specifically for elastomers, such as a new generic<br />
elastomer database: SIGMA Rubber Designer.<br />
At DKT <strong>2024</strong> in Nuremberg (July 1-4), SIGMA Engineering GmbH<br />
will showcase the advancements of SIGMASOFT® at booth 9-215.<br />
With the new version 6.1.1, new features for elastomer processing become<br />
available.<br />
The measurement of elastomers is time-consuming and costintensive,<br />
which is why the material data of the compounds based<br />
on measurements is only available for the simulation in a few cases.<br />
This is where the SIGMA Rubber Designer comes in: This generic<br />
database allows approximation of the own elastomer compound<br />
without measurement, for use in simulation, thereby obtaining realistic<br />
results swiftly and efficiently.<br />
In the continuous development of SIGMASOFT® Virtual<br />
Molding, research is always at the forefront. The pursuit of improvements<br />
and the development or adaptation of new models continuously<br />
expands the software‘s capabilities, especially in elastomer<br />
processing, where these extended approaches are required. At the<br />
conference, Timo Gebauer, CTO of SIGMA, will present the contribution<br />
„Viscoelastic constitutive modeling for flow simulation in injection<br />
and compression molding based on log-conformation methods.“<br />
Although the first rheological models for viscoelastic constitutive<br />
modeling were published over 70 years ago, their application<br />
in industrial process simulation is still limited. Approaches with<br />
state-of-the-art numerical methods based on the log-conformation<br />
approach have been developed and implemented in SIGMASOFT®<br />
to address further challenges in material characterization and model<br />
selection or adaptation.<br />
Together with industry partners, SIGMA will present practical<br />
examples of ongoing processes developed with SIGMASOFT®. At<br />
the <strong>EN</strong>GEL stand, the production of a screening star made of NBR<br />
and the large-scale production of slit valves made of LSR will be presented.<br />
At the SIGMASOFT® booth, explanatory simulation results<br />
will be available.<br />
„Our focus is not on our software itself, but on what can be achieved<br />
with it,“ says Thomas Klein, CEO of SIGMA. „We guide our customers,<br />
train dozens of simulation experts every year, and are available<br />
every day to provide engineering assistance. Especially in the<br />
elastomer world, this is of central importance, and we are proud to<br />
have been a sought-after partner in this industry network for many<br />
years.“<br />
SIGMA Engineering GmbH<br />
D 52<strong>07</strong>2 Aachen<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 22/28
Exhibition Grounds (Copyright: DECHEMA/Markus Püttmann)<br />
ACHEMA <strong>2024</strong> sets the tone for a more sustainable<br />
and competitive process industry<br />
At ACHEMA <strong>2024</strong>, the world‘s leading trade show for the<br />
process industries, 2,842 exhibitors from 56 nations showed<br />
106,001 participants from 141 countries the latest equipment<br />
and innovative processes for the chemical, pharmaceutical<br />
and food processing industries at the Frankfurt exhibition<br />
grounds from 10 to 14 June <strong>2024</strong>.<br />
Whether it was laboratory or pharmaceutical technology, plant engineering<br />
or classic process technology, the stands in the halls were<br />
very busy and at times there was no getting trough in the aisles. „The<br />
exhibitors we spoke to and we ourselves are extremely satisfied, in<br />
some cases there was so much going on at the stands that the stand<br />
staff couldn‘t keep up. Many of the discussions were directly related<br />
to projects or investments“, confirms Jürgen Nowicki, Chairman of<br />
the ACHEMA Committee and CEO of Linde Engineering.<br />
Dr Björn Mathes, CEO of DECHEMA Ausstellungs-GmbH, is<br />
also very satisfied: „This ACHEMA gives the industry an impulse<br />
that will remain trend-setting for the coming years.” ACHEMA <strong>2024</strong><br />
recorded significant growth in the number of exhibitors: 2,842 exhibitors<br />
from 56 countries presented their products and innovations<br />
on around 100,000 square metres of net exhibition space. With a 63<br />
per cent share of international exhibitors, this year‘s leading trade<br />
show for the global process industries was the most international of<br />
all time. In addition, 106,001 participants from 141 nations came to<br />
this year‘s ACHEMA. Every second participant (48.9 per cent) came<br />
from abroad.<br />
The six innovation themes of ACHEMA <strong>2024</strong> – Process, Pharma,<br />
Green, Lab, Digital and Hydrogen – also met with great interest. They<br />
focus on key challenges that are affecting the process industry today<br />
and in the coming years and present concrete business cases for solving<br />
them, particularly at the Innovation Stages. The innovation themes<br />
have a more overarching character and were organised together<br />
with partners from industry, SMEs, associations and institutions.<br />
Overall, the high-calibre and broad ACHEMA congress programme<br />
with over 900 lectures, discussion panels and workshops<br />
was once again very well received. „With more than 30,000 listeners<br />
in the congress, the attendance figures are higher than ever before,“<br />
says Dr Andreas Förster, Executive Director of DECHEMA e.V.<br />
In the congress programme, the hydrogen topics as well as lectures<br />
on electrification and flexibilization met with particularly great interest.<br />
In addition, the lectures on pharma and life sciences as well as<br />
on digitalization (e.g. AI, MTP, APL) were among the best attended.<br />
Björn Mathes adds: „The exhibition and congress once again showcased<br />
the technological future of our industry and set an outstanding<br />
accent for a more sustainable and competitive process industry.“<br />
The next ACHEMA will take place in<br />
Frankfurt from 14 to 18 June 2027.<br />
DECHEMA Ausstellungs-GmbH<br />
D 60486 Frankfurt am Main<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 23/28
The final pitches at MEDICA Start-up COMPETITION have been<br />
among the most popular program highlights for years and are always<br />
well attended (© Constanze Tillmann/ Messe Düsseldorf).<br />
The MEDICA START-UP PARK is the meeting place<br />
for networking for and with the creative start-up scene<br />
(© Constanze Tillmann/ Messe Düsseldorf).<br />
Keynote speeches, tech talks, panel talks and pitch sessions<br />
on all the leading digitalisation trends<br />
MEDICA INNOVATION FORUM for the<br />
healthcare innovations of the future<br />
By always offering innovations and updating existing programme<br />
formats, the internationally leading medical trade<br />
fair MEDICA in Düsseldorf has been successful for over half<br />
a century and always gives its professional visitors from all<br />
over the world a good overview of all the relevant trends and<br />
innovations in the healthcare sector. The best example of<br />
this is the MEDICA INNOVATION FORUM, the successor<br />
event to the MEDICA CONNECTED HEALTHCARE FO-<br />
RUM, which is being held right at the centre of the MEDICA<br />
world of experience “Digital Health” this year.<br />
“This is where we discuss the most important topics for the future,<br />
from the Internet of Things to robotics, artificial intelligence, augmented<br />
reality and digital twins as well as mobile health and medical<br />
wearables, 3D printing and solutions for the hospital of the future”,<br />
explains Christian Grosser, Director of MEDICA at Messe Düsseldorf.<br />
Because digital innovations are not limited to optimised connections<br />
between all healthcare players, the forum will focus on the<br />
digital transformation of the healthcare industry in its entirety. After<br />
all, start-up presentations recently already clearly reflected this wider<br />
scope of content. The popular pitch competitions will remain a<br />
fundamental part of the programme”, Grosser further explains.<br />
Renowned experts will give inspiring talks at the MEDICA IN-<br />
NOVATION FORUM (dates for MEDICA <strong>2024</strong>: 11–14 November) to<br />
initiate professional discussion among the over 8,000 forum participants<br />
expected to attend. One of them is Prof. Stephen Gilbert of the<br />
Dresden University of Technology. He recently called for LLM-based<br />
generative chat tools such as ChatGPT and MedPaLM to be approved<br />
as medical devices. Robotics expert Lorenzo Masia, Heidelberg,<br />
and André Brauers, Global Head of Immersive and Digital Education<br />
Solutions at Siemens Healthineers, will also contribute subjects for<br />
discussion.<br />
Dr Sonja Sulzmaier, a Managing Partner at Navispace, is one of<br />
the organisers of the MEDICA INNOVATION FORUM. She is also<br />
the Chairwoman for Start-Ups and Enterprise Formation of the German<br />
Association for Small and Medium-Sized Businesses (BVMW)<br />
and knows what’s important: “The degree of innovation is the decisive<br />
factor for us when selecting the programme and speakers.” The<br />
degree of innovation is also an important criterion when evaluating<br />
submissions for the 13th MEDICA START-UP COMPETITION and<br />
the 16th HEALTHCARE INNOVATION WORLD CUP.<br />
The 13th MEDICA START-UP COMPETITION <strong>2024</strong> has kicked off<br />
The 13th MEDICA START-UP COMPETITION features a range of<br />
categories such as “AI in medicine”, “robotics”, “health apps”, “lab<br />
diagnostics” and “other”. The top 12 medical start-ups of <strong>2024</strong> will<br />
present their solutions at the pitch final (on 12 November) at the ME-<br />
DICA INNOVATION FORUM.<br />
The first award for 2023 was awarded to “MeMed BV”, the first<br />
diagnostic test to be approved by the FDA and receive a CE label<br />
which can use serum or venous blood to differentiate between bacterial<br />
and viral infections in just 15 minutes. “Our product helps<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 24/28
The MEDICA START-UP COMPETITION trophies are always<br />
hotly contested (© Constanze Tillmann).<br />
clinics all over the world to make better decisions about antibiotic<br />
treatments”, says Yossi Horesh, Director of the US-Israeli company.<br />
MeMed has already established a strong position in the USA, Israel<br />
and Italy. Now, the young company is also expanding to Asia, the<br />
Middle East and other parts of Europe. Looking back at their success<br />
during the start-up competition at MEDICA 2023, Horesh adds:<br />
“Our participation in the competition kicked off a lot of productive<br />
discussions. For instance, we analysed the economic advantages of<br />
our system, particularly its capacity for reducing the use of antibiotics.<br />
We also engaged with the critical issue of treating patients with<br />
sepsis and predicting possible deterioration in a patient’s condition.<br />
Our next product, MeMed Universal Severity, will address these issues.<br />
It is designed to help clinicians better assess the risk if an infection<br />
is suspected.”<br />
“We were in very good company as one of the finalists”<br />
With the first ultrasound robot to bear a CE label, the Danish company<br />
Ropca took third place at last year’s MEDICA START-UP COM-<br />
PETITION. Ropca has automated the process of ultrasound imaging<br />
and image analysis: The “ARTHUR” platform, a robot arm, and the<br />
artificial intelligence product “DIANA” help to overcome the growing<br />
challenge posed by increasing numbers of patients afftected<br />
by rheumatoid arthritis, hospital treatment costs and the shortage<br />
of specialists.<br />
Johannes Schäeferhoff, CEO of Ropca, reports that the company<br />
had good experiences with MEDICA even before applying to the<br />
competition. In 2022, the company (founded in 2019) won the KUKA<br />
award for medical innovation and attracted particular attention from<br />
the trade fair audience. After the big on-stage pitch final as part of<br />
MEDICA 2023, Schäeferhoff can now report a recent successful<br />
round of investor funding and its outcome: “We are all about internationalisation<br />
now.” The system is primarily intended for large medical<br />
centres such as university hospitals and has the potential to reduce<br />
waiting times for rheumatology appointments. In Germany, the<br />
company plans to test screening with Ropca in several cities, and the<br />
list of appropriate indications could also be expanded. “We will be<br />
approved for osteoarthritis in the near future”, Schäeferhoff is sure.<br />
Solutions for the „Internet of Medical Things“<br />
The HEALTHCARE INNOVATION WORLD CUP shines a spotlight<br />
on the next generation of smart health devices, medical wearables,<br />
digital biomarkers, electroceuticals, smart plasters and more. First<br />
place at the MEDICA pitch 2023 went to DiaMonTech with a blood<br />
glucose measurement system that does away with needles completely.<br />
“As of today, there is no other device that performs this measurement<br />
with an equal level of precision”, says Thorsten Lubinski,<br />
CEO of the Berlin start-up. Instead of a needle, a beam of light is<br />
directed onto the skin. This light heats the glucose molecules in the<br />
skin almost exclusively. The increase in heat is so minimal that it<br />
cannot be felt, but it is certainly measurable. Based on this heat development,<br />
the device calculates the blood glucose value.<br />
After their win at the HEALTHCARE INNOVATION WORLD<br />
CUP 2023, Lubinski expressed how pleased he was: “This has exceeded<br />
our expectations.” There have been several meetings with investors.<br />
After their win in Düsseldorf, the company saw further success,<br />
concluding a round of funding amounting to millions of euros. The<br />
funds raised will be used to further refine the prototype of the D-Pocket<br />
handheld device, to conduct important clinical trials and accelerate<br />
market entry in the US and EU. The product is not yet available<br />
for purchase, unlike the Seismofit system.<br />
Ventrject, the second-place winner at the HEALTHCARE IN-<br />
NOVATION WORLD CUP 2023, is already offering the latter on<br />
markets in Denmark, Germany, Great Britain and Ireland. The system<br />
records cardiorespiratory fitness (CRF), measured as VO2-max.<br />
“And it does this more accurately than any fitness tracker can and<br />
is in the gold standard range”, says Mikkel Kristiansen, CEO of the<br />
Danish company. The actual gold standard, according to Kristiansen,<br />
are 15-minute tests, either cycling on an ergometer or running<br />
on a treadmill. By contrast, a test with Seismofit takes less than three<br />
minutes and involves no physical activity. It measures the vibrations<br />
caused by heartbeats and transferred to the ribcage. A cloud-based<br />
algorithm analyses the signal. According to Kristiansen, participating<br />
in the start-up competition at MEDICA 2023 was well worth it:<br />
“We want this test to be purchasable for a large majority of people<br />
and our plan was to present the device on stage to customers and<br />
possible partners from all over the world. After winning second place,<br />
we really did strike up conversations with many potential resellers<br />
from all over the world. That exceeded our expectations.” Next,<br />
Kristiansen wants to tap into new markets with his company.<br />
Applications for (free) participation in this year’s MEDICA<br />
START-UP COMPETITION and HEALTHCARE INNOVATION<br />
WORLD CUP are now open. For more information on the terms<br />
and conditions of participation and the link to register, as well as upto-date<br />
information on the MEDICA INNOVATION FORUM, see<br />
https://www.medica-tradefair.com/mif2.<br />
Innovative start-ups and scale-ups at the professional trade fair<br />
At MEDICA, the stage isn’t the only place you can find start-ups and<br />
scale-ups presenting exciting digital innovations. Every year, several<br />
hundreds of recently founded companies participate, making ME-<br />
DICA the world’s leading event for health start-ups. For example,<br />
we recommend the MEDICA START-UP PARK again this year as a<br />
central venue for networking with the digitally based start-up scene.<br />
The special exhibition’s shared floor space will feature more than 50<br />
participants providing information on their creative ideas and practical<br />
solutions for modern healthcare.<br />
More than 6,000 exhibitors from around 70 countries are expected<br />
to attend MEDICA <strong>2024</strong> and COMPAMED, the supplier trade<br />
fair held in parallel. Last year, both events recorded 83,000 visiting<br />
professionals from more than 160 countries.<br />
Deutsche Messe AG<br />
D 30521 Hannover<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 25/28
Even higher precision, lower friction and more dynamic response: The new precision<br />
double tube linear actuators from Ganter are available in a wide range of variants to<br />
open up new areas of application or enable seamless upgrading of existing linear actua<br />
Seamless Upgrade for More Precision<br />
and Dynamic Response<br />
Behind the new precision double tube linear<br />
actuators lies a system of numerous versions<br />
with different guides, stroke lengths, spindle<br />
types, slide sizes and materials. The slide is<br />
moved by a trapezoidal, fine thread or recirculating<br />
ball spindle, with left- or right-hand<br />
thread, and is guided either by a plain bearing<br />
or by a roller bearing with linear bushings.<br />
This means that all newly developed<br />
versions significantly reduce the maintenance<br />
requirements while enabling kinematics<br />
with high repeatability, including in<br />
applications where low shifting forces are<br />
required even under a load.<br />
The end pieces and the two round<br />
guides are secured with a refined internal<br />
spreader mechanism to ensure precise spacing<br />
and parallel alignment. Together with<br />
the two- or four-bearing slide, this yields<br />
minimal play, making the linear actuators<br />
suitable for applications demanding<br />
high precision. If necessary, the spindle<br />
can also be secured against unintended<br />
movement with an integrated clamping mechanism.<br />
All linear actuators can be ordered<br />
in the material combinations aluminumsteel<br />
or aluminum-stainless steel, with one<br />
or two single or double sliders.<br />
The spindle journal can be present<br />
either on one or both sides, while the journal<br />
length depends on the specific fittings<br />
– handwheels, position indicators or a combination<br />
of these. Adapters for angular gears<br />
and transfer units are also available separately<br />
as standard accessories.<br />
Because the new precision double tube<br />
linear actuators represent an evolutionary<br />
advance over the established types, they<br />
can easily serve as replacements for the other<br />
models still in the Ganter product range.<br />
Otto Ganter GmbH & Co. KG<br />
Triberger Straße 3 D 78120 Furtwangen<br />
Telefon: +49 7723 65<strong>07</strong>0<br />
Telefax: +49 7723 4659<br />
eMail: info@ganternorm.com<br />
Internet: http://www.ganternorm.com<br />
TROLLEY SLIM with TABLET DOCKING HINGE<br />
and power connection<br />
The TROLLEY SLIM, an innovative mobile workstation,<br />
redefines mobility in cleanrooms. With its unique TABLET<br />
DOCKING HINGE and the power connection at the base, it<br />
sets new standards in flexibility and efficiency.<br />
Designed for environments with high hygiene requirements,<br />
the TROLLEY SLIM offers a space-saving solution<br />
for cleanrooms. The stainless steel construction allows for<br />
secure storage and charging of the tablet via the magnetic<br />
CLEANROOM CONNECTOR. The tablet can be charged<br />
in the TROLLEY via a cleanroom-compatible power connection<br />
on the base. Thanks to our Plug & Play system, the<br />
TROLLEY SLIM can be installed quickly without any structural<br />
changes, making it ready for immediate use.<br />
Systec & Solutions GmbH<br />
Wilhelm-Schickard-Str. 9<br />
D 76131 Karlsruhe<br />
Telefon: +49 721 663510<br />
eMail: talk@systec-solutions.com<br />
Internet: http://www.systec-solutions.com<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 26/28
GEMÜ butterfly valves in the GEMÜ R480 Victoria series and the GEMÜ B20 ball valve have been<br />
awarded gas approval by DVGW (German Technical and Scientific Association for Gas and Water).<br />
Reliable quarter turn<br />
valves for gas applications<br />
The GEMÜ R480 Victoria and GEMÜ B20 are suitable for use with<br />
different gases, such as natural gas and biogas (the main component<br />
of which is methane), propane and liquid gases containing butane.<br />
They are approved for gas production, treatment and injection. This<br />
includes use for combustible gases in gas families two and three in<br />
gas burners and gas appliances. It also includes the use of hydrogen.<br />
The DVGW regulatory code is used to confirm the ability of these<br />
valves to work reliably and precisely in different gas environments.<br />
The butterfly valves are available in nominal sizes DN 25 to DN<br />
600 and can be ordered with the „G“ (the marking for gas) special<br />
function with immediate effect. The GEMÜ B20 ball valve in nominal<br />
sizes DN 8 to DN 65, which also has the „G“ special function, is<br />
suitable for use with combustible gases. A yellow hand lever is available<br />
as an option for better visual identification. A type examination<br />
was carried out for both quarter turn valves in accordance with DIN<br />
<strong>EN</strong> 13774.<br />
The GEMÜ R480 Victoria butterfly valve is certified and listed<br />
under registration number DG-313CQ0540, and the GEMÜ B20 ball<br />
valve under registration number 22-00143-AB01-130.<br />
GEMÜ Gebr. Müller Apparatebau GmbH & Co. KG<br />
D 74653 Ingelfingen<br />
GEMÜ B20<br />
+ GEMÜ R480 Victoria<br />
CONNECT BOX for TABLET with<br />
Surface Pro 8 and RFID/NFC reader<br />
User identification is now even easier and<br />
faster on the TABLET with the new CON-<br />
NECT BOX for Surface Pro 8 with integrated<br />
RFID/NFC reader.<br />
The CONNECT BOX is mounted underneath<br />
the TABLET on the Magnetic Service<br />
USB port on the left side, seamlessly integrated<br />
and ergonomically designed. It is integ-<br />
rated into a cleanroom-compatible housing<br />
with IP65, which meets the highest hygiene<br />
standards and ensures reliable performance<br />
even in demanding environments.<br />
All configurations that are already possible<br />
with the CONNECT BOX on the HMI,<br />
such as HID mode, Nymi compatibility and<br />
smartcard option (CCID), are also available<br />
with the CONNECT BOX for TABLET.<br />
The CONNECT BOX for TABLET minimizes<br />
the risk of input mistakes and saves<br />
valuable time. The innovative add-on optimizes<br />
your work processes and offers you<br />
maximum flexibility.<br />
Systec & Solutions GmbH<br />
Wilhelm-Schickard-Str. 9<br />
D 76131 Karlsruhe<br />
Telefon: +49 721 663510<br />
eMail: talk@systec-solutions.com<br />
Internet: http://www.systec-solutions.com<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 27/28
The new DRYPOINT RA III<br />
BEKO TECHNOLOGIES is now launching<br />
the third generation of its proven DRYPO-<br />
INT RA compressed air refrigeration dryers.<br />
The new systems are available in five<br />
different sizes for capacities from 20 m³/h<br />
to 3000 m³/h and as air-cooled or water-cooled<br />
model specifications.<br />
Users benefit from greater process reliability<br />
and energy efficiency. The modern design<br />
with the significantly more compact dimensions<br />
compared to the second generation<br />
of devices with the same performance<br />
stands for reliable compressed air drying.<br />
Beko Technologies integrates the electronically<br />
level-controlled Bekomat condensate<br />
drain into the DRYPOINT RA III refrigeration<br />
dryers as standard. The condensate drain<br />
is monitored via the central control unit of<br />
the refrigeration dryers. The excellent accessibility<br />
of all components reduces the<br />
time and effort required for servicing.<br />
Environmentally friendly refrigerant<br />
The new DRYPOINT RA III refrigeration<br />
dryers use the environmentally friendly refrigerant<br />
R513A with low GWP and ASHRAE<br />
A1 classification for non-flammability and<br />
zero ozone depletion potential (ODP). The<br />
reduction in the amount of refrigerant by<br />
an average of 33 % compared to the second<br />
generation of appliances protects the environment.<br />
Intelligent control<br />
BEKO TECHNOLOGIES has equipped the<br />
third generation of DRYPOINT RA refrigeration<br />
dryers with advanced control units.<br />
The smaller models for volume flows of up<br />
to 960 m³/h have an easy-to-operate LED<br />
controller with a large display. The more<br />
powerful models for volume flows of up to<br />
3000 m³/h have a touchscreen controller.<br />
Important information on temperature and<br />
pressure as well as other operating parameters<br />
are displayed live. All refrigeration<br />
dryers are equipped with a Modbus RTU<br />
and are therefore IIoT-capable. Operators<br />
benefit from immediately visible alarm messages<br />
with time and date stamps as well as<br />
downloadable reports.<br />
Heat exchanger design saves energy<br />
A special feature is the new horizontal design<br />
of the integrated heat exchangers,<br />
which differs greatly from those in conventional<br />
refrigeration dryers. This minimizes<br />
pressure loss and saves energy. The model<br />
versions for volume flows from 370 m³/h<br />
increase their cooling efficiency thanks to<br />
an optimized microchannel condenser. This<br />
enables the use of a smaller refrigerant compressor<br />
and thus additional energy and cost<br />
savings.<br />
The new DRYPOINT RA III refrigeration<br />
dryers also feature a patented hot gas<br />
bypass valve with external pressure equalization.<br />
The technology ensures a precise<br />
and stable pressure dew point of 3°C. This<br />
prevents freezing and gives users maximum<br />
process reliability.<br />
BEKO TECHNOLOGIES GMBH<br />
D 41468 Neuss<br />
Impressum:<br />
cleanroom online / W.A. Schuster GmbH · Mozartstrasse 45 · D 70180 Stuttgart · Tel. +49 711 9 64 03 50 · Fax +49 711 9 64 03 66<br />
info@reinraum.de · www.cleanroom-online.de · GF Dipl.-Designer Reinhold Schuster · Stgt, HRB 14111 · VAT DE 147811997<br />
Original texts and images<br />
The contributions mentioned by name are the responsibility of the particular author. Reprinting, also of extracts, are permitted only with the approval of<br />
the editor and with reference to the source. The publisher does not accept any responsibility for unsolicited manuscripts and illustrations. The publisher<br />
is granted the exclusive, spatial, temporal and contentual limited right to freely use the article in unchanged or edited form for all purposes as often as<br />
desired or to transfer it to third parties for use. This right of use relates to print and electric media (Internet, databases, data carriers of all kinds).<br />
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition <strong>EN</strong> <strong>07</strong>-<strong>2024</strong><br />
page 28/28