Advances in processes, masks and metrology will enable to fully benefitfrom the resolution gain offered by the first ASML 0.55NA EUV scannerImec demonstrates readiness of theHigh-NA EUV patterning ecosystemField stitching is a key enabler in High-NA: field stitching is neededbecause of the anamorphic lens (i.e., a lens with different de-magnificationin the x and y directions), resulting in field sizes of half of theconventional scanner field size. Imec will share the latest insightsthat enable at-resolution stitching based on work done with ASMLand our mask shop partners on imec’s NXE:3400C scanner. At-resolutionstitching will reduce the need for design changes to copewith the field size reduction.Assembly of a High NA EUV tool in joint imec-ASML High-NA lab atASML’s headquarters in Veldhoven, the Netherlands. (Credit: ASML)This week, at the 2024 Advanced Lithography + Patterning Conference,imec, a world-leading research and innovation hub in nanoelectronicsand digital technologies, will present the progress made inEUV processes, masks, and metrology prepared for enabling highnumericalaperture (High-NA) extreme ultraviolet (EUV) lithography.Key achievements are reported on resist and underlayer development,mask enhancement, optical proximity correction (OPC)development, at-resolution field stitching, reduction of stochasticfailures, and improved metrology and inspection. With these results,imec demonstrates readiness for transferring the EUV processesinto the joint imec-ASML High-NA EUV Lab, built around the firstprototype High-NA EUV scanner.Steven Scheer, senior vice president of Advanced Patterning, Processand Materials at imec: “The first High-NA EUV scanner (TWIN-SCAN EXE:5000) has been assembled by ASML and the first waferswill be exposed soon. In the next few months, the joint imec-ASMLHigh-NA EUV Lab will be operational, and access will be providedto the High-NA customers. The High-NA EUV lab, with the installedequipment and processes, enables an early start of High-NA EUVlearning for the customers before tools are operational in their fabs.It has been imec’s role, in tight collaboration with ASML and our extendedsupplier network, to ensure timely availability of advancedresist materials, photomasks, metrology techniques, (anamorphic)imaging strategies, and patterning techniques. Readiness of theseprocesses for High-NA enablement is shown in more than 25 paperspresented at the 2024 SPIE Adv Litho & Patt Conference.”On the material and process side, it is clear that metal-oxide resists(MORs) are still in the lead for metal line/space patterns. Imec willpresent the advancements of MOR in terms of EUV dose-to-yieldreduction. The selection of the specific underlayer, optimization ofthe development process, choice of mask absorber, mask bias andmask tonality were leading to a more than 20% dose reduction forlines and spaces, without increased roughness or stochastic failures.Also, tip-to-tip dimensions were not negatively impacted by thesedose reduction activities. The dose reduction work continues andis highly appreciated by our chip makers, since it leads to EUV costreduction due to the higher scanner throughput.An unexpected result was obtained by using MOR resists with abinary bright field mask for contact hole patterning. A 6% dose reductionwith a 30% local CD uniformity (LCDU) improvement wasachieved after pattern transfer when comparing to a positive tonechemically amplified resist (CAR) and a binary dark field mask transferredin the same stack. A remaining concern of bright field masksfor contact holes is the mask quality and defectivity. This will needcareful investigation to make MOR an option for contact holes. Untilthen, positive tone CAR resists with dark field masks will be theleading candidates for contact and via patterning in High-NA EUV.High-NA also requires improvements in metrology and inspection,giving the higher resolution (by the high NA) and thinner films(by the reduced depth of focus (DOF)). Imec will present new resultson e-beam and deep-UV (DUV) inspection, showing that newbest-known methods (BKMs) are in place to find High-NA relevantstochastic patterning failures, such as hexagonal contact holes. Inaddition, several machine learning techniques (based on denoisingSEM micrographs) will be proposed to facilitate the small defect inspectionand classification.Finally, imaging improvements through source-mask optimizationsand anamorphic mask OPC (considering the need for stitching) willbe presented by imec and partners.IMEC Belgium3001 LeuvenBelgiumwww.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024page 18/35
ICH ERWARTENICHTS.Höchste Präzision mit demLuftkeimsammler MAS-100 Iso.Damit Sie sich auf das Wesentlichekonzentrieren können.www.mas100.com/isowww.reinraum.de | www.cleanroom-online.comNEWSLETTER | Edition EN 04-2024page 19/35