Newsletter_04-2024_EN
You also want an ePaper? Increase the reach of your titles
YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.
EN 04/24
Combining
stainless steel
and single-use
Success for a highly complex automation project
Combining stainless
steel and single-use
Whether it be stainless steel plant or single-use equipment, as a leading provider of customized automation solutions, ZETA
seamlessly integrates biopharmaceutical production systems into new or existing automation environments. A project with
a renowned life science company in Germany shows how customer-specific standards are implemented with intelligent
automation strategies. For the globally active pharmaceutical company, ZETA automated a stainless steel preparation plant
designed and manufactured in-house, as well as a filtration system from a single-use provider, integrating both systems into
the overarching process control system.
In the course of the large-scale expansion of an important pharmaceutical
production site in Germany – where drug solutions for injection
and infusion are manufactured – state-of-the-art technology
was applied to ensure the highest production standards. ZETA made
its contribution with a customized multipurpose plant consisting
of mobile preparation vessels and a cleaning station (CIP/DIP/SIP)
made of stainless steel. These were complemented by a filtration
system, developed by a single-use supplier, to minimize germ contamination
of the product.
The ZETA automation team faced various challenges, as the overall
responsibility for the automation of the two flexible systems –
stainless steel and singleuse – and their integration with the higherlevel
process control system lay in their hands.
Automation concept for a smooth process control
The ZETA experts installed the automation technology based on a
carefully developed automation concept. For this project, the Siemens
PCS7 process control system with SIMATIC Batch was used.
The application software designed for the plants was created using
the customer-specific programming guideline and the module library
specified b y t he c ustomer. D uring t he c onceptual planning
stages, special attention was paid to a high degree o f fl exibility o f t
he a utomated p rocesses. The finished software system was integrated
with the existing customer PCS7 server-client system.
Pharmaceutical production in accordance with GMP and GAMP5
Observing Good Manufacturing Practice (GMP) and complying with
standards and regulations is essential in pharmaceutical production.
Automation at ZETA follows the Good Automated Manufacturing
Practice guideline GAMP5. The creation of batch recipes and batch
records – in this project, the SIMATIC Batch software system was
used for this purpose – complies with the ISA-S88 standard for batch
control. All production plants are centrally monitored and controlled
by the process control system. The recipes are created according to
the requirements of the respective processes across all plants.
Maximum reliability in the production process
Cross-system automation architecture - stainless steel and
single-use systems running on the same controller.
As requested by the customer, automation was designed as a server-client
system with a central, redundant control system. The benefit
of redundancy lies in the fact that the “spare” system is ready
to take over operation in the event of malfunctioning, which means
that redundant systems can often prevent downtime. The automation
architecture of this extensive project has been designed in a
comprehensive way, with the stainless steel and single-use systems
programmed by ZETA running on the same controller.
In the completely virtualized process control system, both the
visualization and batch servers as well as the centralized programmable
logic controllers (PLCs) and the network infrastructure were
implemented redundantly. This ensures maximum reliability for the
production processes.
Targeted solutions for special automation challenges
Redundancy provides maximum safety during operation. However,
it was precisely this requirement for redundancy that called for special
automation know-how of the companies involved. Particular attention
had to be paid to the system redundancy S2. This specifies
the coupling of one or more devices to two redundant controllers
and must be guaranteed both physically and in the programming logic.
It is essential that the instruments and components that are to
be integrated into the bus system are also capable of S2 redundancy,
placing the highest demands on the suppliers of the components.
Additionally, during processing, different types of mobile containers
must be recognized by this redundant system and docked and
undocked from the single-use filtration unit. The undocking process
in particular presented a challenge for the ZETA automation t eam.
What’s more, the single-use filtration units are mobile, interchangeable
with each other and convertible in their mode of operation.
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 2/35
The ZETA engineers lived up to this challenge and successfully developed
a technical solution for this demanding piece of automation
architecture. Part of this is a special coding system in the connectors
that transmits power and signals. This way, the respective containers
can be identified by means of the digital inputs from the connectors.
The result: a user-friendly, safe automation solution for a highly flexible
production plant!
April 2024
Dear cleanroom professionals,
There are now only a few days left until
the Lounges 2024 will take place in Karlsruhe,
Germany, from 23-25 April. I am already looking
forward to the many discussions, handshakes
and personal contact. And, of course, we are very
keen to gather lots of information at the trade
fair and inform our readers about it afterwards.
Come to the trade fair and visit
us at our stand: 12.2
I look forward to talking to you in person.
A multi-project scheme managed by ZETA
ZETA acted as the overall supplier for the automation of the process.
The extensive project was also integrated into the higher-level,
highly complex automation architecture at the production site, with
the software designed to match. Within the vertical system integration,
the ZETA preparation and cleaning system and the single-use
filtration system fit seamlessly into the bigger picture, working together
with other important system components, such as the ultrapure
media supply or the filling system, at the user level.
In addition, t he ZETA automation experts built an interface for
the pharmaceutical manufacturer’s Manufacturing Execution System
(MES). Such interfaces are particularly important for intralogistics
and production control because key economic figures and production
data are linked at the MES and Manufacturing Operations
Management (MOM) level – the keyword being IT/OT convergence.
Accelerated time-to-market in biopharmaceutical automation
„Time-to-market“ is often the top priority in biopharma projects – as
a competent solution provider and central contact for automation,
ZETA masters this challenge by reducing interfaces, thus achieving
shortened project lead times.
A deep understanding of process know-how and the ability to
solve even the most complex challenges in automation make ZETA
a sought-after partner of the biopharmaceutical industry – this is
demonstrated not least by the success of this multi-project scheme
which was achieved together with the customer in Germany.
Before the fair is after the fair. And so this month
there are once again many interesting articles in
collected form:
> Combining stainless steel and single-use
> TekniPlex Healthcare to Expand Cleanroom
Film and Bag Production with New Multilayer
Blown Film Line
> Syntegon launches new SBM Essential Line
sterilizers: Standardization for shorter delivery
times
> The world’s fastest filling line for IDT Biologika
> Zero tolerance for medical devices
> From data glasses to robotic arms
– labs enter the digital age
> . . .
With kind regards
Reinhold Schuster
ZETA GmbH
A 8501 Lieboch/Graz
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 3/35
Space-saving stand-alone system for tests – even with small quantities
Production-related integrity:
Aseptic process diaphragm pump for field
trials on homogenization of demanding
emulsions with up to 150 l/h at 400 bar
Emulsions are finely dispersed mixtures of normally immiscible liquids. They end up on consumers‘ tables as homogenized
milk in the food sector, for example. They primarily consist of water, fat and protein. According to their nature, the fat droplets
contained in raw milk are not very homogeneous. For process engineering reasons, it is therefore important to reduce
their size in advance and achieve an even distribution. This is the only way that the end product can meet both the quality
requirements of the manufacturer and consumer expectations. However, as there are increasingly demanding emulsions
in other areas besides the familiar milk, such as baby food, pharmaceuticals, cosmetics or fine chemicals as end products
or even just intermediate products, which require the highest purity in particular, LEWA has developed the LEWA homogenizer
test system. The system can be used to test the aseptic functioning of a homogenizer based on a hygienic hermetic
process diaphragm pump. Thanks to a homogenizing valve that is also hygienic, it can be flexibly adjusted to the requirements
of different emulsions. The compact system can be integrated into both the circuit („stand-alone“) and the process
line, enabling it to be continuously operated aseptically. The fluid is supplied via an integrated heatable vessel or a separate
media connection. Visitors to Anuga FoodTec can see the compact, flexible pilot plant and its possible applications at booth
D085 in hall 4.1 and discuss potential customer benefits.
Not too creamy, not too runny: everyone knows how challenging
emulsions are: be it mixing a salad dressing or preparing a stable Béarnaise
sauce in your own kitchen. The requirements of industry go
far beyond those of kitchen preparation. „In addition to knowledge
of dispersion technology, there is an increasing focus on hygiene requirements
and uninterrupted robustness in industrial production.
And this is where the advantages of hermetic homogenization or
spray tower pumps come into play: for example, to maintain a stable
and pure product quality after heat treatment,“ said Dr.-Ing. Hans-
Joachim Johl, Lead Product Manager Life Sciences/Clean Processes
at LEWA GmbH. Put simply, the piston diaphragm pump is the
heart of the system for conveying fluids under high pressure through
a narrow opening. Due to the high shear and the resulting collision
of the droplets, they are crushed and evenly distributed. Alongside a
high-pressure pump used as a pressure generator, the systems used
in this field consist of a hydraulic consumer, which is called the „homogenization
valve.“ This often has a two-stage structure to counter
the re-agglomeration of fat droplets, for example.
The pump experts from Leonberg, Germany, have developed a
new rental and test system to meet the stringent requirements of an
efficient dispersion process from both the hygiene and process engineering
perspectives. It is based on proven triplex pump technology
and, thanks to its compact design and flexible control system,
can be easily integrated into pilot or even production environments.
With the LEWA homogenizer test system, the aseptic function of a homogenizer based on a hygienic
hermetic process diaphragm pump can be tested and flexibly adjusted to the requirements of
different emulsions thanks to an equally hygienic homogenization valve. (Source: LEWA)
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 4/35
In particular, products with unusual requirements such as fluids with
high undissolved abrasive components (e.g. calcium carbonate, tricalcium
phosphate) can be tested with the system from LEWA. Even
small quantities of expensive fluids can be tested in „stand-alone“
operation without any problem.
Optimal results from the interplay between pump and valve
The heart of the system is the proven LEWA triplex high-pressure
process diaphragm pump. It ensures that the process fluid flows
through the integrated two-stage homogenization valve at the required
flow rate and pressure. It is dynamically controlled and is de-
The flow rate and pressure required to homogenize the process fluid at
the two-stage, dynamically controllable homogenization valve are realized
by a LEWA triplex process diaphragm pump. (Source: LEWA)
signed for a maximum pressure of 400 bar and a flow rate of up to 150
l/h in the test system. The LEWA triplex piston diaphragm pump also
offers a decisive advantage for homogenization: Due to its design,
the diaphragm pump does not require dynamic sealing systems. The
PTFE sandwich diaphragm – additionally equipped with leakage detectors
– ensures a hermetically sealed working chamber, such that
no emission or leakage of substrate or cleaning media or contamination
of the fluid can occur. In addition to this function, which enables
aseptic process control, all media-relevant components are designed
in accordance with the applicable hygiene specifications, e.g.,
the „Hygienic design of pumps, homogenizers and steaming equipment“
EHEDG guidelines, and are easy to clean inline (CIP, SIP) –
but also easily accessible for inspection and maintenance purposes.
„The actual homogenization process benefits from the close
interplay between customer-specific conveyor units and system
components,“ explained Dr. Johl. First, a hygienic twin screw pump
generates a specified inlet or suction pressure on the suction side
of the high-pressure pump, which is particularly important for higher
viscosities. Next, the speed-controlled high-pressure diaphragm
pump conveys the fluid at the required flow rate to a preselected
pressure P2 in the second stage. The valve remains pneumatically
closed according to the selected pressure. As soon as the pressure
and pump capacity are reached, the homogenization valve of the
first stage closes to the extent that the specified value also applies
there. The valve of the second stage is now no longer controlled. „The
ratio between the pressures is usually P2 < 1/5 x P1, but it can also
be freely selected. The homogenization pressure of the first stage,
which is now leading, is maintained by pneumatic valve control of
the dispersion gap. In this way, the homogenization process remains
virtually free from pressure fluctuations. The choice of type and design
of the homogenization valves can be specified by the customer
for later scaling of production systems. Due to sufficiently selectable
pressure reserves, a later system can also directly supply a downstream
spray drying step, which further increases the efficiency and
flexibility of the process.
Compact design and flexible control for versatile use
All system components are selected according to hygiene requirements
and mounted on a common base frame, making the system particularly
suitable for pilot or small batch processes thanks to its compact and
space-saving design. (Source: LEWA)
The system can be operated both in a circuit and continuously in the
customer process. Fluid is supplied either via the heatable vessel or a
separate media connection. (Source: LEWA)
All components are designed for hygiene and are mounted on a
common base frame with a „wash-down housing“ for the pump drive.
This compact design enables the system to be installed such that
it saves space in the production environment. Depending on whether
it is operated in a circuit or continuously, the fluid is supplied via
either the integrated heatable double-walled tank with a capacity of
60 l or a separate media connection. In addition, the LEWA smart
control control system is integrated into a control cabinet mounted
on the metering frame: also in hygienic design. Various modes and
preconfigured operating sequences (cleaning, homogenizing, etc.)
are pre-installed for simple and flexible operation. „The safety of the
system is rounded off by various protective measures: a safety valve
that can be automatically vented for cleaning to protect the system
against overpressure on the low-pressure side, a pump-integrated
pressure relief valve on the high-pressure side and various pressure
and temperature transmitters for process monitoring, as well as visual
and acoustic warning elements,“ added Dr. Johl.
From March 19 - 22, 2024, visitors to Anuga FoodTec in Cologne
will have the opportunity to experience the LEWA homogenizer test
system in action. Dr. Hans-Joachim Johl and other LEWA experts
look forward to answering questions and having interesting discussions
at booth D085 in hall 4.1.
LEWA GmbH
D 71229 Leonberg
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 5/35
Bags Beyers Plastics
TekniPlex Healthcare to Expand
Cleanroom Film and Bag Production
with New Multilayer Blown Film Line
TekniPlex Healthcare, which utilizes advanced materials science expertise
to help deliver better patient outcomes, is expanding its capabilities
portfolio by commencing multilayer blown film manufacturing
in a cleanroom environment. Located at TekniPlex Healthcare’s
production facility in Puurs, Belgium, the new multilayer blown
film line exemplifies the company’s strategy to develop higher-order
barrier solutions per increased industry demand.
For TekniPlex Healthcare, the new multilayer line joins a number
of existing monolayer lines all inside ISO Class 7 cleanrooms at the
Puurs facility, for use in the production of cleanroom bags. The addition
comes as some healthcare market players are shifting from
food-grade resin-based bags to those comprised of pharma-grade
resins produced in GMP cleanroom conditions. In addition, Tekni-
Plex’ customers have expressed a need for higher barrier solutions
requiring multilayer film/bag capabilities.
Some segments of the pharmaceutical and medical device markets
demand high levels of cleanliness, either in their packaging or
processing, to produce or protect highly sensitive products. To meet
these needs, TekniPlex Healthcare has a longstanding history of
producing low-density polyethylene (LDPE) bags that meet strict
cleanliness requirements, with both film extrusion and bag sealing
conducted in cleanroom environments at the Puurs facility.
All TekniPlex Healthcare cleanroom-manufactured bags are
double or triple packed for use in customers’ cleanrooms, and can
be individually labeled for comprehensive supply chain traceability.
Custom resins or resin blends, including custom additives, are available,
as are certified sterilized products with Gamma irradiation.
The new cleanroom-housed blown film line is expected to begin
operation in Q2 of 2024, with full-scale commercial production following
shortly thereafter.
“There is a growing demand for films extruded and bags sealed
in cleanroom environments across various healthcare categories,”
said Bryan Wesselmann, VP of Sales and Marketing for TekniPlex
Healthcare. “The introduction of cleanroom production for multilayer
blown films and bags at our Puurs, Belgium facility demonstrates
our dedication to acquiring more extensive, market-responsive
capabilities. This expansion aligns with our goal of increasing capacities
and market share for our existing solutions portfolio.”
TekniPlex.
3000, Wayne, PA 19087
United States
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 6/35
Several thousand battery cells can be aged and analyzed simultaneously
in the laboratories.
Weiss Technik has supplied various
high-end test benches, climate chambers
and temperature test chamber
nisms of battery cells and systems as well as
power electronic components and systems.
An investment of over 100 million euros has
created a unique, interdisciplinary research
center that can model, measure and optimize
the service life and reliability of batteries,
The CARL: Center for Ageing, Reliability
and Lifetime Prediction of Electrochemical
and Power Electronic Systems was opened
at the ISEA Institute at RWTH Aachen University
in 2023. More than 120 researchers
are analyzing the aging and failure mechafrom
the molecular level of a battery to the
chemical processes involved in charging and
discharging under various influencing factors
to the behaviour in coupled systems. Several
thousand battery cells can be aged and
analyzed simultaneously in the laboratories.
Weiss Technik has supplied various
high-end test benches, climate chambers
and temperature test chambers for environmental
tests and continuous cycling for
CARL. They are used in 40 containerized
test cells and other laboratories, primarily
for checking model assumptions and verifying
measurement results.
Stephan Bihn heads the Battery System
Technology and Vehicle Integration department
at the Chair of Electrochemical Energy
Conversion and Storage Systems Technology.
He describes how the collaboration between
materials scientists, chemists, physicists,
mathematicians and electrical and
mechanical engineers is rapidly increasing
our understanding of the system. Whether
Prof. Figgemeier‘s group is analyzing interface
effects at the material level, Prof. Sauer‘s
department is modeling the behavior
of the battery cell or Prof. de Doncker is developing
a new charger or a new drive - the
knowledge is in-house. The connection to
Münster University, the Helmholtz Institute
and the Fraunhofer FFB is particularly close.
Weiss Technik recently supplied a drying
room for the FFB in Münster and is currently
building a second one.
At CARL, weisstechnik‘s devices are
used in a variety of ways to achieve the goals
set: optimal battery systems for stationary
and mobile applications - from the initial
design, selection of the most suitable battery
cells and electrical dimensioning, the
battery management system, thermal management,
detailed CAD designs through to
physical and functional integration into the
application and suitable tests.
Weiss Klimatechnik GmbH
D 35447 Reiskirchen
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 7/35
Syntegon launches new SBM
Essential Line sterilizers:
Standardization for shorter delivery times
– Vacuum-steam, steam-air mixture, or combined sterilization process for a wide range of applications
– Annex 1-compliant thanks to automated air detector module
– Large selection of sizes and options ensure maximum flexibility
ber and documents the measured values
so that pharmaceutical manufacturers can
comply with the requirements of the new
Annex 1 both effortlessly and effectively.
Further options such as automatic inline
filter sterilization also help ensure an
increased level of product safety. The optional
high-pathogen program of the SBM Essential
Line is suitable for use in laboratories
that work with highly potent substances or
for the production of BSL (bio safety level)
preparations. “For example, pharmaceutical
containers that have come into contact with
infectious biological substances can be sterilized
safely, protecting both operators and
the environment,” Dallner explains.
Modular and demand-oriented
With the SBM Essential Line, the Syntegon
subsidiary Schoeller-Bleckmann Medizintechnik
(SBM) launches a new series of its
sterilizers, which are based on 50 years of
experience. “Thanks to the standardized basis
of the SBM Essential Line, pharmaceutical
manufacturers benefit from significantly
shorter delivery times and attractive prices,”
explains Christian Dallner, product manager
at SBM. “At the same time, the large selection
of sizes and options ensures that every
manufacturer finds the right solution for
their sterilization loads and applications.”
Two processes in twelve sizes
The sterilizers of the SBM Essential Line
use either a vacuum-steam (ADV), steamair
mixture (SDR) or a combined (SDT) process
to sterilize porous and solid equipment
as well as liquids in containers such as vials,
The new SBM Essential Line sterilizers with vacuum-steam, steam-air
mixture, or a combined sterilization process are suitable for a wide range
of applications thanks to their modular design.
cartridges, or pens. Fans, jacket cooling, and
internal heat exchangers are available for
rapid cooling after the sterilization process.
SBM offers the basic pressure vessel of the
SBM Essential Line in twelve different sizes,
covering chamber volumes from around 200
to 3,500 liters.
Maximum safety for demanding applications
The optional air detector module for the
ADV and SDT sterilizers is particularly relevant
in view of Annex 1, which took effect
in August 2023. Chapter 8.61 requires daily
air removal tests or the use of an air detector
system to prove the complete purging of air
from the sterilization chamber and the load
to be sterilized. The air detector module of
the SBM Essential Line automatically detects
non-condensable gases in the cham-
The software components of the SBM Essential
Line are just as customizable as the
rest of the equipment. The new, more powerful
high-performance control system
with Win CC visualization is, however, installed
by default in all sterilizers of the series.
Apart from a more comprehensive display,
it also offers more detailed protocols.
The user-friendly features, great flexibility,
and cost-effectiveness of the SBM
Essential Line sterilizers prove convincing:
“We are already speaking with the first customers.
Their feedback confirms that our
new, modular approach will meet additional
needs from the industry and our customers,”
Dallner concludes.
Syntegon Technology GmbH
Blaufelder Straße 45
D 74654 Crailsheim
Telefon: +49 7951 4020
eMail: packaging-ph@syntegon.com
Internet: http://www.syntegon.com
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 8/35
Advancements in laser technology are accelerating progress in 3D bioprinting
of organs, a process that has the potential to help meet a growing worldwide demand
for organ transplants.
Transition to Laser-Based 3D Bioprinting:
Stride Towards Addressing Organ Shortage
Recent advancements in laser technology are leading to groundbreaking
developments in the medical field, from improved treatment
of cardiovascular diseases to higher-precision manufacturing
of medical devices.
Improvements in the speed and accuracy of laser technology are
also at the root of the latest advancements in 3D bioprinting, setting
the stage for rapid progress toward the creation of 3D-printed organs
for transplantation. In the face of a growing organ donor shortage,
laser-based bioprinting has the potential to help medical researchers
meet the increasing worldwide demand for organ transplants.
The role of lasers in 3D-printing organs
Bioprinting is the process of precisely layering biomaterials, also
known as bioinks, to form three-dimensional structures, from biological
tissues to larger-scale organs. While bio-printed tissues are
currently being used for medical research like drug testing, biotech
firms are pushing the technology further, toward the development of
full-sized 3D-printed organs for transplant.
Laser-based bioprinting is a cutting-edge technology that is helping
to speed up this pursuit. According to Vidmantas Šakalys, CEO
of Vital3D Technologies, a biotech company that specializes in 3D
bioprinting solutions, the primary benefit of using lasers in bioprinting
is their ability to enhance the speed and precision of the process.
“Using lasers in the bioprinting process allows for more precise
control over the placement of cells and biomaterials, at the microscale
level,” Šakalys says. “Laser-based methods can be made to be
relatively fast and precise, compared to other bioprinting techniques.
This is important for large-scale tissue fabrication, where speed is
a key factor. Overall, lasers allow for a more nuanced manipulation
of bio-inks, which is indispensable when replicating sophisticated
organ structures.“
From speed and precision to improved patient safety
Successful bioprinting is about more than just speed and precision,
Šakalys says. “Laser-based bioprinting is relatively gentle compared
to other techniques. This helps to minimize damage to cells during
the printing process and improve the overall viability and functionality
of printed bio-structures.”
Lasers also help ensure that printed tissues have the appropriate
structural characteristics, such as correct density, elasticity, and
permeability, which are vital for their successful integration into the
host body. By using this method, bio-printed tissues are treated as an
extension of the body rather than a foreign object. This significantly
reduces the risk of tissue rejection and limits the need for immunosuppressant
drugs, which patients who receive transplants are often
required to take.
Advanced laser technology helps ensure a higher degree of accuracy
than traditional techniques, which contributes to increased
patient safety and better medical outcomes,” says Šakalys. “Laserbased
bioprinting is not just about creating structures, it‘s also about
creating safe, viable, and compatible living tissues for medical
applications.”
Some challenges remain
Despite its advantages, laser-based bioprinting still faces some challenges
that need to be overcome to capitalize on its potential fully.
One significant challenge is the high cost and complexity of laser
equipment, which may limit its widespread usage in the medical
field. Laser bioprinting is a time-consuming process, and is currently
capable of producing only small structures. As such, clinical applications
at present are somewhat limited.
Nevertheless, ongoing research and technological developments
point to the possibility of tackling these issues, pushing laser-based
bioprinting to new frontiers of biomedical science.
(Photo credit: Vital 3D )
Vital3D
10224 Vilnius
Litauen
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 9/35
Novel development for pH measurement at Fraunhofer IPMS
Innovation in sensor technology:
Development of a new pH sensing layer
successfully integrated into an ISFET
Fraunhofer Institute for Photonic Microsystems IPMS announces a significant advance in chemical and biochemical
analysis. The team led by Dr. Olaf R. Hild, Head of the Chemical Sensor Technology Business Unit, has successfully developed
a pioneering sensing layer for chip-based pH measurement has and successfully integrated it into an ion-sensitive
field-effect transistor (ISFET).
The pH of a solution is the most important parameter in chemical
and biochemical analysis. An ISFET with a novel sensor layer developed
by Fraunhofer IPMS, in combination with a conventional
reference electrode, enables highly precise pH measurement in the
range from pH 1 to pH 13. The compact, unbreakable sensor chip
with dimensions of 5 x 5 mm² is characterized by a minimal drift of
< 20 µV/h as well as low hysteresis while being easy to integrate. A
significant improvement has also been achieved in the reduction of
light sensitivity.
The dry storage capability of the sensor is one of its primary advantages.
Moreover, the electrical operating point of the sensor can
be flexibly adjusted via the design and the operating parameters,
whereby an operating voltage (VDS) of below 1V is possible.
Dr. Hild, head of the research team, expressed his enthusiasm for
the measurement data achieved by the mechanically robust sensor:
„With these properties, the new ISFET is particularly suitable for onsite
environmental analysis.“ Dr. Hild went on to emphasize that the
next important research goal is to develop a sensor layer that enables
a purely chip-based pH measurement that eliminates the need for
conventional reference electrodes. This breakthrough technology
would then have the potential to continuously collect environmental
data over long time periods without the need for intervention by
operating personnel.
Physical principles of the ISFET from Fraunhofer IPMS
The novel ISFET from Fraunhofer IPMS is based on metal-oxide-semiconductor
(MOS) field-effect transistor technology, whereby the
sensor area in contact with the medium consists of an amphoteric
metal oxide layer. Hydronium or hydroxide ions from the medium
are reversibly adsorbed on this sensing layer, allowing for the pH of
the medium to be measured. The gate-to-source voltage (VGS) response
versus a reference electrode (Ag/AgCl in 3 M KCl) is then used
as the measurement signal.
Some of the research results were achieved in the „REISen“ project,
a project from the Department of Materials Science, which was cofinanced
from tax funds on the basis of the budget approved by the
Saxon state parliament.
The developments of Fraunhofer IPMS contribute significantly
to the further development of environmental analysis and open up
new possibilities for more precise and more efficient data acquisition
in the field of chemical analysis.
Fraunhofer-Institut für Photonische Mikrosysteme IPMS
D 01109 Dresden
ISFET sensor chip from Fraunhofer IPMS.
© Fraunhofer IPMS Simplified illustration of an ISFET with an Ag / AgCl reference electrode. © Fraunhofer IPMS
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 10/35
EU-funded JOIN4ATMP project aims
to overcome regulatory obstacles
They offer hope for people who do not respond to conventional treatments or
for whom there is no effective therapy yet available: advanced therapy medicinal
products (ATMPs). The first of these novel medications, developed using genetic
and cell technologies, were officially approved just five years ago. Some of them
have been great successes, while others have made a mere blip on the market.
JOIN4ATMP, a Europe-wide project, is now being launched under the coordination
of Charité – Universitätsmedizin Berlin, with support from the Berlin Institute
of Health at Charité (BIH). The project aims to identify the obstacles standing
in the way of these new treatments and determine what is needed to ensure
that people in Europe can have fast, safe, and equitable access to them.
netically modify them in a lab so that, once
reintroduced into the body, they can detect
and destroy cancer cells. ATMPs can be tailored
to individual patients more effectively
than traditional medications, which makes
them especially suitable for treating rare diseases
and cancers that currently have no or
inadequate treatment options.
Although there are many ATMPs currently
in development, very few have been
approved for the European market to date.
The problem is that the regulatory rules for
approval of conventional medicinal products
– which require clinical trials involving
large numbers of patients, for example
– do not translate to these complex gene and
cell therapies.
Reshaping the landscape
GMP-compliant manufacturing of gene and cell therapies in a special lab at Charité
© Charité | Arne Sattler
Gene and cell therapies are among the most
important innovations in the healthcare
sector. And they reflect advances in science
and technology. They have the potential to
radically reshape the treatment of cancer,
autoimmune diseases, neurodegenerative
disorders, and many rare genetic conditions.
But the path to approval and clinical use
of these products is long and often fraught
with difficulty.
That was the reason the European University
Hospital Alliance (EUHA) founded
the European Center for Cell and Gene
Cancer Therapies (EUCCAT) four years ago.
The center’s aim is to facilitate the clinical
use of ATMPs developed at higher education
institutions and further consolidate the
basic research conducted in Europe. The
newly launched JOIN4ATMP project originated
with the virtual institute. All members
of the EUHA, together with the existing
EU-funded RESTORE and T2EVOLVE
networks, biotech companies, and patient
advocacy organization EURORDIS – Rare
Diseases Europe, will work together to identify
obstacles and propose solutions geared
toward real-world practice – so that these
innovative treatments are made affordable
and accessible to all patients.
“Living” medications
ATMPs are based on genes, tissue, or cells,
so they often contain living components. For
example, it is possible to take white blood
cells from a patient with leukemia and ge-
This is where JOIN4ATMP, which is slated
to receive about three million euros in funding
from the European Commission over
a three-year period, comes in. “Our goal is
to devise concrete recommendations for
how patients in Europe can gain access to
innovative gene and cell therapies faster,”
says Prof. Annette Künkele-Langer of the
Department of Pediatric Oncology and Hematology
at Charité, which is leading the
consortium. “To that end, we are bringing
knowledge and experience in preclinical
development, production, clinical testing,
market approval, and reimbursement of
ATMPs together Europe-wide and analyzing
the obstacles and how they can be
overcome at the medical, regulatory, and
economic levels.” The experts will present
their conclusions in the form of guidelines,
recommendations, and white papers, thereby
advancing the European strategy for
novel therapies. They will form the basis for
new approval processes tailored to ATMPs
and create the overall conditions needed
for standardized, decentralized manufacturing
of gene and cell therapies even as the
application of rigorous good manufacturing
practices (GMPs) is expanded at the European
level.
Charité – Universitätsmedizin Berlin
D 10117 Berlin
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 11/35
The world’s fastest filling line
for IDT Biologika
To have additional filling capacity available quickly, contract
manufacturers such as IDT Biologika need lines that
are ready to produce large batches in the shortest possible
time. IDT‘s latest investment shows how this is done: together
with Syntegon, the company installed one of the fastest
state-of-the-art filling lines in the world. It achieves a capacity
of 80 to 100 million 2R vials per year and batch sizes of
up to 500,000 containers – including 100 percent in-process
control.
The demand for vaccines, viral vectors, and other biologics is rising
continuously. Contract Development and Manufacturing Organizations
(CDMOs) that have the necessary infrastructure for all relevant
biosafety levels and are proficient in handling live viruses are in high
demand. This also applies to IDT Biologika: The vaccines that the
company has developed in collaboration with pharmaceutical companies
over the past decades protect people against infectious diseases
such as tuberculosis, AIDS, malaria, dengue fever, and Ebola.
IDT also took on an important role during the Covid-19 pandemic
by producing vaccines for AstraZeneca and Janssen on short notice.
The company had, however, recognized the growing capacity need
much earlier. Even before the pandemic, the contract manufacturer
was planning extensive investments to expand production at its
headquarters in Dessau-Roßlau, Germany. When IDT Biologika was
looking for a new, state-of-the-art line for the aseptic filling of commercial
products, the company made speed the top priority. In addition,
the fill-finish line needed to process large volumes and offer
maximum flexibility with various filling systems.
SAT three weeks ahead of schedule
In June 2020, IDT awarded the contract to Syntegon. ”We received
an ideal design concept for a complete line from a single source, including
an isolator within our desired time frame,“ says Axel Goertler,
head of strategic engineering and process design at IDT Biologika.
”With the new line, we wanted to fill our customers’ products
even more flexibly, faster and to an even higher quality standard.” To
master this complex task, a core team of five interdisciplinary experts
from Syntegon laid the foundation for the successful construction of
the filling line.
The SAT was successfully completed in less than 18 months –
three weeks ahead of schedule. ”In times of Covid-19 and supply
bottlenecks, professional project management was more
crucial than ever. The key to success was direct and transparent
communication,” says Steffen Grune, senior engineer at IDT
Biologika.
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 12/35
Fast, flexible, and safe
The filling line itself matches the speed that both project partners
initially envisioned. With a filling capacity of 80 to 100 million 2R
vials per year and batch sizes of up to 500,000 containers, it is one of
the fastest filling lines in the world, amongst other things thanks to
its double magazine with precise piece count. The line also delivers
in terms of flexibility. Thanks to several filling systems and format
sizes from two to ten milliliters, it can process a wide variety of products.
Syntegon’s proven isolator technology provides the best possible separation
of the operating and process areas. “We decided to run an
H2O2 cycle not only before but also after filling,“ says Goertler. In addition,
the previously cleaned vials are sterilized and depyrogenated
using dry heat.
The highest quality through inline inspection
IDT even goes beyond the prescribed minimum standards for quality
assurance. The optional empty vial inspection takes place right
at the beginning of the process. Cameras check the integrity of the
containers before filling and examine the vials for contamination
through foreign bodies. Defective vials are automatically ejected by
the system. ”With our very high processing speed of up to 600 vials
per minute, we need this additional inspection process to avoid losing
expensive active ingredient,” Goertler adds.
A 100 percent in-process control (IPC) makes sure that each of the
previously approved vials contains the exact amount of active ingredient
by weighing each vial before and after filling. An additional
print is applied with UV ink and verified by means of a camera during
crimping. A special containment system ensures maximum operator
protection during printing and print counterchecking. In addition,
integrated cameras and sensors monitor and document every step of
the process; network cameras provide the necessary documentation
if required.
An investment with foresight
IDT Biologika sees itself in an excellent position for the future. ”Our
new high-speed line enables us to respond quickly to demands and
expand our manufacturing capabilities,” says Grune. The option of
retrofitting the line with two freeze dryers is also a far-sighted investment.
IDT has already installed the appropriate pure media systems.
The vial cleaning machine is supplied with WFI and pure steam via
a pure media system from the Syntegon subsidiary Pharmatec. The
WFI loop, which is already connected to the cleaning machine, can
also supply the two potential freeze dryers. ”Studies show that lyophilizates
will play an increasingly important role in the future. Freeze-dried
pharmaceuticals offer advantages in storage and shelf life
and are used exactly when they are needed. This can be a decisive
advantage, especially for vaccines needed at short notice,” Grune
concludes.
Syntegon Technology GmbH
Blaufelder Straße 45
D 74654 Crailsheim
Telefon: +49 7951 4020
eMail: packaging-ph@syntegon.com
Internet: http://www.syntegon.com
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 13/35
Healthcare: innovative testing system for auto-injectors
Zero tolerance for medical devices
Not every injection has to be given in a doctor‘s practice. There are a number of medications that patients can administer
themselves via auto-injectors and the demand for them is increasing worldwide, partly because lifestyle diseases such as
diabetes are still on the rise. Understandably, these medical products are subject to particularly high safety and functional
requirements. This is why a renowned customer from the pharmaceutical industry relies on a fully automated testing system
from PIA Automation for the quality control of their injectors.
Ramona Neulinger, Project Manager at PIA.
(Source: PIA Automation)
The use of auto-injectors, which are filled
with medication for the treatment of conditions
like diabetes or rheumatism, is very
simple for patients: the cap is removed, the
plastic cylinder is pressed against the skin
and, when a defined pressure is applied, a
fine needle emerges from the tip and injects
the medication under the skin.
Test criteria are: faultless function and
absolute safety
The PIA customer produces large quantities
of these medicine containers every day.
Employees take random samples by hand,
manually checking for various safety and
function-relevant parameters, which the
PIA system checks fully automatically. The
employees place the auto-injectors horizontally
in trays. A fully loaded tray trolley
contains eleven trays with up to 400 injec-
tors. The fully automated testing process begins
when the first of the trays is moved into
the system. A handling gripper then removes
an injector and takes it to an axis system
with a gripper. This rotates the injector by 90
degrees into a vertical position and inserts
it into the first station, where the protective
cap is removed. The gripper picks up the ready-to-use
injector again and transfers it to a
handling system, which then inserts it into
the test station. There, a servo press triggers
the injection mechanism.
The test station measures the force required
for triggering, the distance the pen is
compressed until activation, the time from
the start to the end of the injection as well
as the amount of active ingredient dispensed,
which the auto-injector deposits into
a measuring cup. The station also monitors
whether the needle is intact. A visual indicator
signals that the auto-injector has been
An auto-injector is removed from a tray –
shown here using a sample component.
(Source: PIA Automation)
During the function test, the autoinjector
releases the active substance into a
measuring container to measure the quantity.
(Source: PIA Automation)
At the first station, the cap of
the autoinjector is removed.
(Source: PIA Automation)
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 14/35
The customer required a compact automated testing system. (Source: PIA Automation)
used and emptied. This mechanism is also
monitored.
To prevent users from injuring themselves
on the extended needle in real-life use,
the injectors extend a needle protector after
dispensing the medication. The system also
checks the blocking force of this protective
mechanism and tests whether the needle
protector holds securely under high pressure.
Among other things, the system checks
the amount of active ingredient dispensed.
(Source: PIA Automation)
Maximum precision
for minimum tolerances
“The system‘s measurements are very extensive
and have to be extremely precise.
Medical products only allow minimal tolerances
and require absolutely reliable processes,”
says Ramona Neulinger, Project
Manager at PIA. The values for release force,
path, and pressure on the needle protector
are measured by a servo press. The optical
control of the needle, drop formation and
spent indicator is carried out by two camera
systems that record 500 images per test procedure.
The precision scale for measuring
the amount of active ingredient dispensed
has a resolution in the milligram range The
scales are structurally decoupled from the
rest of the system in order to rule out measurement
errors caused, for example, by vibrations.
After the inspection, the handling gripper
places the injectors back in the tray. If a
random sample shows any abnormalities, it
is sorted out and kept in a special holder ready
for a follow-up inspection by the company‘s
employees. Because every auto-injector
produced has an individual data matrix
code, the path of a defective device can be
clearly traced back through production.
Configurable for different auto-injectors
A particularly innovative feature of the new
system is the capability to configure it for
more than one type of auto-injector, so the
customer is initially planning to test two
types of auto-injectors in the system. The
scope of delivery also includes the calibration
equipment, which is used to test the system
and the function of the test processes
at specified intervals. Among other things,
the equipment includes various weights to
calibrate the precision scales exactly.
The new system will go into operation
at the customer‘s premises in the coming
months. With it, PIA is continuing a very
good collaboration that began back in 2010
and, since then, PIA Automation has also
developed and installed several assembly
and automated testing systems for this
customer. “The joint development of the
system once again demonstrates the excellent
cooperation with the customer and
a functioning simultaneous engineering
process,” says Ramona Neulinger, summing
up the status quo of the current project.
One of the customer‘s requirements
was to develop the system for the laboratory
using as little space as possible and to
implement all testing processes in a very
confined space. “We were able to meet all
the requirements. We constantly monitored
progress in weekly meetings and continuously
developed the system design
based on the results already achieved,”
says Ramona Neulinger.
PIA Automation Holding GmbH
D 97616 Bad Neustadt a. d. Saale
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 15/35
Thomas Maischberger (left) new Corporate Head
of Research and Development at ZETA.
Together with a major customer, ZETA developed a reusable, environmentally
friendly and stable connectivity solution for the transfer of
liquids in the aseptic processing of biopharmaceuticals and foodstuffs.
The road to market readiness:
How Zeta drives innovation
ZETA’s declared mission „Accelerating the process of bringing
drugs to market in order to save lives“ already calls for
innovative approaches. In fact, innovation is deeply rooted
in the core of ZETA’s corporate culture. Driven by curiosity,
enthusiasm, and a spirit of innovation, ZETA experts develop
new products, solutions, and technologies for the pharmaceutical
and biotech industries. A working environment
where all employees have the opportunity to contribute
their expertise is crucial.
equipment components such as reusable sterile connectors for liquid
transfer are also constantly being developed. ZETA is driving
digitalization forward: Smart Engineering Services represent a software
platform based on an integrated engineering toolchain. Integrated
engineering combined with end-to-end digitalization of data
results in the digital twin of the production system, enabling valuable
smart tools, such as the Smart Maintenance Navigator app and paperless
qualification as part of Integrated Digital Testing.
Best Practice Beispiele aus ZETA R&D
Starting from the tangible benefit for the customer
Whether researching new technologies or developing innovative
products, ZETA’s customer-centric approach is always about creating
a specific benefit or added value for the customer. “We work in
an applicationoriented way and usually start with very specific problems,”
explains Thomas Maischberger, who was recently appointed
Corporate Head of Research and Development. “We strive to push
the boundaries of what biotechnological systems can achieve. Our
aim is to translate the promise of new drugs into actual production
facilities or systems, so that these therapeutics can reach the market
and patients as early as possible.”
A key feature of ZETA is its integrated research approach, in
which research and engineering go hand in hand within interdisciplinary
teams. This involves working very closely with customers and
regularly with universities and other research institutions.
Current highlights and research focus areas
ZETA’s innovation map ranges from data science, integrated engineering
approaches and production simulation to issues relating
to the sustainability of production sites. The list of innovative solutions
and products is correspondingly long. An important focus
of research is the characterization and optimization of bioreactors.
Another major topic is magnetic agitator technology, which thanks
to ZETA has made enormous progress in recent years. Innovative
Product development: multi-use sterile connectors
True to the motto “Developing better solutions for the f uture t ogether”,
Z ETA a nd a m ajor c ustomer based in Vienna succeeded
in developing a reusable, environmentally friendly, stable connection
solution for the transfer of liquids during aseptic processing of
biopharmaceuticals and foodstuffs. Simple, quick, and safe to use,
ZETA sterile connectors are particularly suitable for mobile containers.
The connection process can be carried out in the general
production area and does not require any additional technology to
ensure the sterile integrity of the system, for example laminar flow.
Stainless steel connectors and clamps are used to create a dry connection
between two separate fluid pathways.
The system is suitable for all standard pipe and hose dimensions
and has numerous advantages: The mechanical stability, pressure
load tolerance and temperature resistance are high. The components
can be cleaned and autoclaved and their reusability makes
them particularly environmentally friendly. High process reliability is
guaranteed for aseptic applications in all areas – from upstream and
downstream to fill-and-finish.
A hands-on service: ZETA’s research bioreactor
In collaboration with the Styrian biotechnology company Bisy, ZETA
operates a 50L bioreactor equipped with all relevant functions that is
used for internal research and for the implementation of innovations
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 16/35
ZETAs Innovation Labs
The further development and optimisation of magnetic agitator
technology is being driven forward at the TechCenter ZETA.
on a process, simulation, automation and sensor level. The “ZETA
Bire System” is ideal for collaboration projects with universities and
industrial partners, as well as for external contract projects.
As an absolute all-rounder, the system can map a complete
upstream process with living organisms. Bisy uses also for training
purposes. The current focus is on the development of a new control
algorithm for dissolved oxygen, which individually adjusts the oxygen
saturation in the medium to the living culture via the optimum
combination of agitator and gassing performance. Another area of
focus is the implementation of the MTP concept on the Siemens SI-
MATIC PCS neo platform.
Forward-looking process automation: the MTP concept
Versatile, modular systems are the process industry‘s answer to
constantly changing market requirements. Flexible technical solutions
for the automation of modular plants are particularly high in
demand – only with these can modular plant construction be implemented
consistently.
The „Module Type Package“ (MTP) concept gives the individual
modules a digital description and enables them to be flexibly connected
and orchestrated, in the sense of a plug & produce system.
Production capacity can be increased by adding MTPready modules,
and flexible reconfiguration allows for the production of different
products. Standardization and modularization, both being driven
forward by numerous companies and organizations, are already well
advanced and the corresponding tools are available. The automation
experts at ZETA are now working on a seamless integration of modules
with process automation.
Process intensification: inline conditioning
Buffer solutions are essential media in the production of therapeutic
proteins and other biomolecules. Increasing production titers,
shorter batch times, capacity expansion of existing facilities and
the intensification of bioprocesses have increased the volumetric
demand for buffers and have led to operational bottlenecks. While
many production facilities have improved their capacities through
inline dilution of concentrates, the demand for buffers continues to
rise. As a result, the supply with buffer solutions remains labor intensive
and logistically challenging.
Proper planning and implementation of inline conditioning systems
will alleviate production bottlenecks. Inline conditioning enables
just-in-time preparation of buffers by mixing stock solutions.
The advantages: the need for production space is minimized and
flexibility in the use of buffer solutions is maximized.
The TechCenter ZETA in Lebring provides an important research
environment with space and infrastructure for various experiments
and test series. However, it is by no means the only location where
innovative concepts are developed. The topics of digitalization and
data science are concentrated at the ZETA headquarters in Lieboch.
In the field of automation, the Innovation Hubs conduct research at
the ZETA site in Hallbergmoos and at SIGMA in Goldbach, Germany.
ZETA in Vienna has a strong focus on process innovation, and
the experts at Biotree in Bangalore are responsible for operator training.
ZETA Innovation Labs sees itself as a hub for talents, bringing
together entrepreneurs, researchers, investors, and experts.
There are currently 1400 employees working at 28 ZETA locations –
an enormous potential of knowhow and creative ideas just waiting
to be explored. In a research environment where all employees can
contribute their respective expertise, the spirit of innovation is put
into practice.
“In terms of R&D, we are currently working on adapting our
structural organization, which will enable us to work together even
more efficiently”, explains Thomas Maischberger, Corporate Head
of Research and Development. “There will be a rather small permanent
R&D team, which will be flexibly supplemented by experts
from the various departments depending on research field, project,
or questions to be explored. A suitable team will be put together for
each research topic.”
The structure of the R&D department is intended to support ZETA‘s
global approach to innovation, which aims to break new ground with
foresight, and exchange t he k nowledge o f e mployees i n a m eaningful
way.
A glimpse into the future
There are some industry trends that clearly indicate which topics will
be in focus in the coming years. Thomas Maischberger and the ZETA
team are working on products and services related to plant modularization,
process intensification, integrated engineering, and the
simulation of production processes.
In the long run, Maischberger expects that topics such as AI,
digitalization, and platform-based process modeling will be the
essential technologies that will step up productivity. Overarching
questions related to climate change, resource scarcity, and incurable
diseases will also be at the forefront of discussion. Looking to the future,
the ZETA expert explains: “As an interdisciplinary team, we will
be more challenged than ever to address complex issues as quickly
as possible.”
No progress without innovation
Change and innovation are essential elements of ZETA’s corporate
strategy. Managing Director Andreas Marchcler is convinced that
“without continuous development, there is a risk of stagnation”. “Innovations
are the key to success and tomorrow‘s earnings. At ZETA,
we see innovation as a fundamental corporate value. We create
spaces for inspiration, the generation of ideas, and the targeted implementation
of innovations to ultimately create added value for our
customers with our solutions. The ongoing inclusion of our industry
partners ensures customer benefit and, therefore, success.”
ZETA GmbH
A 8501 Lieboch/Graz
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 17/35
Advances in processes, masks and metrology will enable to fully benefit
from the resolution gain offered by the first ASML 0.55NA EUV scanner
Imec demonstrates readiness of the
High-NA EUV patterning ecosystem
Field stitching is a key enabler in High-NA: field stitching is needed
because of the anamorphic lens (i.e., a lens with different de-magnification
in the x and y directions), resulting in field sizes of half of the
conventional scanner field size. Imec will share the latest insights
that enable at-resolution stitching based on work done with ASML
and our mask shop partners on imec’s NXE:3400C scanner. At-resolution
stitching will reduce the need for design changes to cope
with the field size reduction.
Assembly of a High NA EUV tool in joint imec-ASML High-NA lab at
ASML’s headquarters in Veldhoven, the Netherlands. (Credit: ASML)
This week, at the 2024 Advanced Lithography + Patterning Conference,
imec, a world-leading research and innovation hub in nanoelectronics
and digital technologies, will present the progress made in
EUV processes, masks, and metrology prepared for enabling highnumerical
aperture (High-NA) extreme ultraviolet (EUV) lithography.
Key achievements are reported on resist and underlayer development,
mask enhancement, optical proximity correction (OPC)
development, at-resolution field stitching, reduction of stochastic
failures, and improved metrology and inspection. With these results,
imec demonstrates readiness for transferring the EUV processes
into the joint imec-ASML High-NA EUV Lab, built around the first
prototype High-NA EUV scanner.
Steven Scheer, senior vice president of Advanced Patterning, Process
and Materials at imec: “The first High-NA EUV scanner (TWIN-
SCAN EXE:5000) has been assembled by ASML and the first wafers
will be exposed soon. In the next few months, the joint imec-ASML
High-NA EUV Lab will be operational, and access will be provided
to the High-NA customers. The High-NA EUV lab, with the installed
equipment and processes, enables an early start of High-NA EUV
learning for the customers before tools are operational in their fabs.
It has been imec’s role, in tight collaboration with ASML and our extended
supplier network, to ensure timely availability of advanced
resist materials, photomasks, metrology techniques, (anamorphic)
imaging strategies, and patterning techniques. Readiness of these
processes for High-NA enablement is shown in more than 25 papers
presented at the 2024 SPIE Adv Litho & Patt Conference.”
On the material and process side, it is clear that metal-oxide resists
(MORs) are still in the lead for metal line/space patterns. Imec will
present the advancements of MOR in terms of EUV dose-to-yield
reduction. The selection of the specific underlayer, optimization of
the development process, choice of mask absorber, mask bias and
mask tonality were leading to a more than 20% dose reduction for
lines and spaces, without increased roughness or stochastic failures.
Also, tip-to-tip dimensions were not negatively impacted by these
dose reduction activities. The dose reduction work continues and
is highly appreciated by our chip makers, since it leads to EUV cost
reduction due to the higher scanner throughput.
An unexpected result was obtained by using MOR resists with a
binary bright field mask for contact hole patterning. A 6% dose reduction
with a 30% local CD uniformity (LCDU) improvement was
achieved after pattern transfer when comparing to a positive tone
chemically amplified resist (CAR) and a binary dark field mask transferred
in the same stack. A remaining concern of bright field masks
for contact holes is the mask quality and defectivity. This will need
careful investigation to make MOR an option for contact holes. Until
then, positive tone CAR resists with dark field masks will be the
leading candidates for contact and via patterning in High-NA EUV.
High-NA also requires improvements in metrology and inspection,
giving the higher resolution (by the high NA) and thinner films
(by the reduced depth of focus (DOF)). Imec will present new results
on e-beam and deep-UV (DUV) inspection, showing that new
best-known methods (BKMs) are in place to find High-NA relevant
stochastic patterning failures, such as hexagonal contact holes. In
addition, several machine learning techniques (based on denoising
SEM micrographs) will be proposed to facilitate the small defect inspection
and classification.
Finally, imaging improvements through source-mask optimizations
and anamorphic mask OPC (considering the need for stitching) will
be presented by imec and partners.
IMEC Belgium
3001 Leuven
Belgium
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 18/35
ICH ERWARTE
NICHTS.
Höchste Präzision mit dem
Luftkeimsammler MAS-100 Iso.
Damit Sie sich auf das Wesentliche
konzentrieren können.
www.mas100.com/iso
www.reinraum.de | www.cleanroom-online.com
NEWSLETTER | Edition EN 04-2024
page 19/35
Revolutionary cell therapy:
Restarting immune system switches
off autoimmune diseases
One single infusion with CAR-T cells
replaces immune-suppressive medicines
In March 2021, doctors from FAU treated a young woman with a
severe autoimmune disease with chimeric antigen receptor (CAR)
T-cells, the first time a treatment of this kind has been attempted
anywhere in the world. Today, nearly 3 years later, they were able to
publish a pilot study in the New England Journal of Medicine demonstrating
that CAR T-cell therapy can make various autoimmune
diseases disappear completely for a long period of time, waiving the
need for a further drug-based therapy.
“We believe that we have succeeded in finding the reset button
that works like with a computer to reboot the system and restart
the immune system without errors,” explains Prof. Dr. Georg Schett
(director of Department of Medicine 3 – Rheumatology and Immunology)
who conducted the study together with Prof. Dr. Andreas
Mackensen (director of Department of Medicine 5 – Hematology
and Oncology). The team pressed this “reset button” with 15 patients
with severe forms of autoimmune diseases, such as “red wolf disease”
(systemic lupus erythematosus), systemic sclerosis (also known
as scleroderma) and the autoimmune disease triggering inflammation
of the muscles known as myositis. One single infusion of cells
known as CAR T-cells from the patient’s own body manipulated outside
the body led to a resolution of chronic inflammation in organs
such as the heart, lungs and kidneys, in the joints and in the skin,
allowing patients to stop using immune-suppressive drugs such as
cortisone altogether for several years.
Treatment with CAR T-cells was made possible by using a special
cleanroom in Department of Medicine 5 at Uniklinikum Erlangen.
In this laboratory, the patients’ own immune cells are transformed
into therapeutic weapons (CAR T-cells). PD Dr. Michael Aigner
and his team from the GMP laboratory monitor the manufacturing
process of these living medicines and the quality of the cells before
they are returned to the patient. The CAR T-cells very effectively
attack pathogenic B-lymphocytes in the bone marrow, in the lymph
glands and in all other organs. Completely eliminating B-cells finally
leads to the disease being cured, as has now been demonstrated
very impressively with the publication in the New England Journal
of Medicine.
“It is particularly interesting that the B-cells return at some point
after treatment, but the disease does not,” explain Dr. Jule Taubmann
and Dr. Fabian Müller, who are part of the interdisciplinary team caring
for patients after treatment. The new B-cells in the patients are
naive “innocent” cells similar to those in a child, and no longer trigger
disease.
Further information:
These findings are a breakthrough in immunomedicine. It is already
possible for the CAR T-cell therapy to be used on further patients
with severe forms of systemic lupus erythematosus, systemic sclerosis
and myositis as part of the CASTLE study conducted at Uniklinikum
Erlangen. Anyone affected by one of these diseases can write to
Car-T-Cell.UKER@uk-erlangen.de.
Friedrich-Alexander-Universität Erlangen-Nürnberg
D 91054 Erlangen
Seven of the patients with autoimmune diseases who were successfully treated with CAR-T cells as part of the study. (Image: SIMOarts/Simone Kessler)
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 20/35
Das zentrale Event der Reinraum- und Prozessbranche
Buchen Sie jetzt mit dem Code rronline2024 Ihr kostenloses
Besucher-Ticket oder sichern Sie sich mit dem PREMIUM-Ticket
50 % Rabatt* auf den Zugang zu allen Videos der Fach- und
Schulungsvorträge der LOUNGES 2024 in Karlsruhe!
*bei Buchung und Zahlungseingang bis 15. April 2024
www.cleanroom-processes.de
Die Plattform der Reinraum- und Prozesstechnikbranche
www.reinraum.de | www.cleanroom-online.com
NEWSLETTER | Edition EN 04-2024
page 21/35
Die Aussteller der LOUNGES 2024 in Karlsruhe
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 22/35
Stand 28.02.2024
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 23/35
Carl Zeiss Foundation Finances Establishment of Joint Facility
in Heidelberg, Karlsruhe, and Mainz
New Center for Synthetic Genomics
Applying and developing new technologies for DNA synthesis to pave the way
for producing entire artificial genomes – that is the goal of a new interdisciplinary
center that is being established at Heidelberg University, Karlsruhe Institute
of Technology (KIT), and Johannes Gutenberg University Mainz (JGU). The aim
of the Center for Synthetic Genomics is to spark new developments in synthetic
genomics through basic research and technology development using methods of
artificial intelligence. The Carl Zeiss Foundation (CZS) is financing the center’s
establishment over a period of six years with a total amount of twelve million
euros.
In the long term, it should be possible to design
and synthesize long DNA sequences for
applications in research, nanomaterials science,
and medicine. The first spokesperson
of the new center is systems biologist Professor
Michael Knop, Deputy Director of the
Center for Molecular Biology of Heidelberg
University (ZMBH).
While the past two decades of genome
research were marked by the development
of new genome sequencing techniques, it
will become possible to modify genomes
more quickly and easily, or even to create
entirely new genomes, using innovative
methods of DNA synthesis and genome assembly.
This is the vision that the Carl Zeiss
Foundation Center for Synthetic Genomics
Heidelberg – Karlsruhe – Mainz (CZS Center
SynGen) will pursue in the coming years.
The researchers from the three universities
want to design synthetic DNA sequences
with the aid of AI-based methods of analysis
and modeling in order to make targeted
modifications in the genome of organisms
and give it new functionalities. The aim is
to extract from them so-called biologics,
that is, biotechnologically manufactured
products. These are eventually to be used to
produce bio-based medicines, develop gene
therapies for diseases, breed pest-resistant
plants, produce biofuels, and advance research
into new types of materials.
“At the CZS Centers we consolidate
expertise across locations and disciplines.
The life sciences in particular require a high
degree of interdisciplinary collaboration. At
the CZS Center SynGen we aim to advance
the production of artificial DNA and tap
the immense potential for research, medicine,
and beyond,” says Carl Zeiss Foundation
Managing Director Dr. Felix Streiter,
explaining the motivation for funding the
f.l.t.r.: The three members of the Board of Directors Edward Lemke, Sylvia Erhardt, and
center spokesperson Michael Knop, as well as Phil-Alan Gärtig of the Carl Zeiss Foundation
(Photo: Uwe Anspach, Heidelberg University – Communications and Marketing)
second CZS Center in Germany.
“The Center for Synthetic Genomics
pools the expertise of three of the leading
research institutions, which are now jointly
working on an important future-oriented
field from basic research to technology development,“
says Professor Oliver Kraft, Acting
President of KIT. “Our aim is to achieve
a wide range of applications in biotechnology,
for example in medicine, but also in
materials science. We are very pleased to
contribute to this with our expertise.“
Synthetic Genomics
“Synthetic genomics is a young but rapidly
growing research area worldwide, with
transfer potential for different socially relevant
challenges. In our new center we will
pool the complementary expertise of the
three strong research universities at Heidelberg,
Karlsruhe, and Mainz in the life
sciences, molecular systems engineering,
and biomedical research. That way, we intend
to coordinate all the steps in synthetic
genomics, from design and production right
up to the application of synthetic genetic
materials and organisms,” says Center Spokesperson
Michael Knop. “The new center
will provide us with a unique opportunity
to combine different areas of expertise and
disciplines in a new way. Particularly the
discourse of biology and medicine with experts
in simulation and modeling will show
us new ways not only to generate synthetic
genomes, but also to better understand
and use natural and artificial modifications
and epigenetic mechanisms,“ Professor
Sylvia Erhardt explains. The molecular biologist
of Karlsruhe Institute of Technology
is a member of the CZS Center SynGen‘s
three-member Board of Directors alongside
Professor Michael Knop and biophysical
chemist Professor Edward Lemke of Mainz
University.
The Carl Zeiss Foundation Center for
Synthetic Genomics Heidelberg – Karlsruhe
– Mainz started work in January 2024.
Researchers collaborating at the three locations
represent different disciplines, including
biology, biochemistry, biophysics,
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 24/35
biotechnology, synthetic biology, and bioengineering,
as well as philosophy and law,
genomics, immunology, epigenetics, virology,
and data science. In addition, more
international experts and early-career researchers
are to be recruited to work at the
new center. Furthermore, a competence
center for the synthesis of synthetic DNA
is to be set up in Heidelberg, the so-called
CZS Center Synthetic DNA Accelerator
Lab. Also involved in the CZS Center
SynGen are scientists from the German
Cancer Research Center and the Heidelberg
Institute for Theoretical Studies, as
well as external partners from science and
business.
The CZS Center SynGen was officially
opened with a festive event held at Heidelberg
University on March 4, 2024. In addition
to the lead researchers, representatives
of the Carl Zeiss Foundation and the participating
universities also took part.
About the Carl Zeiss Foundation
The Carl Zeiss Foundation’s mission is to
create an open environment for scientific
breakthroughs. As a partner of excellence in
science, it supports basic research as well as
applied sciences in the STEM subject areas
(science, technology, engineering, and mathematics).
Founded in 1889 by physicist and
mathematician Ernst Abbe, the Carl Zeiss
Foundation is one of the oldest and largest
private science funding institutions in Germany.
Karlsruher Institut für Technologie
D 76131 Karlsruhe
AMD’s Lisa Su honored with the
2024 imec Innovation Award
Imec – a global leader in nanoelectronics and digital technology research and innovation – is proud to announce that the
2024 imec Innovation Award will be presented to Lisa Su, Chair and CEO of AMD. The award ceremony will occur during
imec’s ITF World conference in Antwerp, Belgium on May 21 and 22. It will recognize Dr. Su’s contributions to driving innovation
in high-performance and adaptive computing.
Since its inception in 2016, the imec Innovation Award has become
a hallmark for recognizing pioneers in the semiconductor industry.
Past recipients include Dr. Gordon Moore and Bill Gates.
“It’s an immense privilege to welcome Lisa Su to this distinguished
group of industry luminaries,” said Luc Van den hove, CEO of
imec. “Since taking the helm of AMD in 2014, Dr. Su’s technological
acumen and visionary leadership have propelled the company to the
forefront of semiconductor technology development. Under her leadership,
AMD has become one of the most respected developers of
supercomputing chips – supporting the ever-evolving requirements
of the data center and gaming industries and fueling the emergence
of (generative) AI applications. This makes Dr. Su a truly deserving
recipient of the 2024 imec Innovation Award.”
Lisa Su, Chair and CEO of AMD, will receive the 2024 Innovation Award
at imec’s ITF World conference in Antwerp, Belgium.
“But equally important, Lisa Su is an outspoken advocate for the
role women can play in the semiconductor industry. The fact that
she actively encourages and empowers women to pursue engineering
degrees and rewarding careers in the field resonates deeply with
me. I therefore hope that this recognition will help inspire girls and
women to pursue their passions in STEM,” he added.
Before joining AMD, Lisa Su held various engineering and management
positions at companies such as Texas Instruments, IBM,
and Freescale Semiconductor. Notably, during her tenure as vice
president of IBM’s Semiconductor Research and Development Center,
Su made significant contributions to silicon-on-insulator semiconductor
manufacturing technologies and creating more efficient
microchips. In October 2014, she assumed the role of president and
CEO of AMD after joining the company in 2012, becoming the first
female CEO of a major semiconductor company.
Lisa Su holds a Ph.D. in electrical engineering from MIT. Her accolades
include being named ‘Executive of the Year’ by EE Times in
2014 and one of the ‘World’s Greatest Leaders’ by Fortune in 2017. In
2021, she became the first woman to receive the IEEE Robert Noyce
Medal.
“I’m honored to receive this year’s Innovation Award and be recognized
by imec for pushing the boundaries of computing. I am incredibly
proud of what we have accomplished together at AMD and
even more excited about the opportunities ahead to deliver innovations
that can help solve the world’s most important challenges,”
commented Lisa Su.
The award ceremony will take place at ITF World (May 21-22,
2024) in the presence of over 2,000 executives gathering at the Royal
Elizabeth Hall in Antwerp, Belgium.
IMEC Belgium
BL 3001 Leuven
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 25/35
Packaging of medicinal products:
Which trends are important for
pharmaceutical producers and
machine manufacturers post-covid
Even after the Corona pandemic has subsided, the demand
for pharmaceuticals is increasing. But the requirements are
changing - and this has an impact on production equipment.
Machines for packaging medicines are not exempt
from this. And their manufacturers are only spurred on by
this.
Biopharmaceuticals are the real mimosas: heat, moisture, oxygen ingress
or simply just the wrong light can cause the sensitive drugs,
which are made from living organisms or cells, to lose their effect.
This became particularly clear in the Covid pandemic: the new
mRNA vaccines from Biontech-Pfizer and Moderna require sophisticated
packaging and logistics concepts to ensure storage temperatures
between -60 and -80 °C, for example. And although manufacturers
are working feverishly and also successfully on less sensitive
© AdobeStock/407222280/Rattanachat
active ingredients - packaging remains an essential element in the
fight against past and future pandemics.
The example of vaccines only highlights the importance of pharmaceutical
packaging. Every second new drug approved in the EU is
now produced using genetic engineering, is particularly sensitive to
environmental influences and must be packaged accordingly. In addition,
there are increasing regulatory requirements for production
technology, such as Annex 1 to Good Manufacturing Practice (GMP
guidelines), which was finalised in 2022: This will newly regulate the
sterile production and the filling and packaging of medicinal products
- with the aim of reducing contamination risks for the medicine.
Pharmaceutical market growing, machine market even stronger
No wonder, then, that the market for pharmaceutical packaging machines
is growing disproportionately - even more strongly than the
pharmaceutical market as a whole. Market researchers from Evaluate
Pharma, for example, expect the global pharmaceutical industry
to grow by 6 % annually to 1.6 trillion US dollars between 2022 and
2028. In parallel, the market for pharmaceutical packaging will grow
by 8.24 % and that for pharmaceutical packaging machines by 7.5 %
per year - according to the expectations of the market research company
Mordor Intelligence.
At the same time, the rising costs for filling, packaging and labelling
medicines are being met by declining revenues for pharmaceutical
manufacturers. After the vaccine boom of the Corona years, the
pharmaceutical industry is not only struggling with declining sales,
but also with the cost explosion due to the energy crisis. On the stock
exchanges, the market value of biotech companies has halved, while
at the same time the prices for inputs - including chemical precursors
- have risen significantly in 2022. The pharmaceutical industry
has come under particular pressure here because - as in the EU, for
example - it has to sell some of its products at a fixed price.
These and other trends are now also reflected in the enquiries
and specifications for packaging machines: Whereas in the past, for
example, filling and sealing machines with high output and lines
designed specifically for one drug were demanded, today the desire
for flexible lines that can be quickly - and if possible automatically -
converted to new products and other packaging formats dominates.
The trend towards smaller batches plays just as much a role here as
easier handling. It is noticeable that the desire for flexible production
processes is no longer only expressed by contract manufacturers,
so-called CMOs, but also by original manufacturers.
Flexible filling and packaging processes required
© AdobeStock/94397352/Kadmy
This development is clearly illustrated by the example of injectable
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 26/35
preparations, the so-called injectables. Already in the years before
the pandemic, machine manufacturers had registered a strongly
growing demand for ready-to-fill syringes. The enormous demand
for vaccines in the Corona years 2020 to 2022 had recently caused
a revival of bulk packaging such as vials, but in the meantime the
share of „ready-to-use“ (RTU) syringes is rising again significantly.
With RTU syringes, for example, the medicine is filled directly into
a sterilised syringe. This not only reduces the risk of contamination
during preparation and administration, but also avoids dosing errors.
The customer‘s desire to find better packaging solutions for less
money is therefore driving the machine manufacturers. And one
keyword runs through the description of most new developments:
Flexibility. Syntegon, for example, has developed the Versynta flexible
filling system as a platform with which biopharmaceuticals
can be filled both in RTU syringes and in bulk packaging in the isolator.
To significantly reduce project times, supplier Groninger also
relies on flexibility with the Flexfill concept, but combines it with
standardised modules that are also housed in the isolator or RABS.
Bausch+Ströbel also relies on modularisation and has radically reduced
the number of format parts in the new CombiSys line. This makes
it comparatively easy to convert the machine from vials to RTU
packaging, for example.
New transport systems and digital technologies
Bausch+Ströbel kills two birds with one stone with a new magnetic
transport system that moves the packaging material through the machine:
In contrast to common drives, a fixed cycle time is no longer
required, which increases flexibility. At the same time, the magnetic
drive makes wipers and bellows seals unnecessary, thus eliminating
a cause of contamination in the sterile area. Optima has also addressed
the transport of packaging materials in the machine: With the
new FillCell formatless transport system, the main aim is to increase
product yield. Especially with expensive active ingredients, it is important
to avoid losses during machine start-up.
Machine manufacturers are taking this requirement into account
with new dosing and filling systems, but also optimised transport,
monitoring and labelling solutions. Digital technologies are playing
an increasingly important role here: this begins with training operators
with virtual reality goggles on digitised equipment, extends to
the seamless recording of production parameters in the production
process, and ends with the evaluation and visualisation of key figures
such as Overall Equipment Effectiveness (OEE), which are becoming
more and more important in the growing competition of the
pharmaceutical industry.
The Körber Group, for example, has dedicated itself to this topic,
using its Manufacturing Execution System (MES) to analyse
the operational efficiency of biopharmaceutical manufacturing processes
on the basis of different and previously unnetworked data.
Among other things, this should enable biopharmaceutical manufacturers
to significantly accelerate their time-to-market. Uhlmann
Pac-Systeme networks machines and entire plants of any manufacturer
via interfaces with a new software (Pexcite), thus enabling centralised
monitoring and control. In addition to looking at line efficiency
and planning set-up times, this also makes it easier to comply
with regulatory obligations.
Robots in glove-free isolator, scalable parenterals production
The automation strategy of many manufacturers now includes the
use of robots - not only at the end of the line, for example to stack packaged
medicines on pallets, but already in the sterile isolator. Machine
developers are benefiting from the fact that, on the one hand,
the range of cleanroom-suitable robots is steadily growing, while at
the same time the costs for robotics are continually falling. In this
way, automated solutions are increasingly replacing the otherwise
necessary gloved interventions on the isolator. This is because in the
demanding sterile production of high-quality medicines, human intervention
is increasingly being perceived as a nuisance and is being
replaced by technical solutions.
At the machine manufacturer IMA, the gloveless aseptic process
in the isolator is being promoted under the name „Injecta“. But the
machine manufacturers already mentioned are also pursuing the
trend towards aseptic filling without human intervention with their
own solutions. The development is consistently implementing the
requirements of the new GMP Annex 1. In many places, this also includes
dispensing with the time-consuming sterilisation of the primary
packaging material by using sterile packaging materials.
In general, the packaging of sterile preparations for injection and
infusion (parenterals) is very demanding, and the machine technology
is expensive. This becomes a problem especially when the success
of a drug and its required quantity are not yet known. The folding
box manufacturer Faller Packaging has developed a scalable solution
to this problem together with the machine manufacturer Schubert-
Pharma: This starts with the initially manual loading of pre-prepared
folding box packaging. As soon as demand increases, cobots are used
until the process is finally switched to a fully automatic top-loading
machine.
Sustainability becomes important
But it is not only the development of the processes around the primary
packaging of medicines that follows the new requirements of
the pharmacists. Developments in secondary packaging in folding
boxes, trays and cartons are not standing still either. In addition to
flexibility and product safety, important trends here are resource optimisation
and low CO2 emissions. This is because the topic of sustainability
is also increasingly finding its way into companies in the
pharmaceutical industry via CSR and ESG criteria.
In order to improve the recyclability of packaging, Uhlmann, for
example, is increasingly relying on packaging made of monomaterial.
With the Parenteral Tray Center, the manufacturer has recently
also introduced a flexible „direct-in-carton“ solution that can pack
ampoules, vials and syringes both in cardboard trays made of monomaterial
and in conventional plastic clamp blisters. Recyclable
packaging places new demands on machine manufacturers. Close
coordination between machine and packaging supplier is therefore
important. At Faller Packaging, for example, the approach is called
3R: Redesign, Reduce, Recycle: in addition to the use of new recyclable
mono-materials, this also includes testing existing products and
processes with the aim of minimising the use of raw materials and
energy.
But labelling technology is also evolving. While in the past decade
this was mainly characterised by the fight against counterfeit
medicines, other requirements are now coming to the fore with regard
to biopharmaceuticals. One trend here is the development of
new labelling solutions with sensor functions. The so-called „smart
labels“ use printable indicators that can be used to monitor the correct
storage of a medicine or the correct application temperature. If
the heat or UV light exposure of the medicine exceeds a defined value,
the indicator on the packaging changes colour. Another piece of
the mosaic to be able to safely control biopharmaceutical mimosas
in everyday life.
DECHEMA Ausstellungs-GmbH
D 60486 Frankfurt am Main
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 27/35
World Premieres as well as Advancements in Sustainability and Efficiency
in the Spotlight
Very Good Signs for PaintExpo 2024
Preparations for the ninth edition of
PaintExpo are in the final stages, and
the signs for the world‘s leading trade
fair for industrial coating technology
from 9 to 12 April 2024 in Karlsruhe are
very good: Around 430 exhibitors from
Germany and abroad are expected.
The globally unique concentration of
companies from the coating technology
sector ensures a strong attraction
for users from contract coating companies,
in-house coating services and
all players in the coating technology
sector. The trade fair is the industry‘s
most important international platform
and visitors will have the opportunity
to experience numerous world premieres
and find out about technological
developments in sustainable and
energy-efficient coating processes for
the future.
Copyright: Leipziger Messe GmbH / Tom Schulze
Around 430 exhibitors - including the who‘s
who of the coating technology industry with
its market and technology leaders - will fill
three exhibition halls for four days at PaintExpo
2024 in Karlsruhe. The exhibitors at
the world‘s leading trade fair for industrial
coating technology will cover the full range
of products and services across the entire
supply chain. Over 40 per cent of exhibitors
are international. Most companies from
outside Germany are from Italy, Turkey and
Switzerland. However, the trade fair attracts
exhibitors from far beyond Europe and
companies from countries such as the US,
India and China are also represented.
„The signs for PaintExpo 2024 are very
good - despite the current challenging conditions.
We can already sense tremendous
excitement building for PaintExpo among
exhibitors and visitors alike. The world‘s
leading trade fair for industrial coating technology
will once again offer the industry the
perfect venue for holding in-depth technical
discussions, planning concrete projects and
making investment decisions. Visitors can
also expect to experience a wealth of innovative
product highlights at the exhibition
stands,“ says Markus Geisenberger, Chief
Executive Officer of Leipziger Messe.
Smart Solutions in Coating
One of the companies showcasing smart
solutions at PaintExpo in Karlsruhe is
WAGNER, exhibiting manual and automatic
spray guns with highly effective coating
performance and low material usage. WAG-
NER‘s new high-speed rotating atomisers
for solvents and water-based coatings play a
major role in implementing fully automated
wet-coating systems and robotic solutions.
The company has also made its pumps for
wet coating significantly easier to flush. The
Cobra high-press double diaphragm pump
has been optimised to significantly reduce
the amount of flushing agent and therefore
also the amount of time needed for changing
colours.
When it comes to powder coating,
WAGNER will be emphasising efficiency
and automation. In manual coating, the
focus will be on how the use of assistance
functions can help even inexperienced staff
carry out coating tasks in accordance with
requirements. Visitors to the company‘s
stand can also learn how to master the transition
to fully automated coating processes
using axis and robotic technologies.
Numerous World Premieres in Electrostatic
Powder-Coating Technology
At the Gema Switzerland stand, several products
are being presented for the first time.
The Swiss company‘s innovations include
a new series of automatic spray guns with
models for organic and enamel powders as
well as robotic applications. Gema will also
be showcasing new application pumps that
combine an advanced powder-loading technology
with the second generation of SIT
dense-phase powder delivery technology in
a single unit.
Furthermore, Gema is presenting a
fully automated powder management system
featuring an intuitive user interface
for switching colours efficiently. The system
also comes available with two powder
hoppers, allowing users to apply two different
colours one after another in rapid
succession.
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 28/35
Innovative Technologies for
Sustainability and Efficiency
The range of products and services on
display clearly shows the extent to which
exhibitor awareness of the significance of
sustainability and efficiency in the coating
technology and production industries is
increasing. In line with this trend, POMA
Systems is introducing its i-GLUE automated
adhesive application system. By dosing
and applying adhesives precisely, this system
not only makes more efficient use of
materials but also optimises the quality of
the joints.
The exhibitor is also focusing on predictive
maintenance as a way of minimising
downtimes and maximising efficiency. Leveraging
sensor systems and data analysis
makes it possible to continuously monitor
machines so that problems can be identified
and fixed early on before the equipment
fails.
Experience Four Different Themes Up
Close at One Exhibition Stand
Axalta Coating Systems is likewise focusing
its trade fair appearance on a series
of products geared towards sustainability.
At the company‘s stand, four topics will be
highlighted. As part of its „Sustainability,
Together“ campaign, Axalta is presenting
its latest sustainable coating solutions with
a particular emphasis on biobased powder
coatings as an environmentally friendly alternative.
With a view to electromobility,
Axalta will also be showcasing coatings for
battery applications, including dielectric
and thermally insulating coatings.
Corrosion protection is also a major
theme. Multiple product lines including
thermoplastics, thermosetting primers, industrial
liquid solutions and their AquaEC
electrocoat products mean that customers
can select the right product for their needs.
Visitors to the stand can also look forward
to a ColourDesign area displaying the full
spectrum of colours available from Axalta.
Comprehensive Exhibitor Directory,
Tickets Only Available for Purchase Online
A number of exciting exhibitor innovations
can also be found in the digital exhibitor and
product directory on the PaintExpo website.
In addition, visitors can plan their time
at the trade fair in advance and on-site by
using an interactive map of the halls, creating
bookmarks lists and browsing the special
interest topics on sustainability, careers
and live experiences.
At the ticket shop on the event website,
visitors can purchase tickets for the trade
fair and redeem invitations from exhibitors.
The online ticket gives visitors fast and easy
access to the PaintExpo in Karlsruhe.
Leipziger Messe GmbH
D 04356 Leipzig
Andy Cowan Appointed as President,
Particle Measuring Systems
Andy Cowan has been appointed as President at Particle Measuring
Systems (PMS), taking over from Mark Fleiner, President Spectris
Scientific and Malvern Panalytical, who served as Interim President
at PMS starting July 2023.
Mr. Cowan‘s appointment marks a return to familiar territory,
as he previously held the position of VP for Finance and Business
Development at Particle Measuring Systems from January 2016 to
October 2018. Before this new role, he served as President of Servomex,
a sibling company to PMS. His career also includes significant
roles at Spectris PLC, the parent company of both PMS
and Servomex. Here, he was the Interim Business Group Director
and later the CFO of the ISD Division, bridging his experiences
between PMS and Servomex.
Amongst the many improvements under Andy’s leadership at
Servomex the company significantly improved on-time delivery,
achieved record sales, meeting profit expectations while also celebrating
Gold awards internally and externally for areas such as SBS/
Continuous Improvement, Health & Wellbeing and Sustainability.
“I am excited to be returning to Colorado and Particle Measuring
Systems. The company has great opportunities to grow and positively
impact clean manufacturing while also being a great place to
work,” said Andy.
“The combination of Andy’s industry experience and his ability
to positively impact business made him the easy choice for this position,”
said Mark Fleiner. He continued, “I have worked with Andy for
many years and look forward to seeing PMS grow and thrive under
his leadership as we work towards making the world cleaner, healthier,
and more productive.”
Particle Measuring Systems Germany GmbH
Im Tiefen See 45
D 64293 Darmstadt
Telefon: +49 351 88963850
eMail: PMSGermany@pmeasuring.com
Internet: http://www.pmeasuring.de
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 29/35
Blue Line expands in the Nordics
Blue Line is proud to announce that the company is expanding its presence in the Nordics with the launch of subsidiaries
in Norway and Sweden. This strategic expansion marks a significant milestone and will strengthen Blue Line’s connection
with customers and partners in these regions.
Strengthening presence in Norway and Sweden
Last year, Blue Line relocated its headquarters to a new facility to
ensure continuous delivery assurance, increased warehouse capacity,
and room for more resources in R&D and administration. This
expansion has created opportunities for further growth, resulting in
the establishment of new offices in Norway and Sweden, as well as
an expansion of the organization in Denmark to enhance engagement
with customers and partners in these regions.
Nils Olav Gjørvad and Lars Dammen lead Blue Line Norge AS, while
Lars Virdeby and Pontus Eriksson lead Blue Line Sweden AB. These
subsidiaries are fronted by highly experienced employees with solid
industry knowledge and Nordic cooperation knowledge, which will
contribute to a strong local presence and improved customer service.
Focus on improved customer service
and increased accessibility
At Blue Line, we are confident that this expansion will result in improved
customer service and increased accessibility. The goal is to
better and more efficiently meet customers‘ specific needs and requirements,
and the company believes that the new local offices will
significantly contribute to this purpose.
CEO, Niels Pedersen, says:
„Blue Line’s DNA has always been associated with a strong customer
focus, and with our new offices in Norway and Sweden, we have
opened the opportunity to better serve our customers within some
of the growing industrial and product areas. Therefore, shareholders
and management have decided to establish new offices in Norway
and Sweden, which will provide increased resilience in relation to
the growth we predict for the company. This will enable us to meet
the increasing demand for IT hardware solutions from both our current
and future customers. At the same time, we are creating the framework
for a more attractive workplace for an increasing number of
employees.“
Exciting new industrial and product areas
The expansion with our new offices in Norway and Sweden has
also opened up the opportunity to better serve our customers within
some of the growing industrial and product areas. This includes
areas such as Automation, Maritime, Transportation, Defense,
Telecom & Datacom. We look forward to serving customers in these
sectors and offering our expertise and solutions to these demanding
customers and markets.
Blue Line A/S
Kappa 8
DK 8382 Hinnerup
Phone: +45 8678 5000
email: NP@blue-line.com
Internet: http://www.blue-line.com
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 30/35
From data glasses to robotic arms
– labs enter the digital age
The same developments happening in the rest of the world
are also reshaping laboratories: the increasing use of technology,
digital tools and, of course, robots and artificial intelligence
(AI). All these trends often go hand in hand with
a greater awareness of environmental issues. In this trend
report, we present a summary of the fruits these developments
are already bearing and will bear in the future.
The laboratory landscape is constantly changing. Whereas until the
middle of the 20th century people used their mouths to suck up
samples when pipetting, today‘s laboratories are equipped with the
appropriate technical aids to protect laboratory staff and make their
work easier. However, development has obviously not stopped with
pipettes and is now progressing rapidly, especially in the areas of automation,
digitalisation and robotics. We take a closer look at these
major laboratory trends and how they can also benefit sustainability.
In the beginning was automation
It almost seems like a relic of a bygone era: in the 1970s, as the third
industrial revolution (Industry 3.0) pushed the working environment
more and more towards automated processes. This led to an
increase in production output and created new opportunities in manufacturing
and engineering. At the same time, laboratories began
to move away from routine manual work towards more mechanical
support. However, it was not until the Fourth Industrial Revolution,
in the wake of digitalisation, that the formal breakthrough occurred.
Since then, progress in this area has been promoted and discussed
under the buzzword Laboratory 4.0.
The combination of basic tasks such as stirring, tempering, dosing,
etc. in automated processes laid the foundation for faster and
more repeatable laboratory work. Nowadays, virtually every piece of
laboratory equipment is at least partially automated – from the HPLC
system with pump unit and autosampler, to the fermenter with precisely
controlled temperature technology and media supply, to the
electronic pipette with support function for predefined workflows.
When we talk about automation in the laboratory today, it is inextricably
linked to digitalisation. „It is important to remember that
digitalisation alone is not enough – especially in the laboratory, automation
also includes a strong hardware component,“ says Prof. Dr
Kerstin Thurow from the Centre for Life Science Automation (CELI-
SCA) at the University of Rostock. Without suitable equipment and
systems for handling samples and labware, she says, it is not possible
to automate laboratory processes. „This is often overlooked or taken
for granted in today‘s discussion,“ she notes.
Ideally, a device combines automation and digitisation, as many
workstations do. These devices are usually equipped with their own
software that allows users to save their own workflows without the
need for programming skills. For example, pre-defined steps can be
dragged and dropped into the system to develop drug screens on a
PC, which are then automatically performed by a pipetting station.
This gives users more time for complex laboratory tasks such as
planning and evaluating experiments.
From automats to auto-arms
In addition to workstations, which usually fulfil a narrowly defined
task, robotic arms, familiar from industrial applications, are increasingly
being used in the laboratory. „In addition to classic Cartesian
liquid handling robots, various types of robotic arms are used when
highly complex systems consisting of several devices need to be
connected,“ explains automation expert Thurow. This type of robotic
arm, which is most commonly associated with automotive manufacturing,
can perform flexible movements that would otherwise
only be possible by a human arm – and it can do so with the precision,
endurance and repeatability of a machine. This is useful for
sample transfer or preparation, for example, and particularly in project-based
environments where workflows and tasks change more
frequently and require a more flexible solution.
To accommodate a robot assistant in the laboratory, which is
usually already constrained by a lack of space, modern robot arms
© shutterstock/586533194/Kitreel © iStock/1140779713/gorodenkoff
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 31/35
© iStock/1358567925/PeopleImages
© iStock/1298111593/Eplisterra
are usually so-called cobots, short for collaborative robots. Their advantage
is that they can be safely integrated into a shared workspace
with a human, without the need for physical separation.
It is conceivable that in the future, robotic assistants will take
over simple and tedious tasks from laboratory staff. „Kevin“, an autonomous
cobot developed by the Fraunhofer Institute for Automation
Technology, is already in use in real laboratories. This cobot moves
independently around the laboratory, taking samples and transporting
them between the reception area, the analysis laboratory and the
archive. According to automation expert Thurow, this type of mobile
robot has the best chance of fundamentally changing laboratory
work in the future. „It will further increase the degree of automation,
especially in highly complex distributed systems. However, she believes
that there will never be a fully autonomous laboratory. „Solutions
will always be tailored to specific processes,“ says Thurow.
Flood of data
Apart from the physical tasks performed by robots and other machines,
data generation is usually the main focus, or at least an essential
part of the work, in the laboratory context. Since the beginning of
the computer age, we have seen a rapid increase in the speed and
volume of data generated.
This has inevitably been accompanied by the development of
tools to assist laboratory staff in the acquisition, maintenance and
analysis of data. These include laboratory information and management
systems (LIMS), which would probably not exist without the
growing flow of data. These systems help with documentation, sample
management and act as an interface to the various instruments
used in the laboratory. This makes process and sample traceability
easier or possible, allowing accredited laboratories to comply with
regulations at a reasonable cost.
Communication is key
In general, interfaces are an extremely important issue in the laboratory
world. For some time now, there have been efforts to put an end
to the days of proprietary software and to enable the much-vaunted
„plug and play“ capability across different manufacturers without
any restrictions – in other words, simply plug in a new spectrometer
and it is immediately recognised in the existing laboratory network
and ready for use. Although this is already happening in many cases,
there is still much to be done. The two initiatives SiLA and OPC UA
LADS are particularly noteworthy in this respect. Both aim to create
a common standard for instrument connectivity in the laboratory.
One reality is not enough
When it comes to the usability of a new or first-time laboratory setup,
it is not just the question of interfaces that comes into play, but
also general planning aspects such as the sensible location of equipment,
the space available and even the complete planning of media
supply and the set-up of new workstations. Virtual reality (VR) has
already gained a foothold in the laboratory sector. For example, a
pre-created digital twin of the laboratory can be explored interactively
and immersively, laboratory furniture can be placed and modified,
and users can discuss and visualise changes with the laboratory
planners in the virtual space. This transforms traditional site visits
into meetings that can be held from anywhere by simply logging in –
provided there is a stable internet connection.
A related technology, Augmented Reality (AR), superimposes
digital information on the user‘s view of the real world. This technology
has great potential to improve the quality of work in the laboratory,
although it is still a long way from being used in everyday
work. The possibilities are endless: step-by-step workflows guided
by text displayed in data glasses, highlighting of required equipment
or chemicals in the field of view, or repair instructions for an analytical
instrument are just a few examples. Users may still have to get
used to this form of assistance. It is conceivable that this technology
will soon become socially acceptable in the wake of Apple‘s recently
introduced VR glasses.
When machines start to learn
The emergence of the ChatGPT chatbot and its clones, which have
generated a huge media hype in a very short time, shows how quickly
a technological leap can take place. The possibilities offered by such
learning algorithms will also change the world of laboratory work. A
suitably trained programme could, for example, help with documentation
and writing publications. Or it could simply act as an intermediary
between humans and computer programmes, allowing them to
design and control measurements via text or voice input – in direct
dialogue with the analytical instrument, so to speak. In 2022, Lauda,
the manufacturer of temperature control technology, made its first
attempts at voice control for the laboratory with its Lauda Live system.
The advantage is obvious: manual data entry is no longer necessary,
leaving the hands free for other tasks.
The green lab of the future
Laboratories will gradually become more connected, digitalised and
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 32/35
automated. In the future, we may even achieve the much touted ‚paperless
laboratory‘ – although in some cases we are still some way
off. However, there is one aspect that seems to be the counterpoint
to all this technological progress that will have a decisive influence
on the laboratory of the future: the issue of sustainability.
The desire for a more sustainable laboratory is by no means
synonymous with a desire for less technology, but rather relies on
new technologies. Dr Thorsten Teutenberg of the Institute for Environment
and Energy, Technology and Analytics (IUTA) confirms
this: „Initially, digitalisation offers the greatest potential for advancing
sustainability in the laboratory.“ According to Teutenberg, this
is due, for example, to better organisation and documentation. „In
many academic institutions, experiments are often repeated that
have already been carried out several times, but by a different person
who may not have worked at that institution for a long time. By using,
for example, an electronic lab notebook instead of a paper-based lab
notebook, research results can be made permanently available and
unnecessary experiments can be avoided“.
Another positive side-effect in terms of sustainability is the miniaturisation
of laboratory equipment and processes, such as switching
from classic HPLC to micro-LC. Such modifications ideally
free up valuable space in the laboratory. „If we can save space by
miniaturising analytical systems, this has a direct impact on the running
costs of the laboratory,“ explains Teutenberg.
Miniaturisation of equipment and experimental set-ups down to
lab-on-a-chip for minimal resource consumption, an AI-optimised
reaction approach that achieves the desired result faster, or simply
a freezer with lower energy consumption – many modern developments
implicitly support the idea of sustainability in the laboratory.
Bottom line
From automation and robotics to digitalisation, AI and greater sustainability:
Laboratories are in a constant state of flux. How quickly
the laboratory world actually changes depends not only on the advancement
of these technologies, but also on the people who use
them in their daily work. And sometimes it takes a while for something
new to catch on, whether it‘s the first LIMS or futuristic-looking
AR data glasses for labs.
DECHEMA Ausstellungs-GmbH
D 60486 Frankfurt am Main
Raumedic Announces Membership in the
Bio-Process Systems Alliance (BPSA)
Raumedic, a global leader in the development and manufacturing of polymer-based components and systems for the medical
and pharmaceutical industry, is now a member of the Bio-Process Systems Alliance (BPSA) . This step aligns with
Raumedic’s strategic commitment to serve the biopharmaceutical and biotech sectors as a supplier of single-use fluid management
equipment.
BPSA is an international non-profit organization
led by industry experts spanning
the entire value chain of bioprocessing. The
global association strives to advance the
global adoption of single-use technology in
bioprocessing, aiming to realize this mission
through educational initiatives, best practice
sharing, consensus guideline development,
and fostering networking opportunities.
“Joining BPSA reflects our commitment
to leading the future by staying at the forefront
of industry standards, ensuring our
single-use solutions align with the latest
advancements and regulations of the industries
we serve”, says Stefan Seuferling, CEO
of Raumedic AG.
Mark A. Petrich, Chairman of the Board
of BPSA, is glad to welcome Raumedic as a
new member of the organization: “We are
excited to announce Raumedic as a new
member of our global alliance. We recognize
the valuable perspective that the German
company brings to our organization and its
members – especially with their expertise in
polymer-based solutions such as single-use
sets and tubing.”
Raumedic’s interest in joining BPSA is
also based on recent activities to deepen its
service to the biopharmaceutical industry -
serving as a reliable and safe one-stop-shop
for polymeric single-use products.
Last year, Raumedic launched a new
brand for biocompatible single-use bioprocessing
tubing. Leveraging more than 70
years of polymer processing expertise, the
company decided to end its exclusivity as a
supplier of a single major life science client -
and offer its single-use solutions to the entire
market for the first time.
Raumedic AG
D 95233 Helmbrechts
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 33/35
ACHEMA 2024:
Multifaceted lecture programme
for the world of the process industry
ACHEMA 2024 will once again fully integrate the lecture and supporting
programme with the exhibition. In 2022, ACHEMA integrated
the congress and the so-called Innovation Stages into the exhibition
for the first time. Due to the positive feedback, the concept
will be continued this year. In total, more than 750 presentations
await visitors in the lecture halls and on the stages in the exhibition.
“Science and Industry in Dialogue has always been DECHEMA‘s
credo and since the last ACHEMA it has also been a living practice
in the lecture and congress programme. The success proves us right:
With more than 20,000 listeners, the number of attendees in 2022
was significantly higher than at ACHEMA 2018, which had more
participants overall,“ says Dr Andreas Förster, Executive Director of
DECHEMA e.V. and thus organiser of ACHEMA. This year‘s congress
programme focuses on the topics of hydrogen, sustainability,
circular economy and digitalisation. At the six Innovation Stages
in the exhibition and in the five highlight sessions of the congress,
ACHEMA 2024 will address these and other top topics of the process
industry.
Process Innovation
The GEA Process Innovation Stage in Hall 9.0 will focus on topics
such as electrification, flexibilisation and biotechnologisation of chemical
processes as well as contributions to smart digital technologies
in plant construction and operation. In the Process Highlight Session
„Nature as a role model – maximum resource efficiency in the chemical
industry“, experts will discuss the vision of a fully resource-efficient
chemical industry and its implementation. The highlight session
will take place on Friday, 14 June 2024 from 12:00 to 13:00.
Pharma Innovation
The ZETA Pharma Innovation Stage in Hall 4.1 will cover biopharmaceutical
production in addition to many other topics related to
pharmaceutical production and packaging, which is also the focus of
the Pharma Highlight Session on Monday, 10 June 2024 from 13:00
to 14:00: Under the title „Next generation pharma manufacturing –
current advances in cell and gene therapy“, the Pharma Highlight
Session will have a closer look on the centralised and decentralised
production of cell therapeutics and the current challenges of translational
research and the marketing of therapies.
Lab Innovation
More than ever, success in the laboratory is determined by the technologies
used in the laboratory and at the interfaces to engineering
and production. This is the focus of the presentations on the Lab Innovation
Stage in Hall 12.0. In addition to the Lab Innovation Stage,
ACHEMA 2024 will also feature an action area dedicated to the digitalised,
miniaturised and automated laboratory of the future. Besides
innovative bioanalytics and (bio)pharmaceutical applications, sustainability
as well as the planning, construction, equipment and operation
of laboratories will also be highlighted. The latter is a particular
focus in the SEFA Theatre of the Scientific Equipment and Furniture
Association: at ACHEMA, it is the contact point for laboratory operators,
architects, users and experts from the laboratory community
who want to find out more about the laboratory-grade environment
and gain insights into successful examples from around the world.
Green Innovation
The challenge of climate-neutral production in the process industries,
the circular economy, the integration of molecular and industrial
biotechnology, sustainable innovations and investments – these are
the topics that are the focus of the EY Green Innovation Stage in Hall
6.0. “The chemical industry is looking to innovative technologies to
bolster sustainability, such as green chemistry and circular economy
practices. ACHEMA is a key platform for bringing industry experts
together to address these challenges and foster innovation”, emphasises
Matthias Brey, Head of Sustainability Consulting Europe West at
EY. In the highlight session „Beyond fossil fuels – exploring alternative
carbon sources for a sustainable chemical industry”, on Thursday, 13
June 2024 from 13:00 to 14:00, experts from science and industry will
discuss how fossil-free production can become a reality.
Digital Innovation
Industry 4.0, artificial intelligence, autonomous systems, digital
twins and, last but not least, cybersecurity: The Siemens Digital
Innovation Stage in Hall 11.0 offers a comprehensive and practical
overview of key digital trends and their use in the process industry.
“For the process industry, ACHEMA is the key platform where innovation
and practical application come together. We will show how
Siemens is connecting the real world with the digital world to create
a more sustainable future for our customers“, says Axel Lorenz, CEO
Process Automation at Siemens. The highlight session „Artificial
intelligence and autonomous systems in the process industry“ on
Wednesday, 12 June 2024 from 13:00 to 14:00 will discuss the steps
towards autonomous systems and explore the technological and cultural
challenges that lie ahead.
Hydrogen Innovation
The process industry stands like no other sector for the technological
backbone of a functioning hydrogen economy: The Siemens
Hydrogen Innovation Stage in Hall 6.0, the Special Show Hydrogen
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 34/35
and numerous other exhibitors at ACHEMA will present the milestones
of the hydrogen economy to date as well as future challenges.
The highlight session „Hyperscaling hydrogen – turning strategy into
reality „ on Tuesday, 11 June 2024 from 13:00 to 14:00 will deal with
the central questions of the hydrogen ramp-up: What does hyperscaling
mean for plant engineering, its suppliers and users? What
investments and partnerships do we need for technology development
and infrastructure?
All highlight sessions will take place
in the room Europa in Hall 4.0.
While the congress sessions will primarily focus on applicationoriented
research and the development from proof-of-concept to
the threshold of market entry, the Innovation Stages will focus on
current production issues, best practices and ready-to-use technologies
via short presentations – always with application in mind.
With the exhibition and the closer integration of the various components,
ACHEMA will offer a complete 360-degree perspective
on all trends and technologies in the process industries. The lecture
programme is therefore an important reason why experts and users
from 130 countries will once again be coming to ACHEMA in Frankfurt
this year.
DECHEMA Ausstellungs-GmbH
D 60486 Frankfurt am Main
Roadshow puts productivity on the map
Something huge is making its way around Europe over the next four months!
Sumitomo (SHI) Demag presents to customers its first ever supersized roadshow
truck. A 20 metre long mobile exhibition space containing all of the latest injection
moulding technologies and timesavers that promise to put plastic processors
on the fastest path to increased productivity and higher operating profits.
Starting at the company’s headquarter in
Schwaig, Germany on 8 April, 2024, the 40
ton #NextStopProductivity truck will then
set off on its European tour. Covering 14
countries in four months, at each of the 25
stopovers local country experts will present
to their customers a fully-operational allelectric
IntElect injection moulding machine.
Several of the company’s latest digital
solutions that deliver greater processing
transparency and increased machine availability
will also be demonstrated.
Citing customer convenience and sustainability
as the rationale for organising this
touring exhibition, CEO Gerd Liebig elaborates:
“Our inaugural 2024 roadshow means
that processors no longer need to commit
to travelling to a trade show. Instead, we
are bringing processors, managers, maintenance
and industry experts all together at
central locations in each respective country,
with our local teams each hosting customer
events, presentations and machine demonstrations.
“As well as being less disruptive to
businesses, this touring approach reaches
more professionals, making it the more convenient
travel option for everyone,” points
out Mr. Liebig.
The unique roadshow event will demonstrate
to customers exciting and more
profitable ways to optimise production processes.
Equipped with a 25mm screw and a
2-cavity tool, processing PP, visitors to the
travelling expo will see first-hand the instant
IntElect efficiency benefits. HB-Therm
provides with the Thermo-6 the appropriate
temperature control unit for the application.
Users can then explore how to fully utilise
features to repeatably increase cycle times
and make even more components at less
cost to their business and the environment.
“Optimising productivity and improving
TCO are gamechangers for processors, even
more so during these challenging times.
Being more competitive also requires wellinformed,
trained personnel. Our live demos
will explore a range of operational-enhancing
topics. Including minimising machinery
downtime, increasing output, identifying
the most efficient ways to process recycled
and regrind materials, and leveraging the
advantages of engaging your teams through
training,” expands Mr. Liebig.
The planned route covers Italy, Spain
and France. In May, the tour arrives in Austria,
Germany, Hungary, Slovakia, and the
Czech Republic. Poland will host four successive
events in early June, with the truck
then heading to The Netherlands, Belgium,
and back through Germany and France. The
final four calling points will be in Ireland,
Scotland and the UK.
Sumitomo (SHI) Demag
D 90571 Schwaig
Impressum:
cleanroom online / W.A. Schuster GmbH · Mozartstrasse 45 · D 70180 Stuttgart · Tel. +49 711 9 64 03 50 · Fax +49 711 9 64 03 66
info@reinraum.de · www.cleanroom-online.de · GF Dipl.-Designer Reinhold Schuster · Stgt, HRB 14111 · VAT DE 147811997
Original texts and images
The contributions mentioned by name are the responsibility of the particular author. Reprinting, also of extracts, are permitted only with the approval of
the editor and with reference to the source. The publisher does not accept any responsibility for unsolicited manuscripts and illustrations. The publisher
is granted the exclusive, spatial, temporal and contentual limited right to freely use the article in unchanged or edited form for all purposes as often as
desired or to transfer it to third parties for use. This right of use relates to print and electric media (Internet, databases, data carriers of all kinds).
www.reinraum.de | www.cleanroom-online.com NEWSLETTER | Edition EN 04-2024
page 35/35