10.06.2016 Views

eldo_user

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Introduction to Eldo<br />

Overview of Eldo<br />

• Extensive device model libraries including leading MOS, bipolar and MESFET<br />

transistor models such as: BSIM3v3.x, BSIM 4, MM11, Mextram, HICUM, and PSP.<br />

• TSMC Model Interface (TMI) support for TMI1 and TMI2.<br />

• IBIS (I/O Buffer Information Specification) model support.<br />

• Waveform analysis and post-processing of simulation results with the EZwave ®<br />

graphical post-processor.<br />

• Integration into Mentor Graphics Custom IC flow, consisting of Pyxis® Schematic for<br />

schematic capture, Pyxis Layout for the layout side, and Calibre/Calibre xRC for DRC/<br />

LVS and extraction. This flow provides a complete, front-to-back design and<br />

verification environment for analog, mixed-signal and RF.<br />

• Integration into Cadence’s Analog Design Environment with Artist Link ® .<br />

Analog Simulation Capabilities<br />

Eldo uses a unique partitioning scheme that enables the use of different algorithms on different<br />

portions of a design. It provides a flexible control of simulation accuracy using a wide range of<br />

device model libraries, and gives a high accuracy yield in combination with high speed and high<br />

performance.<br />

See “Getting Started With the Eldo Simulation Flow” on page 31.<br />

RF Capabilities<br />

Eldo RF extends the capabilities of the Eldo simulator with added extensions for RF simulation<br />

to enable the fast large-signal Steady-State analysis (SST analysis) of high frequency electronic<br />

circuits. A set of dedicated algorithms are provided to accurately and efficiently handle the<br />

multi-GHz signals in modern wireless communication applications. Of importance to the RF<br />

designer, you can extract large-signal S parameters, and W elements and multiple lossy<br />

transmission lines are supported.<br />

See the Eldo RF User’s Manual.<br />

Mixed-Signal Simulation<br />

Questa ADMS incorporates Eldo Classic and Eldo Premier to efficiently simulate mixed-signal<br />

designs within a unified simulation environment. Questa ADMS extends the familiar Questa<br />

verification platform with analog and mixed-signal standard languages. You can mix all<br />

languages in a single hierarchy; and you can combine VHDL-AMS, Verilog-AMS, VHDL,<br />

Verilog, SystemVerilog, SPICE and SystemC anywhere and at any level in the design. The<br />

testbench may be SPICE, an analog or mixed-signal language, or a digital language.<br />

In Questa ADMS, you can use digital units that have been previously compiled and simulated<br />

by Questa without any modification. You can use SPICE subcircuits anywhere in the design<br />

hierarchy for greater flexibility in modeling.<br />

30<br />

Eldo® User's Manual, 15.3

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!