07.12.2012 Views

Deliverables and Services - IHP Microelectronics

Deliverables and Services - IHP Microelectronics

Deliverables and Services - IHP Microelectronics

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Entwicklung von Prozess-Modulen<br />

Fotolithografie<br />

Ziel der Teilschrittentwicklungen ist die Verbesserung<br />

der Fotolithografie für die 130-nm-SiGe-BiCMOS- Technologie.<br />

Darüber hinaus werden durch neuartige lithografische<br />

Technologien, z. B. Doppelbelichtungs- und<br />

Doppelstrukturierungs-Verfahren, kosteneffektive<br />

< 130 nm „Half-pitch“-Strukturierungen zur Verfügung<br />

gestellt.<br />

1. Optische Proximity Korrektur für<br />

130-nm-SiGe-BiCMOS<br />

Die 130-nm-SiGe-BiCMOS-Technologie bietet integrierte<br />

high-performance HBTs mit hervorragenden HF-Eigenschaften<br />

und Grenzfrequenzen f T = 250 GHz und f max =<br />

300 GHz (BV CEO = 1,7 V). Für die kritischen Ebenen in<br />

der Fotolithografie wurde eine optische Proximity Korrektur<br />

(OPC) entwickelt. Wir entwickeln eine regelbasierte<br />

(RB-OPC) und modellbasierte Optische Proximity<br />

Korrektur (MB-OPC). Die Realisierung der RB-OPC und<br />

MB-OPC erfolgt mit der Software Mentor Calibre. Die<br />

Abb. 25 zeigt das Layout und die Abbildung der Strukturen<br />

auf dem Wafer für unkorrigierte, RB-OPC und MB-<br />

OPC Strukturen der Shallow Trench- und Gateebene.<br />

A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Advanced Process Module Research<br />

Photolithography<br />

the goal of the module research is the improvement<br />

of the photolithography for the 130 nm SiGe BiCMoS<br />

technology. Furthermore, new lithographic technologies,<br />

e.g. double exposure <strong>and</strong> double patterning<br />

techniques, are used to provide cost-effective<br />

< 130 nm “half-pitch” patterning.<br />

1. Optical Proximity Correction for<br />

130 nm SiGe BiCMOS<br />

the 130 nm SiGe BiCMoS technology provides integrated<br />

high-performance HBts with excellent RF-performance<br />

<strong>and</strong> cut-off frequencies f t = 250 GHz <strong>and</strong> f max =<br />

300 GHz (BV Ceo = 1.7 V). An optical proximity correction<br />

(opC) was developed for the critical layers in<br />

photolithography. We are developing a rule-based<br />

(RB-opC) <strong>and</strong> model-based optical proximity correction<br />

(MB-opC). For the realization of the RB-opC <strong>and</strong><br />

MB-opC we use Mentor Calibre software. Fig. 25 shows<br />

the layout <strong>and</strong> the final wafer printing for the uncorrected,<br />

RB-opC <strong>and</strong> MB-opC structures of the shallow<br />

trench <strong>and</strong> gate layer.<br />

Abb. 25: Layout und die Abbildung der Strukturen auf dem Wafer für unkorrigierte, RB-OPC und MB-OPC Strukturen der Shallow Trench- und<br />

Gateebene (von links nach rechts).<br />

Fig. 25: layout <strong>and</strong> final wafer printing for the uncorrected, RB-opC <strong>and</strong> MB-opC pattern of the shallow trench <strong>and</strong> gate layer<br />

(from left to right).

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!