07.12.2012 Views

Deliverables and Services - IHP Microelectronics

Deliverables and Services - IHP Microelectronics

Deliverables and Services - IHP Microelectronics

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Die ECL-Zellbibliothek richtet sich in erster Linie an<br />

Anwender, die bereits Erfahrung mit der Entwurfsumgebung<br />

Cadence Virtuoso sowie mit dem Design Kit des<br />

<strong>IHP</strong> haben. Für MPW-Nutzer des <strong>IHP</strong> ist als geprüfte<br />

Version in SGB25VD verfügbar:<br />

- Ein Satz von ca. 40 Zellen für logische Grundfunktionen<br />

in Cadence (Layout und Schaltbild),<br />

- Treiber und Konverter von und zu den<br />

verschiedenen Logikpegeln CMOS, pECL, LVDS,<br />

- VCOs und spezielle Biasing-Schaltungen,<br />

- Eine digitale Verhaltensbeschreibung der Zellen<br />

in VHDL, einschließlich Zeitverhalten und<br />

Lastabhängigkeit, auch für differentiellen Betrieb.<br />

Für die ECL-Synthese komplexerer Schaltungen wird<br />

in einem ersten Schritt die Logiksynthese analog zur<br />

CMOS-Synthese mit einem St<strong>and</strong>ardwerkzeug ausgeführt,<br />

dem eine CMOS-ähnliche Nachbildung der ECL-<br />

Zellbibliothek zugrunde liegt. Dabei kann man durch<br />

Power- und Timing-Constraints das Design in einem<br />

weiten Bereich auf die Anforderungen der Applikation<br />

abstimmen (Abb. 15). Ein zweiter Schritt mit einem<br />

in Entwicklung befindlichen Werkzeug führt das Syntheseergebnis<br />

zurück auf die ECL-Zellen der Zellbibliothek<br />

und erzeugt differentielle Signale. Das Ergebnis<br />

ist eine Netzliste, die für das weitere Design auf Basis<br />

der ECL-Zellbibliothek unter Cadence verwendet werden<br />

kann. Für diese neuartige Synthese ultraschneller Digitalschaltungen<br />

bietet das <strong>IHP</strong> einen durchgängigen<br />

Design-Service (einschließlich Layoutgenerierung und<br />

Verifikation) an.<br />

the eCl cell library mainly addresses users who are<br />

already experienced in the use of Cadence Virtuoso as<br />

well as with the IHp design kit. For MpW-clients a first<br />

tested version in SGB25VD is available, including:<br />

- A Cadence-based set of 40 cells for basic logical<br />

function in layout <strong>and</strong> schematic,<br />

- Drivers <strong>and</strong> converters to <strong>and</strong> from the different<br />

logical levels of CMoS, peCl, <strong>and</strong> lVDS,<br />

- VCos (Voltage Controlled oscillators) <strong>and</strong> biasing<br />

circuits,<br />

- A digital behavioral description of the cells in<br />

VHDl including timing <strong>and</strong> load dependency for<br />

both differential <strong>and</strong> single-ended mode.<br />

the eCl synthesis of more complex circuits is done<br />

in two steps. Firstly, the logic synthesis is executed<br />

similarly to CMoS synthesis using a st<strong>and</strong>ard synthesis<br />

tool <strong>and</strong> an eCl cell library derivative in CMoS<br />

style. In this process the design can be adjusted in<br />

a wide range to fit the application using power <strong>and</strong><br />

timing constraints. (Fig. 15). the next step based on<br />

a self-developed tool converts the result back to the<br />

eCl cells of the original cell library <strong>and</strong> generates differential<br />

signals. this results in a net list suited for<br />

further Cadence based design using the original eCl<br />

cell library.<br />

IHp offers a complete design service (incl. layout <strong>and</strong><br />

verification) for this novel <strong>and</strong> unique ultra highspeed<br />

digital synthesis.<br />

Abb.15: Verlustleistung in Abhängigkeit von der max. Datenrate<br />

eines synthetisierten 8-Bit-Addierers in SGB25VD CMOS<br />

und ECL.<br />

Fig. 15: power versus data rate of a synthesized 8 bit adder in<br />

SGB25VD CMoS <strong>and</strong> eCl logic, respectively.<br />

A n n u A l R e p o R t 2 0 0 7

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!