07.12.2012 Views

Deliverables and Services - IHP Microelectronics

Deliverables and Services - IHP Microelectronics

Deliverables and Services - IHP Microelectronics

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

J A H R E S B E R I C H T 2 0 0 7 – A N N U A L R E P O R T 2 0 0 7<br />

Annual Report 2007<br />

A n n u A l R e p o R t 2 0 0 7


prof. Dr. Wolfgang Mehr<br />

Die Forschungschwerpunkte des <strong>IHP</strong> beinhalten volkswirtschaftlich<br />

relevante Themen, insbesondere für die<br />

- Telekommunikation<br />

- Autoindustrie<br />

- Luft- und Raumfahrt<br />

- Telemedizin<br />

- Automatisierungstechnik.<br />

Als Leibniz-Institut betreibt das <strong>IHP</strong> auf diesen Gebieten<br />

Grundlagenforschung und angew<strong>and</strong>te Forschung.<br />

Besonderheiten des <strong>IHP</strong> sind:<br />

- Die Fokussierung auf siliziumbasierte Systeme,<br />

Höchstfrequenzschaltungen und Technologien für die<br />

drahtlose und Breitb<strong>and</strong>kommunikation<br />

- Der vertikale Ansatz (von der Materialforschung bis<br />

zum Schaltkreis- und Systemdesign)<br />

- Die Verstärkung der Kapazitäten für Grundlagenforschung<br />

durch eine sehr enge Kooperation mit Hochschulen<br />

auf der Basis von Joint Labs<br />

- Ein forschungsorientierter, national und international<br />

breit genutzter Multiprojekt Wafer & Prototyping<br />

Service für Hochschulen, Forschungsinstitute und<br />

High-Tech-Firmen<br />

- Eine enge Kooperation mit einer großen Anzahl von<br />

verschiedenen Industriefirmen.<br />

Das <strong>IHP</strong> wirkt deshalb auch als Brücke zwischen Hochschulforschung<br />

und Industrie.<br />

2007 konnte das Institut bedeutende Fortschritte bei<br />

der Einbindung in die europäische Forschungsl<strong>and</strong>schaft<br />

erreichen. Bereits beim ersten Call im 7. Forschungsrahmenprogramm<br />

der EU gelang es, gemeinsam<br />

mit Partnern vier wichtige Projekte einzuwerben.<br />

2 A n n u A l R e p o R t 2 0 0 7<br />

V O R w O R T – F O R E w O R d<br />

the main research of the IHp is focused on economically<br />

relevant topics, especially for<br />

- telecommunication<br />

- Automobile industry<br />

- Aerospace<br />

- telemedicine<br />

- Automation.<br />

As a leibniz institute IHp conducts basic <strong>and</strong> applied<br />

research in these areas.<br />

Special features of the IHp are:<br />

- the focus on silicon based systems, high frequency<br />

circuits <strong>and</strong> technologies for wireless <strong>and</strong> broadb<strong>and</strong><br />

communication<br />

- the vertical approach (from materials research to<br />

circuit <strong>and</strong> system design)<br />

- Strengthening of the capacities for basic research<br />

by close cooperation with universities in Joint<br />

labs<br />

- A research-oriented, nationally <strong>and</strong> internationally<br />

broadly applied Multi project Wafer & prototyping<br />

Service for universities, research institutes <strong>and</strong><br />

high-tech companies<br />

- An intensive cooperation with a large number of<br />

different companies.<br />

therefore, IHp also acts as a bridge between university<br />

research <strong>and</strong> the industry.<br />

In 2007 the institute achieved considerable progress<br />

in its integration into the european research l<strong>and</strong>scape.<br />

Already in the first call for proposals in the<br />

7 th research framework programme of the eu it succeeded<br />

together with its partners in securing impor-


Wissenschaftler des <strong>IHP</strong> sind aktiv beteiligt in Gremien<br />

der europäischen Technologieplattformen, u. a. ENIAC,<br />

ARTEMIS, EPoSS und eMobility.<br />

Die neue 0,13-µm-SiGe-BiCMOS-Technologie des <strong>IHP</strong> ist<br />

mit 300-GHz-HBTs ein bedeutender Schritt auf dem Weg<br />

zu höheren Arbeitsfrequenzen und geringerem Leistungs-<br />

und Flächenbedarf für komplexe Schaltungen<br />

und Systeme.<br />

Langfristig sind es für das <strong>IHP</strong> wichtige Ziele, siliziumbasierte<br />

Bauelemente und Systeme mit Arbeitsfrequenzen<br />

bis zum Terahertz-Bereich sowie in Silizium integrierte<br />

Lösungen für die optische Datenübertragung<br />

zu entwickeln.<br />

Die kostengünstige Erzeugung von Terahertz-Strahlung<br />

ist sowohl Grundlage für drahtlose Kommunikationssysteme<br />

mit höchsten Datenraten als auch für bildgebende<br />

Anwendungen auf attraktiven Gebieten wie<br />

Sicherheit, Materialanalyse, Biologie und Medizin. Zur<br />

Anschaffung der dazu notwendigen Forschungsausrüstungen<br />

konnten für die kommenden drei Jahre zusätzliche<br />

EU-Mittel (EFRE) in Höhe von 22,5 Mio. € eingeworben<br />

werden.<br />

Die Drittmitteleinnahmen des Institutes überstiegen<br />

im Jahr 2007 erstmals 8 Mio. €. Durch die zusätzlichen<br />

Projekte konnte die Anzahl der am Institut tätigen Mitarbeiter<br />

auf 220 wachsen.<br />

An dieser Stelle möchten wir unseren Mitarbeiterinnen<br />

und Mitarbeitern ganz herzlich für ihre engagierte Arbeit<br />

danken. Ebenso danken wir der Br<strong>and</strong>enburgischen<br />

L<strong>and</strong>esregierung und der Bundesregierung für die<br />

außerordentliche Unterstützung unserer Arbeiten.<br />

In diesem Bericht finden Sie eine Auswahl interessanter<br />

Forschungsergebnisse des Jahres 2007.<br />

Wolfgang Mehr Manfred Stöcker<br />

Wiss.-Techn. Geschäftsführer Adm. Geschäftsführer<br />

V O R w O R T – F O R E w O R d<br />

tant projects. IHp scientists are actively working in<br />

bodies of european technology platforms such as<br />

enIAC, ARteMIS, epoSS <strong>and</strong> eMobility.<br />

the institute`s new 0.13 µm SiGe BiCMoS technology<br />

with 300 GHz HBts is an important step towards<br />

higher working frequencies, smaller required areas<br />

<strong>and</strong> less power dissipation for complex circuits <strong>and</strong><br />

systems.<br />

Important long-term goals for IHp are silicon based<br />

devices <strong>and</strong> systems with working frequencies up to<br />

the terahertz range as well as integrated solutions in<br />

silicon for optical data transmission.<br />

the cost-effective generation of terahertz radiation<br />

is a basis for wireless communication systems with<br />

highest performance as well as for imaging applications<br />

in promising areas such as security, analysis<br />

of materials, biology <strong>and</strong> medicine. For the investment<br />

in the research equipment needed for these<br />

applications an additional european eFRe funding of<br />

22.5 million euros was procured for the next three<br />

years.<br />

In 2007 the third party funding exceeded 8 million<br />

euros for the first time. With these additional projects<br />

the number of IHp employees grew to 220.<br />

At this point we would like to express our sincere<br />

thanks to our staff for their committed work. We are<br />

also grateful to the regional government of Br<strong>and</strong>enburg<br />

<strong>and</strong> the federal government for the extraordinary<br />

support of our research.<br />

In this report you will find a selection of interesting<br />

results of our research in 2007.<br />

A n n u A l R e p o R t 2 0 0 7


A n n u A l R e p o R t 2 0 0 7<br />

I N H A L T S V E R Z E I C H N I S – C O N T E N T S<br />

Contents


I N H A L T S V E R Z E I C H N I S – C O N T E N T S<br />

Vorwort<br />

Aufsichtsrat<br />

Wissenschaftlicher Beirat<br />

Das <strong>IHP</strong> auf einen Blick<br />

Forschung des <strong>IHP</strong><br />

Das Jahr 2007<br />

Ausgewählte Projekte<br />

Gemeinsame Labore<br />

Zusammenarbeit und Partner<br />

Gastwissenschaftler und Seminare<br />

Publikationen<br />

Angebote und Leistungen<br />

Wegbeschreibung zum <strong>IHP</strong><br />

2<br />

6<br />

7<br />

8<br />

0<br />

6<br />

28<br />

72<br />

78<br />

82<br />

86<br />

2<br />

2<br />

Foreword<br />

Supervisory Board<br />

Scientific Advisory Board<br />

IHp in a nutshell<br />

IHp‘s Research<br />

update 2007<br />

Selected projects<br />

Joint labs<br />

Collaboration <strong>and</strong> partners<br />

Guest Scientists <strong>and</strong> Seminars<br />

publications<br />

<strong>Deliverables</strong> <strong>and</strong> <strong>Services</strong><br />

Directions to IHp<br />

A n n u A l R e p o R t 2 0 0 7


Aufsichtsrat<br />

Konstanze Pistor<br />

Vorsitzende (bis 09. April 2007)<br />

Ministerium für Wissenschaft, Forschung und Kultur<br />

L<strong>and</strong> Br<strong>and</strong>enburg<br />

MinR Brigitte Klotz<br />

Vorsitzende (seit 10. April 2007)<br />

Ministerium für Wissenschaft, Forschung und Kultur<br />

L<strong>and</strong> Br<strong>and</strong>enburg<br />

RD Dr. Volkmar Dietz<br />

Stellvertretender Vorsitzender<br />

Bundesministerium für Bildung und Forschung<br />

Dr.-Ing. Peter Draheim<br />

Philips GmbH, Hamburg<br />

Dr. Gunter Fischer<br />

<strong>IHP</strong> GmbH (seit 01. Oktober 2007)<br />

Prof. Dr. Helmut Gabriel<br />

Freie Universität Berlin<br />

Dr. Eckhard Grass<br />

<strong>IHP</strong> GmbH (bis 30. September 2007)<br />

Norbert Quinkert<br />

Quinkert Herbold Fischer Executive Search GmbH,<br />

Frankfurt am Main (bis 19. April 2007)<br />

Dr. Harald Richter<br />

<strong>IHP</strong> GmbH<br />

Prof. Dr. Ernst Sigmund<br />

Br<strong>and</strong>enburgische Technische Universität Cottbus<br />

MinR Gerhard Wittmer<br />

Ministerium der Finanzen<br />

L<strong>and</strong> Br<strong>and</strong>enburg<br />

6 A n n u A l R e p o R t 2 0 0 7<br />

A U F S I C H T S R A T – S U P E R V I S O R y B O A R d<br />

Supervisory Board<br />

Konstanze Pistor<br />

Chair (until April 09, 2007)<br />

Ministry of Science, Research <strong>and</strong> Culture<br />

State of Br<strong>and</strong>enburg<br />

MinR Brigitte Klotz<br />

Chair (since April 10, 2007)<br />

Ministry of Science, Research <strong>and</strong> Culture<br />

State of Br<strong>and</strong>enburg<br />

Rd dr. Volkmar dietz<br />

Deputy Chair<br />

Federal Ministry of education <strong>and</strong> Research<br />

dr.-Ing. Peter draheim<br />

philips GmbH, Hamburg<br />

dr. Gunter Fischer<br />

IHp GmbH (since october 01, 2007)<br />

Prof. Helmut Gabriel<br />

Freie universität Berlin<br />

dr. Eckhard Grass<br />

IHp GmbH (until September 30, 2007)<br />

Norbert Quinkert<br />

Quinkert Herbold Fischer executive Search GmbH,<br />

Frankfurt am Main (until April 19, 2007)<br />

dr. Harald Richter<br />

IHp GmbH<br />

Prof. Ernst Sigmund<br />

Br<strong>and</strong>enburg technical university, Cottbus<br />

MinR Gerhard wittmer<br />

Ministry of Finance<br />

State of Br<strong>and</strong>enburg


Wissenschaftlicher Beirat<br />

w I S S E N S C H A F T L I C H E R B E I R A T – S C I E N T I F I C A d V I S O R y B O A R d<br />

Prof. Dr. Hermann G. Grimmeiss<br />

Vorsitzender<br />

Department of Solid State Physics<br />

University of Lund, Schweden<br />

Dr. Jürgen Arndt<br />

Stellvertretender Vorsitzender<br />

Prof. Dr. Ignaz Eisele<br />

Fakultät für Elektrotechnik und<br />

Informationstechnik<br />

Universität der Bundeswehr München<br />

Prof. Dr. Christian Enz<br />

CSEM SA, Neuchatel, Schweiz<br />

Prof. Dr. Michael Hoffmann<br />

Institut für Mikrowellentechnik<br />

Universität Ulm<br />

Prof. Dr. Ulrich Rohde (bis 31. Juli 2007)<br />

Synergy Microwave Corporation, USA<br />

Dr. Josef Winnerl<br />

Infineon Technologies AG, München<br />

Leitung<br />

Prof. Dr. Wolfgang Mehr<br />

Wissenschaftlich-Technischer Geschäftsführer<br />

Manfred Stöcker<br />

Administrativer Geschäftsführer<br />

Scientific Advisory Board<br />

Prof. Hermann G. Grimmeiss<br />

Chair<br />

Department of Solid State physics<br />

university of lund, Sweden<br />

dr. Jürgen Arndt<br />

Deputy<br />

Prof. Ignaz Eisele<br />

Department of electrical engineering <strong>and</strong><br />

Information technology<br />

university of the Bundeswehr Munich<br />

Prof. Christian Enz<br />

CSeM SA, neuchatel, Switzerl<strong>and</strong><br />

Prof. Michael Hoffmann<br />

Institute of Microwave techniques<br />

university of ulm<br />

Prof. Ulrich Rohde (until July 31, 2007)<br />

Synergy Microwave Corporation, uSA<br />

dr. Josef winnerl<br />

Infineon technologies AG, Munich<br />

Management<br />

Prof. wolfgang Mehr<br />

Director<br />

Manfred Stöcker<br />

Administrative Director<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


8 A n n u A l R e p o R t 2 0 0 7<br />

d A S I H P A U F E I N E N B L I C K – I H P I N A N U T S H E L L<br />

<strong>IHP</strong> in a Nutshell


Das Institut<br />

d A S I H P A U F E I N E N B L I C K – I H P I N A N U T S H E L L<br />

- Gegründet 1983; 1991 Neugründung aus einem<br />

früheren Akademieinstitut mit langjähriger<br />

Erfahrung in der Mikroelektronik auf Silizium-<br />

Basis<br />

- 220 Mitarbeiter aus 16 Ländern<br />

- Mitglied der Leibniz-Gemeinschaft<br />

Aufgabe<br />

- Wirkung als Europäisches Forschungs- und<br />

Innovationszentrum für drahtlose Kommunikationstechnologien<br />

- Stärkung der Wettbewerbsfähigkeit der deutschen<br />

und europäischen Mikroelektronik- und Kommunikationsforschung<br />

- Erhöhung der Attraktivität der Region als<br />

Hochtechnologiest<strong>and</strong>ort<br />

Strategie<br />

- Konzentration auf Si-basierte Systeme, Höchstfrequenz-Schaltungen<br />

und -Technologien für die<br />

drahtlose und Breitb<strong>and</strong>kommunikation<br />

- Erarbeitung zukunftsorientierter Technologien,<br />

Schaltkreise und Systeme bis zu Prototypen<br />

Infrastruktur<br />

- Vollständige Innovations-Kette vom Material<br />

bis zu Systemen, einschließlich Pilotlinie mit<br />

0,25 und 0,13 µm-BiCMOS-Technologien<br />

Kompetenzen<br />

- Systeme für die drahtlose Kommunikation<br />

- HF-Schaltkreisentwurf<br />

- Erweiterung von Silizium-CMOS-Technologien<br />

für neue Funktionen<br />

- Materialien für die Mikro- und Nanoelektronik<br />

The Institute<br />

- Founded in 1983; re-established in 1991 as a<br />

successor institution to the former institute of<br />

the east German Academy with extensive<br />

experience in silicon microelectronics<br />

- 220 employees from 16 countries<br />

- Member of the leibniz Association<br />

Mission<br />

- to act as a european Research <strong>and</strong> Innovation<br />

Center for wireless communication<br />

technologies<br />

- to strengthen the competitive position of the<br />

German <strong>and</strong> european microelectronic <strong>and</strong><br />

communication research<br />

- to enhance the attractiveness of the region<br />

as a location for high technology<br />

Strategy<br />

- to focus on silicon-based systems, highfrequency<br />

circuits <strong>and</strong> technologies for wireless<br />

<strong>and</strong> broadb<strong>and</strong> communications<br />

- Development of future-oriented technologies,<br />

circuits <strong>and</strong> systems up to prototypes<br />

Facilities<br />

- Complete innovation chain from materials to<br />

systems, including a pilot line with<br />

0.25 <strong>and</strong> 0.13 µm BiCMoS technologies<br />

Competencies<br />

- Systems for wireless communication<br />

- RF circuit design<br />

- extension of silicon CMoS technologies for new<br />

functionalities<br />

- Materials for micro- <strong>and</strong> nanoelectronics<br />

A n n u A l R e p o R t 2 0 0 7


0 A n n u A l R e p o R t 2 0 0 7<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

<strong>IHP</strong>‘s Research


Das <strong>IHP</strong> konzentriert sich auf die Erforschung und Entwicklung<br />

von Si-basierten Systemen, Höchstfrequenz-<br />

Schaltungen und -Technologien für die drahtlose und<br />

Breitb<strong>and</strong>kommunikation.<br />

Dabei arbeitet das Institut an den folgenden drei eng<br />

mitein<strong>and</strong>er verbundenen Forschungsprogrammen:<br />

1. Drahtloses Internet: Systeme und Anwendungen,<br />

2. Technologieplattform für drahtlose und Breitb<strong>and</strong>kommunikation,<br />

3. Materialien für die Mikro- und Nanoelektronik.<br />

Die Forschungsprogramme nutzen die besonderen<br />

Möglichkeiten des <strong>IHP</strong>. So verfügt das <strong>IHP</strong> über eine<br />

Pilotlinie für technologische Forschungen und Entwicklungen.<br />

Eine weitere Besonderheit ist das vertikale<br />

Forschungskonzept des <strong>IHP</strong> unter Nutzung der<br />

zusammenhängenden und aufein<strong>and</strong>er abgestimmten<br />

Kompetenzen des Institutes auf den Gebieten Systementwicklung,<br />

Schaltungsentwurf, Technologie und Materialforschung.<br />

Die Forschung des <strong>IHP</strong> setzt auf die typischen Stärken<br />

eines Leibniz-Instituts: Sie ist charakterisiert durch eine<br />

langfristige, komplexe Arbeit, die Grundlagenforschung<br />

mit anwendungsorientierter Forschung verbindet.<br />

Die Realisierung der Forschungsprogramme erfolgt mit<br />

Hilfe eines regelmäßig aktualisierten Portfolios von Projekten<br />

auf Basis einer mittelfristigen Roadmap. Die Aktualisierung<br />

geschieht aufgrund inhaltlicher Erfordernisse<br />

sowie der Möglichkeiten für Kooperationen und<br />

Finanzierung. Drittmittelprojekte werden im Einklang<br />

mit den strategischen Zielen des <strong>IHP</strong> eingeworben.<br />

Im Folgenden werden wesentliche Zielstellungen der<br />

Forschungsprogramme des <strong>IHP</strong> beschrieben.<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

IHp is focused on the research <strong>and</strong> development of<br />

silicon-based systems, high-frequency circuits <strong>and</strong><br />

technologies for wireless <strong>and</strong> broadb<strong>and</strong> communication.<br />

the institute is working on the following three closely<br />

connected research programs:<br />

1. Wireless Internet: Systems <strong>and</strong> Applications,<br />

2. technology platform for Wireless <strong>and</strong> Broadb<strong>and</strong><br />

Communication,<br />

3. Materials for Micro- <strong>and</strong> nanoelectronics.<br />

the research programs make use of the special opportunities<br />

provided by the IHp. For instance the institute<br />

has a pilot line for research <strong>and</strong> technological<br />

developments. An additional feature is the IHp‘s<br />

vertical research concept employing the associated<br />

<strong>and</strong> harmonized competencies of the institute in the<br />

fields of system development, circuit design, technology<br />

<strong>and</strong> materials research.<br />

the research of the IHp is based on the typical<br />

strengths of a leibniz Institute: It is dominated by<br />

long-term, complex efforts which connect basic research<br />

with application-oriented research.<br />

the realization of the research programs is accomplished<br />

utilizing a project portfolio based on a medium-<br />

term roadmap. the project portfolio is regularly updated<br />

according to content requirements as well as<br />

through opportunities for cooperations <strong>and</strong> outside<br />

funding. Grant projects are acquired in accordance<br />

with the strategic goals of IHp.<br />

Significant goals of IHp’s research programs are specified<br />

below.<br />

A n n u A l R e p o R t 2 0 0 7


Drahtloses Internet: Systeme und Anwendungen<br />

In diesem Programm werden komplexe Systeme für<br />

die drahtlose Kommunikation in Form von Prototypen<br />

und Anwendungen untersucht und entwickelt. Ziel<br />

sind Hardware/Software-Systemlösungen auf hochintegrierten<br />

Single-Chips. Der vertikale Forschungsansatz<br />

zeigt sich auch in der Architektur der erarbeiteten<br />

Systeme. Im Wesentlichen wird die Wechselwirkung<br />

zwischen verschiedenen Schichten optimiert und eine<br />

vertikale Migration semantischer Elemente realisiert.<br />

Die drei Hauptforschungsrichtungen sind Systeme mit<br />

hoher Performance, Systeme mit geringem Energieverbrauch<br />

und Middleware für kontextabhängige drahtlose<br />

Internetanwendungen.<br />

Für drahtlose Systeme mit hoher Performance ist es das<br />

Ziel, alle Funktionen eines drahtlosen PDA auf einem<br />

Chip zu integrieren. Dabei sollen Datenraten bis über<br />

2 Gbps bei Trägerfrequenzen bis zu 60 GHz erreicht werden.<br />

Weiterführende Arbeiten hin zu Datenraten bis<br />

100 Gbps und Trägerfrequenzen bis 300 GHz werden im<br />

Grundlagenbereich dieses Forschungsprogramms vorbereitet.<br />

Ein weiteres wichtiges Forschungsthema ist die<br />

Quality of Service im Hochlastbereich, da bei zunehmender<br />

Nutzung drahtloser Technologien Zugriffskonflikte<br />

nicht zu vermeiden sind.<br />

Für die glasfasergestützte Breitb<strong>and</strong>kommunikation<br />

werden elektronische Komponenten für Glasfasersysteme<br />

mit Datenraten bis über 100 Gbps pro Laser-Wellenlänge<br />

entwickelt. Beispiele dafür sind extrem breitb<strong>and</strong>ige<br />

Verstärker, A/D- und D/A-W<strong>and</strong>ler, schnelle Logik<br />

sowie gemischt analog/digitale Signalverarbeitung in<br />

Echtzeit.<br />

2 A n n u A l R e p o R t 2 0 0 7<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

wireless Internet: Systems <strong>and</strong> Applications<br />

this program investigates <strong>and</strong> develops complex systems<br />

for wireless communication as prototypes <strong>and</strong><br />

applications with the objective of finding solutions<br />

for hardware / software systems on highly integrated<br />

single chips. the vertical research approach is also reflected<br />

in the architecture of the addressed systems.<br />

Basically, inter-layer interaction is optimized <strong>and</strong> a<br />

vertical migration of semantic elements is performed.<br />

the three major directions of research are systems<br />

with high performance, systems with low power consumption<br />

<strong>and</strong> middleware for context sensitive wireless<br />

internet applications.<br />

the goal for high-performance wireless systems is<br />

to integrate all functionalities of a wireless pDA on<br />

a single chip. the target is to achieve a data rate<br />

exceeding 2 Gbps at carrier frequencies of up to<br />

60 GHz. Continuing activities towards data rates up to<br />

100 Gbps <strong>and</strong> carrier frequencies up to 300 GHz will<br />

be carried out in the basic area of this research program.<br />

Quality of Service in the high load region is an<br />

additional important research field, because the increasing<br />

use of wireless technologies will inevitably<br />

result in growing conflicts of access.<br />

electronic components for fiber-optical broadb<strong>and</strong><br />

communication systems with data rates up to<br />

> 100 Gbps per laser wavelength will be developed.<br />

examples are extremely broadb<strong>and</strong>ed amplifiers, A/D-<br />

<strong>and</strong> D/A-converters as well as fast logic <strong>and</strong> real-time<br />

analog/digital signal processing.


Die Forschung zu Systemen mit geringem Energieverbrauch<br />

hat zum Ziel, Sensornetze auf Basis hochintegrierter<br />

Chips zu realisieren. Typische Anwendungen<br />

dafür sind Body-Area-Netze für medizinische Anwendungen<br />

oder im Wellness-Bereich. In diesem Zusammenhang<br />

werden neue Netzarchitekturen, verteilte,<br />

ressourcenarme Middlewareansätze, neue energieeffiziente<br />

Medienzugriffsprotokolle sowie energieeffiziente<br />

Transceiver erforscht und realisiert. UWB-Technologien<br />

sind Beispiele für Kommunikation im Nahbereich und<br />

Anwendungen mit hohen Ortsauflösungseigenschaften.<br />

Andere Funklösungen im Bereich Sensornetze sind PSSS<br />

(Parallel Sequence Spread Spectrum)-basierte Ansätze,<br />

die sich durch besonders hohe B<strong>and</strong>breiteneffizienz<br />

auszeichnen.<br />

Die Forschung zu kontextabhängigen Middleware-Systemen<br />

betrifft insbesondere auch die Erhaltung der Privatsphäre<br />

und die Sicherheit bei der Nutzung mobiler<br />

Endgeräte. Darüber hinaus wird die symmetrische bzw.<br />

asymmetrische Verteilung von Ressourcen zwischen<br />

Endgeräten und Servern im Gesamtsystem untersucht.<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

the research on systems with low energy consumption<br />

is directed towards sensor networks on single<br />

chips. typical applications are body-area networks<br />

for health care or wellness. In this context new network<br />

architectures, distributed low resource middleware<br />

concepts, new energy efficient protocols for medium<br />

access as well as energy efficient transceivers<br />

are investigated <strong>and</strong> realised. uWB technologies are<br />

examples for short-range communication as well as<br />

applications requiring high spatial resolution. other<br />

radio-based solutions for sensor networks are pSSS<br />

(parallel Sequence Spread Spectrum) – based approaches,<br />

distinguished by exceptionally high b<strong>and</strong>width<br />

efficiency.<br />

Research in context-sensitive middleware systems<br />

especially addresses privacy <strong>and</strong> security matters in<br />

using mobile devices. Moreover, the symmetrical <strong>and</strong><br />

asymmetrical resource distribution between client<br />

<strong>and</strong> server parts of the overall system is investigated.<br />

A n n u A l R e p o R t 2 0 0 7


Technologieplattform für drahtlose und<br />

Breitb<strong>and</strong>kommunikation<br />

In diesem Programm werden Technologien (insbesondere<br />

BiCMOS-Technologien) mit zusätzlichen Funktionen<br />

durch die modulare Erweiterung industrieller CMOS-<br />

Technologien entwickelt. Die Schwerpunkte in diesem<br />

Programm sind Technologien mit hoher Performance,<br />

kostengünstige Technologien für System-on-Chip, sowie<br />

die Sicherung des Zugriffs interner und externer Designer<br />

auf die Technologien des <strong>IHP</strong>.<br />

Die Forschung in Richtung Technologien hoher Performance<br />

zielt auf extrem schnelle SiGe HBTs, einschließlich<br />

komplementärer Bauelemente und neuer Bauelementekonzepte<br />

für Anwendungen bei Frequenzen bis<br />

> 100 GHz.<br />

Zielstellung der Forschung für kostengünstige Technologien<br />

ist es, BiCMOS-Technologien mit ausreichender<br />

Performance und geringen Fertigungskosten zu entwickeln<br />

sowie darin zusätzliche Module wie HF-LDMOS,<br />

Flash und passive Bauelemente zu integrieren.<br />

Die 0,25-µm-BiCMOS-Technologien des <strong>IHP</strong> sind europa-<br />

und weltweit für Designer nutzbar. Eine neue 0,13-µm-<br />

BiCMOS-Technologie ist ab 2008 zusätzlich verfügbar.<br />

Ein Zeitplan für die entsprechenden technologischen<br />

Durchläufe in der Pilotlinie in Frankfurt (Oder) ist über<br />

die Internetadresse des <strong>IHP</strong> einsehbar.<br />

A n n u A l R e p o R t 2 0 0 7<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

Technology Platform for wireless <strong>and</strong><br />

Broadb<strong>and</strong> Communication<br />

the goal of this program is to develop value-added<br />

technologies, preferably BiCMoS technologies, by<br />

the modular extension of industrial CMoS. the focal<br />

points in this program are technologies with high performance,<br />

low-cost technologies for system-on-chip,<br />

<strong>and</strong> the provision of technology access for internal<br />

<strong>and</strong> external designers.<br />

the research towards high-performance technologies<br />

targets ultrafast SiGe HBts, including complementary<br />

devices <strong>and</strong> new device concepts, for applications at<br />

frequencies of up to > 100 GHz.<br />

the aim of the research for low-cost technologies is<br />

to develop BiCMoS technologies with ample performance<br />

<strong>and</strong> low manufacturing costs <strong>and</strong> to integrate<br />

additional modules such as RF lDMoS, Flash <strong>and</strong> passive<br />

devices.<br />

IHp’s 0.25 µm BiCMoS technologies are available for<br />

designers in europe <strong>and</strong> throughout the world. An additional<br />

0.13 µm SiGe BiCMoS technology is available<br />

from 2008.<br />

A schedule for technological runs in the pilot line<br />

in Frankfurt (oder) can be found via IHp`s internet<br />

address.


Materialien für die Mikro- und Nanoelektronik<br />

Die Materialforschung am <strong>IHP</strong> hat die Integration neuer<br />

Materialien in gegenwärtige und zukünftige Technologien<br />

zum Ziel, um so verbesserte, zusätzliche oder<br />

neuartige Funktionalitäten zu erreichen. Darüber hinaus<br />

werden Grundlagen für neue Forschungsgebiete am<br />

<strong>IHP</strong> geschaffen.<br />

Gegenst<strong>and</strong> der Arbeiten sind neue Hoch-k-Dielektrika<br />

sowie die Erforschung neuer Prinzipien für Hochleistungs-Schaltkreise<br />

unter Nutzung von Nanostrukturen<br />

bzw. optischer Datenübertragung. Die letztgenannten<br />

Arbeiten werden in einem Gemeinsamen Labor mit der<br />

BTU Cottbus durchgeführt.<br />

Aktuelle Schwerpunkte der Arbeiten zu neuen Hochk-Dielektrika<br />

sind binäre und ternäre Legierungen für<br />

zukünftige Anwendungen in MIM-Kondensatoren, Speichern<br />

und Transistoren sowie als Epitaxievermittler für<br />

globale hochwertige heteroepitaktische Halbleiterschichten(Halbleiter-Isolator-Halbleiter-Schichtstapel).<br />

Weiterhin werden neue Materialien für akustische<br />

Oberflächenwellenfilter (SAW-Filter) und für nichtflüchtige<br />

Speicher (NVM-Speicher) bewertet.<br />

Gegenst<strong>and</strong> der Arbeiten im Gemeinsamen Labor mit<br />

der BTU Cottbus ist die Si-Materialforschung. Dabei sollen<br />

die Eigenschaften des Si-Materials maßgeschneidert<br />

werden, um neue Anwendungen zu ermöglichen und um<br />

bestehende Anwendungen zu verbessern.<br />

Schwerpunkte sind die grundlagenorientierte Vorlaufforschung<br />

zu Si-basierten Lichtemittern für die optische<br />

Datenübertragung, zum „Defect Engineering“<br />

für zukünftige Si-Wafer, zum B<strong>and</strong>strukturdesign und<br />

Ladungsträgertransport in Si-basierten Quantenstrukturen<br />

und zur Beherrschung der elektrischen Eigenschaften<br />

von Kristalldefekten in Solar-Si.<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

Materials for Micro- <strong>and</strong> Nanoelectronics<br />

Materials research at IHp targets the integration of<br />

new materials into current <strong>and</strong> future technologies<br />

to achieve additional, better or innovative functionalities.<br />

It also gears towards the preparation of new<br />

research fields at the institute.<br />

Subject of the research are new high-k dielectrics <strong>and</strong><br />

the research of new concepts for high-performance<br />

circuits using nanostructures or optical data transmission.<br />

the latter work is done at the Joint lab IHp/<br />

Btu Cottbus.<br />

Current focal points of the activities with high-k dielectrics<br />

are binary <strong>and</strong> ternary alloys for future applications<br />

in MIM capacitors, memories <strong>and</strong> transistors<br />

as well as for epitaxy mediation for global high quality<br />

heteroepitactical semiconductor layers (silicon-insulator-silicon<br />

stacks). Additionally, new materials for<br />

SAW filters <strong>and</strong> non-volatile memories are evaluated.<br />

Silicon materials research is the subject matter of the<br />

Joint lab IHp / Btu. Silicon properties are tailored<br />

to enable new applications <strong>and</strong> to improve existing<br />

ones.<br />

Focuses are initial basic research for Si-based light<br />

emitters for optical data transmission, defect engineering<br />

for future silicon wafers, b<strong>and</strong> structure design<br />

<strong>and</strong> charge carrier transport in Si-based quantum<br />

structures, <strong>and</strong> the control of electrical properties of<br />

crystal defects in solar silicon.<br />

A n n u A l R e p o R t 2 0 0 7


6 A n n u A l R e p o R t 2 0 0 7<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

Update 2007


Das Jahr 2007<br />

Die mittelfristige Forschungsstrategie des Institutes<br />

wurde im Jahr 2007 weiterentwickelt. Ein Kernziel sind<br />

dabei schnelle siliziumbasierte Technologien, um neue<br />

Anwendungen wie Datenraten bis zu 100 Gbps drahtlos,<br />

bildgebende Terahertz-Anwendungen oder extrem<br />

schnelle Datenübertragung in oder zwischen Schaltkreisen<br />

kostengünstig möglich zu machen. Deshalb ist<br />

die Realisierung wesentlich höherer Arbeitsfrequenzen<br />

im THz-Bereich bzw. bei optischen Frequenzen eine<br />

zentrale Aufgabe. Schritte dazu sind die Erhöhung der<br />

Leistungsfähigkeit von Heterobipolar-Transistoren bis<br />

an die Grenze des Realisierbaren, die Integration neuartiger<br />

Bauelemente sowie die Erarbeitung optischer<br />

Übertragungs-Technologien für die Integration von<br />

Lichtemittern, Modulatoren, Lichtleitern, und Empfängern<br />

in Silizium.<br />

Der Realisierung der strategischen Ziele dient auch die<br />

weitere Vernetzung des <strong>IHP</strong> mit regionalen Hochschulen.<br />

Es wurden gemeinsame Berufungen mit der TU Berlin,<br />

der BTU Cottbus und der TFH Wildau ausgeschrieben.<br />

Dr. Peter Langendörfer wurde zum Honorarprofessor der<br />

BTU Cottbus ernannt. Zusätzlich zu den existierenden<br />

gemeinsamen Laboren mit der BTU Cottbus und der TFH<br />

Wildau wurde die Gründung gemeinsamer Labore mit<br />

der TU Berlin und der Humboldt-Universität zu Berlin<br />

vorbereitet.<br />

Das <strong>IHP</strong> führte 2007 wieder mehrere wissenschaftliche<br />

Veranstaltungen in Frankfurt (Oder) durch. Beispiele<br />

dafür sind das <strong>IHP</strong>-Symposium „Fortschritte der Fotovoltaik“<br />

am 18. April, der Workshop „Neue Entwicklungen<br />

in Röntgendiffraktometrie und -topographie“ am<br />

24. April, die 6. Internationale Sommerschule vom 28.<br />

August. bis zum 01. September sowie der 6. Workshop<br />

„High-Performance SiGe BiCMOS“ am 10. September.<br />

Erstmals konnten im Jahr 2007 mehr als 8 Mio. Euro<br />

Drittmittel eingeworben werden. So wurden bereits im<br />

ersten Call des 7. EU-Forschungsrahmenprogramms<br />

durch das <strong>IHP</strong> und dessen Partner vier Projekte gewonnen.<br />

Für die Jahre 2008 bis 2010 sind 22,5 Mio.<br />

Euro EFRE-Mittel (EU-Anteil) für Investitionen in Forschungsausrüstungen<br />

bestätigt.<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

Update 2007<br />

the institute‘s medium-term research strategy<br />

was further developed in 2007. A core target<br />

is faster silicon based technologies to enable<br />

solutions for new applications such as up to<br />

100 Gbps wireless, imaging terahertz applications or<br />

very high data-rate transmission in or between circuits.<br />

therefore, the realisation of considerably higher<br />

working frequencies of devices in the tHz range<br />

<strong>and</strong> at optical frequencies is a central task. Steps in<br />

this direction are the increasing of the performance<br />

of HBts to the limits, the integration of new device<br />

types as well as the development of optical technologies<br />

for the integration of light emitters, modulators,<br />

wave guides <strong>and</strong> receivers in silicon.<br />

the continuing networking of the IHp with regional<br />

universities also serves the strategic goals. there<br />

were joint appointments with the tu Berlin, the Btu<br />

Cottbus <strong>and</strong> the tFH Wildau advertised. Dr. peter langendörfer<br />

was appointed Honorary professor of Btu<br />

Cottbus. In addition to the existing joint laboratories<br />

with the Btu Cottbus <strong>and</strong> the tFH Wildau the foundation<br />

of additional joint laboratories with the tu Berlin<br />

<strong>and</strong> the Humboldt university Berlin is arranged.<br />

In 2007, the IHp again organized several scientific<br />

events in Frankfurt (oder). examples are the IHp<br />

Symposium “Advances in photovoltaics” on April 18,<br />

the workshop “new Developments in X-ray Diffraction<br />

<strong>and</strong> topographie” on April 24, the “6 th International<br />

Summer School” from August 28 until September 01<br />

<strong>and</strong> the 6 th workshop on “High-performance SiGe BiC-<br />

MoS” on September 10.<br />

For the first time the IHp received more than 8 million<br />

euros third-party funds in 2007. Already in the first<br />

call of the 7 th eu Research Framework programme the<br />

IHp <strong>and</strong> its partners won four projects. For the years<br />

2008 to 2010 are 22.5 million euros eRDF (eu share)<br />

for investment in IHp`s research equipment confirmed.<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


Am 29. und 30. November f<strong>and</strong> im Rahmen der Evaluierung<br />

des <strong>IHP</strong> durch den Senat der Leibniz-Gemeinschaft<br />

der Besuch des Institutes durch die Bewertungsgruppe<br />

statt.<br />

Wissenschaftliche Ergebnisse<br />

Drahtloses Internet: Systeme und Anwendungen<br />

Die Ergebnisse dieses Forschungsprogramms wurden<br />

insbesondere durch die Abteilungen System Design und<br />

Circuit Design unter Nutzung der Ergebnisse <strong>and</strong>erer<br />

Abteilungen erarbeitet. Schwerpunkte sind Si-basierte<br />

Schaltungen und Systeme mit extrem hohen Leistungsparametern<br />

als Schlüssel für neue Anwendungen.<br />

Beispiele für Ergebnisse im Jahr 2007 sind:<br />

1. Integrierte Lösungen für Systeme zur drahtlosen<br />

Kommunikation mit sehr hohen Datenraten<br />

Im Rahmen des Projektes WIGWAM wurde im Juni<br />

2007 ein vollständiger Demonstrator eines 60-<br />

GHz-Übertragungssystems realisiert und auf dem<br />

Statusseminar des BMBF demonstriert. Sowohl die<br />

darin enthaltenen 60-GHz-Schaltungen als auch die<br />

5-GHz-Schaltungen für die Zwischenfrequenz-Bearbeitungen<br />

arbeiteten wie spezifiziert. Das Basisb<strong>and</strong><br />

wurde vollständig realisiert und auf FPGA-Boards mit<br />

dem HF-Frontend integriert. Das Projekt WIGWAM<br />

wurde erfolgreich abgeschlossen.<br />

Die Arbeiten werden im Rahmen des neuen, durch das<br />

BMBF geförderten und vom <strong>IHP</strong> koordinierten Projektes<br />

EASY-A fortgesetzt. Die Zielstellung liegt hier<br />

mit einer Übertragungsrate von 10 Gbps wesentlich<br />

höher als beim Projekt WIGWAM.<br />

2. Benchmark-Schaltkreise<br />

In diesem Projekt wurden neue Schaltungen und Komponenten<br />

als Bausteine für zukünftige Lösungen entwickelt.<br />

So wurde für die Bestimmung von Gatterverzögerungszeiten<br />

ein neuer Typ von Ringoszillatoren<br />

mit einem geringeren Einfluss von Parasitics entworfen.<br />

Slow-wave Transmission Lines wurden entwickelt<br />

8 A n n u A l R e p o R t 2 0 0 7<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

In the context of the evaluation of the IHp by the Senate<br />

of the leibniz Association the assessment group<br />

visited the institute november 29-30.<br />

Scientific Results<br />

wireless Internet: Systems <strong>and</strong> Applications<br />

the results of this research program were obtained<br />

in particular by the departments System Design <strong>and</strong><br />

Circuit Design using also the results from other departments.<br />

emphasis here is on circuits <strong>and</strong> systems<br />

with extremely high performance parameters as keys<br />

for new application fields.<br />

examples of results in 2007 are:<br />

1. Integrated solutions for wireless communication<br />

systems with very high data rates<br />

A complete 60 GHz communication system was realized<br />

within the project WIGWAM <strong>and</strong> demonstrated<br />

in June 2007 at the status seminar of the BMBF.<br />

the 60 GHz circuits as well as the 5 GHz circuits for<br />

intermediate frequencies are working as specified.<br />

the base b<strong>and</strong> was implemented completely <strong>and</strong> integrated<br />

with the RF frontend on FpGA boards. the<br />

project WIGWAM was finished successfully.<br />

the developments will be continued within the new<br />

project eASY-A, which will be funded by the BMBF<br />

<strong>and</strong> coordinated by the IHp. the target of this project<br />

is a data rate of 10 Gbps, much higher than in<br />

the project WIGWAM.<br />

2. Benchmarking circuits<br />

new circuits <strong>and</strong> components as parts of future solutions<br />

were developed in this project. For example,<br />

a new type of ring oscillators with lower parasitics<br />

was designed for the determination of gate delays.<br />

Slow-wave transmission lines were developed <strong>and</strong><br />

tested. low-noise amplifier for frequencies between


und erprobt. Rauscharme Verstärker für Frequenzen<br />

zwischen 90 und 120 GHz wurden realisiert.<br />

3. Schnelle A/D- und D/A-Umsetzer<br />

In einem <strong>IHP</strong>-internen Projekt wurde ein schneller A/<br />

D-Umsetzer mit 4 Bit Auflösung, 12 GSps und 4 GHz<br />

ERBW (Effective Resolution B<strong>and</strong>width) realisiert.<br />

Außerdem konnte ein D/A-Umsetzer mit 4 Bit Auflösung,<br />

30 GSps und 3,8 GHz ERBW realisiert werden. Es<br />

ist der derzeit zweitschnellste D/A-Umsetzer der Welt<br />

mit einer Figure of Merit von 0,95 pJ.<br />

4. Schaltkreise für Weltraumanwendungen<br />

Das Projekt SiMS (Hochfrequenz-SiGe-Schaltkreise für<br />

Konverter <strong>and</strong> lokale Oszillatoren) wurde erfolgreich<br />

abgeschlossen. Im Rahmen des Projektes wurden Frequenzsynthesizer<br />

bei 18,0-18,5 GHz bzw. 8,5-11,5 GHz<br />

mit geringem Phasenrauschen für die breitb<strong>and</strong>ige Satellitenkommunikation<br />

entwickelt. Außerdem wurde<br />

ein 5-Bit-kontrolliertes Oszillator-Array für 8,5-11,5 GHz<br />

designed und in den Synthesizer integriert.<br />

5. Strahlungsfeste Schaltkreise<br />

Designregeln für strahlungsfeste Schaltkreise und<br />

eine strahlungsfeste Bibliothek mit St<strong>and</strong>ard- und<br />

I/O-Zellen wurde für die Technologie SGB25 entwickelt.<br />

Die Strahlungsfestigkeit einer Testschaltung<br />

wurde durch die Firma Kayser-Threde gemessen. Bis<br />

200 krad Strahlung trat bei NMOS-, PMOS- und pnp-<br />

Bauelementen keine Degradation auf. Ebenso wurde<br />

kein Latchup festgestellt.<br />

6. Automatisierter Entwurf von BiCMOS<br />

CML-Logik-Schaltungen<br />

In Zusammenarbeit mit der Humboldt-Universität<br />

Berlin wurden Software-Tools zur automatischen<br />

Synthese und Optimierung von schneller Logik in<br />

BiCMOS CML-Technik (Current-Mode-Logik) auf Basis<br />

der Entwurfs-Software Synopsys entwickelt. Die<br />

Software-Umgebung ermöglicht die Optimierung und<br />

Co-Simulation von CML- und CMOS-Logik und wird für<br />

den Entwurf schneller digitaler Systeme eingesetzt.<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

90 <strong>and</strong> 120 GHz were realized.<br />

3. Fast A/D- <strong>and</strong> D/A-converters<br />

A fast A/D-converter with a resolution of 4 bit,<br />

12 GSps <strong>and</strong> 4 GHz eRBW (effective Resolution<br />

B<strong>and</strong>width) was realised in an internal IHp project.<br />

In addition, a D/A-converter with a resolution of<br />

4 bit, 30 GSps <strong>and</strong> 3.8 GHz eRBW was realised. It is<br />

now the second fastest D/A-converter in the world<br />

showing a figure of merit of 0.95 pJ.<br />

4. Circuits for space applications<br />

the project SiMS (High-frequency SiGe MMICs for<br />

Converter <strong>and</strong> local oscillators) was completed<br />

successfully. low phase-noise frequency synthesizers<br />

for broadb<strong>and</strong> satellite communication at<br />

18.0-18.5 GHz <strong>and</strong> 8.5-11.5 GHz, respectively were<br />

developed in the project. In addition, a 5-bit-controlled<br />

oscillator array for 8.5-11.5 GHz was designed<br />

<strong>and</strong> integrated in the synthesizer.<br />

5. Radiation-hard circuits<br />

Design rules for radiation hard circuits as well as<br />

a radiation hard library with st<strong>and</strong>ard- <strong>and</strong> I/o<br />

cells were developed for the technology SGB25. the<br />

radiation hardness of a test circuit was measured<br />

by the company Kayser-threde. no degradation was<br />

observed at nMoS-, pMoS- <strong>and</strong> pnp-devices up to<br />

a radiation of 200 krad. Also no latchup was observed.<br />

6. Design automation for BiCMoS CMl logic circuits<br />

Software tools for the automated synthesis <strong>and</strong> optimisation<br />

of fast logic in BiCMoS CMl technique<br />

(Current Mode logic) technique based on the design<br />

software Synopsys were developed together<br />

with the Humboldt university Berlin. the software<br />

environment enables the optimisation <strong>and</strong> co-simulation<br />

of CMl- <strong>and</strong> CMoS logic <strong>and</strong> will be used<br />

for the design of fast digital systems.<br />

A n n u A l R e p o R t 2 0 0 7


7. Modulare Prozessor-Bibliothek<br />

Die strahlungsfeste Bibliothek des <strong>IHP</strong> wurde als erstes<br />

für einen fehlertoleranten LEON3-FT-Prozessor<br />

eingesetzt.<br />

Ein Testschaltkreis für ein verbessertes Powermanagement,<br />

beispielsweise in drahtlosen Sensornetzen,<br />

wurde entworfen. Hierbei wird ein Clock- und/oder<br />

Powergating in Abhängigkeit von der Systemaktivität<br />

verwendet.<br />

Ein Speicher-Generator wurde zusammen mit der Firma<br />

Genesys entworfen und getestet.<br />

8. Home Media Plattform und Netzwerke<br />

Forschungsarbeiten zu drahtlosen Heimnetzen (Projekt<br />

HomePlane) wurden begonnen. Ziel ist eine<br />

einheitliche drahtlose Plattform für Home Media<br />

Anwendungen. Dabei dient der IEEE 802.11a WLAN<br />

Chipsatz des <strong>IHP</strong> als technische Basis. Realisiert werden<br />

müssen im Rahmen des Projektes die Middleware,<br />

die Sicherheitsanforderungen, ein selbstorganisierendes<br />

Netzwerk, Szenarien und Interfaces für die<br />

Nutzer, sowie eine Quality of Service über WLAN.<br />

9. Zuverlässigkeit und Sicherheit in drahtlosen<br />

Sensornetzen<br />

Bei den im Rahmen des EU-Projektes UbiSec&Sens<br />

durchgeführten Arbeiten konnte die Systemspezifikation<br />

für die Hard- und Software fertig gestellt werden.<br />

Als Besonderheit wurde ein Middleware-Compiler<br />

(configKIT) für drahtlose Sensornetze entworfen.<br />

20 A n n u A l R e p o R t 2 0 0 7<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

7. Modular processor library<br />

IHp`s radiation-hard library was first used in a<br />

fault-tolerant leon3 Ft processor.<br />

A test circuit for an improved power management,<br />

e.g. in wireless sensor networks, was designed.<br />

Depending on the activity of the system, a clock-<br />

<strong>and</strong> / or power gating is used in this design.<br />

In cooperation with the company Genesys, a memory<br />

generator was designed <strong>and</strong> tested.<br />

8. Home media platform <strong>and</strong> networks<br />

Research on wireless home networks (project<br />

Homeplane) was started. the aim of this project is<br />

a unified wireless platform for home media applications.<br />

IHp`s Ieee 802.11a WlAn chip set is used<br />

as the technical basis. the middleware, security requirements,<br />

a self organizing network, user scenarios<br />

<strong>and</strong> user interfaces as well as quality of service<br />

over WlAn have to be realized in the project.<br />

9. Reliability <strong>and</strong> security in wireless sensor<br />

networks<br />

the system specification for the hard- <strong>and</strong> software<br />

was realized within the european project<br />

ubiSec&Sens. A middleware-compiler (configKIt)<br />

for wireless sensor networks was designed as a distinctive<br />

feature.


Technologieplattform für drahtlose und Breitb<strong>and</strong>kommunikation<br />

Schwerpunkte dieses Forschungsprogramms waren im<br />

Jahr 2007 die Weiterentwicklung der 0,13-µm-BiCMOS-<br />

Technologie für die externe Nutzung 2008 und der Beginn<br />

von Arbeiten zur weiteren Frequenzerhöhung von<br />

HBTs.<br />

1.Entwicklung einer 0,13-µm-BiCMOS-Technologie<br />

Performance und Ausbeute der Heterobipolar-Transistoren<br />

im SG13-Prozess wurden demonstriert. Für<br />

die Transitfrequenz bzw. maximale Schwingfrequenz<br />

wurden Werte von 250 GHz bzw. 300 GHz erreicht.<br />

Eine Gatterverzögerungszeit von 3,0 ps wurde gemessen.<br />

Diese Ergebnisse wurden im Dezember 2007 bei<br />

der IEDM vorgestellt.<br />

Für Arrays mit 4k HBTs konnten Ausbeuten von 90 %<br />

erreicht werden. Erste externe Durchläufe als Early<br />

Access für externe Nutzer sind für die Technologie<br />

SG13B ab August 2008 vorgesehen.<br />

2. Entwicklung von SiGe-HBTs höchster Frequenzen<br />

(THz)<br />

Für die <strong>IHP</strong>-Strategie spielen die Entwicklung und<br />

Integration von Bauelementen höchster Performance<br />

eine zentrale Rolle. Die Erforschung der Frequenzgrenzen<br />

für SiGe-HBTs ist dabei – neben der Erarbeitung<br />

grundsätzlich neuer Bauelementekonzepte –<br />

eine Kernaufgabe. Innerhalb des 2008 beginnenden<br />

EU-Projektes DOTFIVE wird das <strong>IHP</strong> an der Entwicklung<br />

von HBTs mit einer Grenzfrequenz von 0,5 THz<br />

arbeiten.<br />

3. Neue komplementäre LDMOS für 0,25 µm BiCMOS<br />

Neue komplementäre LDMOS mit guter Langzeit-<br />

Driftstabilität und nur geringem zusätzlichem Aufw<strong>and</strong><br />

im Vergleich zur St<strong>and</strong>ard BiCMOS wurden<br />

entwickelt. Es wurden Werte von f max = 41/9 GHz für<br />

Hochvolt n-/p-LDMOS und f max =50/23 GHz für HF<br />

n-/p-LDMOS erreicht.<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

Technology Platform for wireless <strong>and</strong> Broadb<strong>and</strong><br />

Communication<br />

Focal points of this research program in 2007 were the<br />

further development of the 0.13 µm BiCMoS technology<br />

for an external use from 2008 <strong>and</strong> the beginning<br />

of activities to extend the frequency limits of HBts.<br />

1. Development of a 0.13 µm BiCMoS technology<br />

performance <strong>and</strong> yield of the heterobipolar transistors<br />

in the SG13-process were demonstrated. Values<br />

of 250 GHz <strong>and</strong> 300 GHz were reached for the transit<br />

frequency <strong>and</strong> the maximum oscillation frequency.<br />

A gate delay of 3.0 ps was determined. these results<br />

were presented at the IeDM in December 2007.<br />

A yield of 90% was obtained for arrays with 4k HBts.<br />

First runs of the technology SG13B are planned for<br />

external users in August 2008.<br />

2. Development of SiGe HBts with highest<br />

frequencies (tHz)<br />

Development <strong>and</strong> integration of devices with highest<br />

performance play a central role in the IHp strategy.<br />

the study of the frequency limits of SiGe HBts is –<br />

in addition to developing fundamentally new<br />

device concepts – a core task. Within the european<br />

project DotFIVe, starting in 2008, the IHp will<br />

develop HBts with a cutoff frequency of 0.5 tHz.<br />

3. new complementary lDMoS for 0.25 µm BiCMoS<br />

new complementary lDMoS with sufficient longterm<br />

drift stability <strong>and</strong> low additional complexity<br />

compared to st<strong>and</strong>ard BiCMoS were developed.<br />

Values of f max =41/9 GHz <strong>and</strong> f max =50/23 GHz were<br />

reached for high voltage <strong>and</strong> RF n-/p-lDMoS<br />

respectively.<br />

A n n u A l R e p o R t 2 0 0 7<br />

2


4. Weltweite Nutzung der <strong>IHP</strong>-Technologien durch<br />

Shuttle-Service<br />

Die regelmäßigen Technologie-Shuttles am <strong>IHP</strong> ermöglichen<br />

auch Industriepartnern, Hochschulen und<br />

<strong>and</strong>eren Forschungseinrichtungen die Präparation<br />

innovativer Entwicklungsmuster und Prototypen. Die<br />

Zahl aktiver Nutzer stieg im Jahr 2007 weiter.<br />

5. Projekt KOKON<br />

In diesem BMBF-Verbundprojekt testeten deutsche<br />

Automobilhersteller und die Halbleiterindustrie gemeinsam<br />

die Integration und Zuverlässigkeit von<br />

Silizium-Millimeterwellen-Schaltkreisen (MMIC) für<br />

die Anwendung als Radar-Sende/Empfangseinheit<br />

(Anti-Kollisions-Radar, Nahbereichs-Radar) im Frequenzbereich<br />

76 - 81 GHz. Das Projekt wurde erfolgreich<br />

abgeschlossen. Die Spezifikationen und die<br />

Zuverlässigkeit wurden erreicht. Der Einsatz von SiGe<br />

anstelle von GaAs ist möglich.<br />

22 A n n u A l R e p o R t 2 0 0 7<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

4. Worldwide use of the IHp technologies by the<br />

MpW <strong>and</strong> prototyping Service<br />

the regular IHp technology shuttles also allow universities,<br />

research institutions <strong>and</strong> industrial partners<br />

to prepare innovative development samples<br />

<strong>and</strong> prototypes. the number of active users further<br />

increased in 2007.<br />

5. project KoKon<br />

In this BMBF funded cooperation project German<br />

automobile producers <strong>and</strong> the semiconductor industry<br />

were jointly testing the integration <strong>and</strong><br />

reliability of Si millimeter wave integrated circuits<br />

(MMIC) for application as radar transmitter / receiver<br />

units (anti-collision-radar, short-range-radar)<br />

in the frequency range 76 – 81 GHz. the project<br />

was finished successfully. the use of SiGe instead<br />

of GaAs is possible.


Materialien für die Mikro- und Nanoelektronik<br />

(einschließlich Gemeinsame Labore)<br />

Ein Schwerpunkt der Materialforschung waren neue<br />

Hoch-k-Dielektrika für spezifische Anwendungen. An<br />

den Gemeinsamen Laboren mit der BTU Cottbus bzw.<br />

der TFH Wildau wurde an Si-basierten Lichtemittern<br />

und Quantenbauelementen bzw. an neuen Bauelementekonzepten<br />

gearbeitet.<br />

1. Globale Heteroepitaxie edler Schichten<br />

Zielstellung ist die Untersuchung von heteroepitaktischen<br />

Halbleiterschichten auf Si-Substraten für<br />

die Leistungssteigerung bzw. für die Realisierung<br />

zusätzlicher Funktionalitäten. Gearbeitet wird an<br />

epitaktischen Germaniumschichten auf Si(111), die<br />

über gitter-fehlangepasste praseodymbasierte Oxidpuffer,<br />

hergestellt mittels MBE und CVD, gewachsen<br />

werden. Derartige Schichten haben das Potential,<br />

auch als Integrationsplattform für GaAs zu dienen.<br />

Außerdem wird an epitaktischen Siliziumschichten<br />

über Oxidpuffern auf Si(111) gearbeitet. Ziel ist hier<br />

die Realisierung von verspanntem Silizium über Heterostrukturen.<br />

Zur Zeit ist die erreichbare Defektdichte<br />

noch ein limitierender Faktor.<br />

2. Neue Dielektrika für DRAMs<br />

Die im Rahmen des BMBF-Projektes MEGA EPOS<br />

durchgeführten Arbeiten haben die Entwicklung<br />

dielektrischer Materialien für hochskalierte DRAM-<br />

Kapazitäten (Dynamic R<strong>and</strong>om Access Memories)<br />

zum Ziel. Erreicht werden sollen eine Dielektrizitätskonstante<br />

>30, eine „Capacitance Equivalent<br />

Thickness“ (CET)


3. Neue Dielektrika für MIMs<br />

Im Fokus der Arbeiten stehen neue Hoch-k-Dielektrika<br />

für Anwendungen in MIM-Kondensatoren (Metall-Isolator-Metall)<br />

mit hoher Kapazitätsdichte und geringer<br />

Spannungsabhängigkeit.<br />

MIM-Kondensatoren sind wichtige passive Bauelemente<br />

in Hochfrequenz-Schaltungen und sonstigen integrierten<br />

Schaltungen. Der Ersatz der konventionellen<br />

Materialien SiO 2 und Si 3 N 4 durch Hoch-k-Dielektrika<br />

ist notwendig, um im Zuge der weiteren Miniaturisierung<br />

die Kapazitätsdichte zu erhöhen. So wurden die<br />

elektrischen Eigenschaften der mittels AVD (Atomic<br />

Vapor Deposition) gewachsenen Sr-Ta-O-Schichten in<br />

MIM-Kondensatoren untersucht. Diese Kondensatoren<br />

besitzen eine Kapazitätsdichte von 4,5 fF/µm 2 in Kombination<br />

mit einer ITRS-konformen Linearität.<br />

4. Integrierte SAW-Filter<br />

Ziel ist die Backend-Integration von abstimmbaren,<br />

ZnO-basierten Oberflächenwellenfiltern (SAW-Filtern)<br />

für die drahtlose Breitb<strong>and</strong>kommunikation. Dazu<br />

wurden erste ZnO-Schichten bei 400°C auf SiO 2 abgeschieden<br />

und Filter präpariert.<br />

5. Silizium-basierte Lichtemitter<br />

Im Projekt SiLEM (Silizium Lichtemitter) arbeitet das<br />

<strong>IHP</strong> zusammen mit dem MPI für Mikrostrukturphysik<br />

Halle und der Universität Stuttgart. Ziele sind die<br />

Erhöhung der D-B<strong>and</strong>-Emission sowie ein besseres<br />

Verständnis der Physik der Lumineszenz implantationsinduzierter<br />

Defekte bei 1,5 µm Wellenlänge. Zusätzlich<br />

wurde die Verschiebung der Emissions-Wellenlänge<br />

durch den Stark-Effekt beobachtet, wodurch<br />

die Integration von Lichtemitter und Modulator in<br />

einem Bauelement möglich erscheint.<br />

6. B<strong>and</strong>struktur-Design<br />

Gemeinsam mit der RWTH Aachen wurde an Multi-<br />

Quantumwells aus nanokristallinen Silizium-Schichten<br />

gearbeitet. Dabei wurde Quantum-Confinement<br />

beobachtet, wodurch sich die effektive B<strong>and</strong>lücke bis<br />

1,8 eV vergrößerte.<br />

2 A n n u A l R e p o R t 2 0 0 7<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

3. new dielectrics for MIMs<br />

new High-k-dielectrics for applications in MIM-capacitances<br />

(Metal-Isolator-Metal) with high area<br />

capacitance <strong>and</strong> low voltage dependence are in the<br />

focus. MIM-capacitances are important passive devices<br />

in RF circuits <strong>and</strong> other integrated circuits.<br />

the conventional materials Sio 2 <strong>and</strong> Si 3 n 4 must be<br />

replaced by High-k-dielectrics in order to enhance<br />

the area capacitance for higher scaled circuits.<br />

In this context the electrical properties of Sr-ta-o<br />

layers in MIM capacitances were investigated.<br />

these capacitances show an area capacitance of<br />

4.5 fF/µm 2 together with a linearity in the limits<br />

described by the ItRS.<br />

4. Integrated SAW filters<br />

Goal is the backend integration of tunable, Znobased<br />

SAW (Surface Acoustic Wave) – based filters<br />

for the wireless <strong>and</strong> broadb<strong>and</strong> communication.<br />

In 2007, first Zno-layers were deposited on Sio 2 at<br />

400°C <strong>and</strong> filters were prepared.<br />

5. Silicon-based light emitters<br />

In the project SileM (Silicon light emitters) the<br />

IHp collaborates with the MpI of Microstructure<br />

physics Halle <strong>and</strong> the university Stuttgart. Goals<br />

are an increasing D-b<strong>and</strong> emission <strong>and</strong> a better underst<strong>and</strong>ing<br />

of the physics of the luminescence of<br />

implantation induced defects at a wavelength of<br />

1.5 µm. Additionally, a shift of the emission wavelength<br />

by the Stark-effect was observed, whereby<br />

the integration of light emitters <strong>and</strong> modulators in<br />

a device appears possible.<br />

6. B<strong>and</strong>structure design<br />

Multi quantum wells consisting of nanocrystalline<br />

silicon layers were investigated in cooperation with<br />

the RWtH Aachen. At these investigations a quantum<br />

confinement was observed, increasing the effective<br />

b<strong>and</strong> gap up to 1.8 eV.


7. Erhöhte Leitfähigkeit in Si-Versetzungsnetzwerken<br />

Es wurde festgestellt, dass die Leitfähigkeit in einem<br />

Versetzungsnetzwerk um etwa einen Faktor Zehntausend<br />

ansteigt. Dabei wird davon ausgegangen, dass<br />

sowohl die Konzentration der Ladungsträger als auch<br />

deren Beweglichkeit um jeweils einen Faktor 100<br />

wachsen. Derartige Leitfähigkeitskanäle sind für die<br />

Entwicklung neuartiger THz-Bauelemente von Interesse.<br />

8. Silizium für die Mikroelektronik<br />

Auf diesem Arbeitsgebiet wurde die Kooperation<br />

mit der deutschen Industrie fortgesetzt. In der Zusammenarbeit<br />

mit der Siltronic AG für zukünftige<br />

Si-Wafer wurden im Rahmen eines dreijährigen Forschungsprojektes<br />

die experimentellen und theoretischen<br />

Arbeiten zur Sauerstoffpräzipitation weitergeführt.<br />

Mit der Centrotherm GmbH + Co. KG und ASM<br />

Germany arbeitet das <strong>IHP</strong> gemeinsam in Projekten zu<br />

Anlagenprozessen.<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

7. enhanced conductivity in silicon dislocation<br />

networks<br />

It was measured, that the conductivity in a dislocation<br />

network increases by a factor of ten thous<strong>and</strong>.<br />

It is assumed that the concentration of the charge<br />

carriers as well as their mobility both increase by a<br />

factor of 100. Such channels of conductivity are interesting<br />

for the development of new types of tHz<br />

devices.<br />

8. Silicon for microelectronics<br />

the cooperation with the German industry was<br />

continued in this area. In the cooperation with<br />

Siltronic AG for future silicon wafers the experimental<br />

<strong>and</strong> theoretical investigations on oxygen precipitation<br />

was continued in a three years project. IHp<br />

collaborates with Centrothern GmbH & Co. KG <strong>and</strong><br />

ASM Germany in process technology projects.<br />

Prof. Dr. Ernst Rietschel, Präsident der Leibniz-Gemeinschaft, bei<br />

der Übergabe des „Preises des wissenschaftlichen Nachwuchses“ des<br />

Fördervereins „Freunde des <strong>IHP</strong> e.V.“ am 1. September 2007.<br />

prof. ernst Rietschel, president of the leibniz Association,<br />

awarding the “price of the junior scientists” of the association<br />

“Friends of the IHp”, September 1, 2007.<br />

A n n u A l R e p o R t 2 0 0 7<br />

2


Mens sana in corpore sano ...<br />

8. Berliner DKB-Team-Staffellauf mit <strong>IHP</strong>-Beteiligung (21. Juni 2007).<br />

8 th Berlin DKB-team relay with participants of the IHp (June 21, 2007).<br />

26 A n n u A l R e p o R t 2 0 0 7<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

15. Kondius Berliner Marathon-Staffel mit zwei gemischten Teams des <strong>IHP</strong> (18. November 2007).<br />

15 th Kondius Berlin Marathon Relay with two mixed teams of the IHp (november 18, 2007).


13. <strong>IHP</strong>-Fußballturnier<br />

Vom <strong>IHP</strong> wurde das 13. regionale Fußballturnier organisiert und am<br />

23. August 2007 durchgeführt. Daran nahmen drei Mannschaften<br />

des <strong>IHP</strong> und sechs Mannschaften aus Mitarbeitern von Firmen, die<br />

eng mit dem <strong>IHP</strong> zusammenarbeiten, teil.<br />

d A S J A H R 2 0 0 7 – U P d A T E 2 0 0 7<br />

13 th IHp Football tournament<br />

the 13 th regional football tournament was organized <strong>and</strong> accomplished<br />

by the IHp on August 23, 2007. three crews of the<br />

IHp <strong>and</strong> six crews of companies, which work with the IHp closely<br />

together, participated.<br />

A n n u A l R e p o R t 2 0 0 7<br />

27


28 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Selected Projects


Drahtloses Internet<br />

Schnelle Ultra-Breitb<strong>and</strong> (UWB)-<br />

Kommunikation im 60-GHz-B<strong>and</strong><br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel des <strong>IHP</strong>-Beitrages im vom BMBF geförderten Projekt<br />

WIGWAM (Wireless Gigabit With Advanced Multimedia<br />

Support) war es, ein Höchstgeschwindigkeits-<br />

Kommunikationssystem mit Datenraten oberhalb von<br />

2 Gbps zu entwerfen und prototypisch zu implementieren.<br />

Um den ständig wachsenden Bedarf an Datenrate<br />

zu befriedigen, gewinnt das 60-GHz-B<strong>and</strong> zunehmend<br />

an Bedeutung.<br />

Die wesentlichen Ergebnisse des <strong>IHP</strong> im Projekt WIGWAM<br />

sind prototypische Realisierungen eines kompletten<br />

60-GHz-Kommunikationssystems, mit den Basiskomponenten<br />

Analog Frontend (AFE), Basisb<strong>and</strong>prozessor<br />

(BB) und Medium Access Control Prozessor (MAC). Der<br />

entwickelte 60-GHz-Demonstrator wurde auf verschiedenen<br />

Veranstaltungen, wie z.B. den BMBF Statusseminaren<br />

präsentiert.<br />

Darüber hinaus wurde im Rahmen einer Zusammenarbeit<br />

mit France Telecom auf der Basis der Ergebnisse des<br />

Projektes WIGWAM ein kombinierter UWB / 60-GHz-Demonstrator<br />

entwickelt. Dieser besteht aus einem kommerziell<br />

erhältlichen UWB Entwicklungssystem (Development<br />

Kit), welches mit dem am <strong>IHP</strong> entwickelten<br />

60-GHz-Radiofrontend erweitert wurde. Die Architektur<br />

des Systems ist in Abb. 1 dargestellt. Dieser Demonstrator<br />

erlaubt eine asymmetrische transparente TCP / IP-<br />

Verbindung mit einem Down-link im 60-GHz-B<strong>and</strong> und<br />

einem Up-link nach der UWB-WiMedia-Spezifikation.<br />

Abb. 1: Architektur des UWB / 60-GHz-Demonstrators.<br />

Fig. 1: Architecture of the uWB / 60 GHz demonstrator.<br />

wireless Internet<br />

Fast Ultra-wideb<strong>and</strong> (UwB)<br />

Communication in the 60 GHz B<strong>and</strong><br />

the IHp contribution to the BMBF funded cooperative<br />

project WIGWAM (Wireless Gigabit With Advanced<br />

Multimedia Support) was focused on the development<br />

of an ultra-high-speed communication system<br />

with data rates above 2 Gbps. to satisfy the rapidly<br />

growing dem<strong>and</strong> for communication b<strong>and</strong>width, the<br />

60 GHz b<strong>and</strong> has gained in importance. one of the<br />

main results of WIGWAM is reflected by the recently<br />

presented version of a system demonstrator operating<br />

in this frequency b<strong>and</strong>. this demonstrator comprises<br />

three main blocks: the 60 GHz analog frontend (AFe),<br />

the baseb<strong>and</strong> processor (BB) <strong>and</strong> a high-throughput<br />

medium access control processor (MAC).<br />

Furthermore, on the basis of the results of the<br />

WIGWAM project, IHp together with France telecom<br />

developed a combined uWB / 60 GHz prototype.<br />

this consists of a commercially available uWB development<br />

system, extended with IHp`s 60 GHz radio<br />

frontend. the architecture of the system is shown in<br />

Fig. 1. this demonstrator allows a transparent asymmetric<br />

tCp / Ip link. For the downlink, the 60 GHz b<strong>and</strong><br />

is used <strong>and</strong> the uplink is based on the uWB WiMedia<br />

specification.<br />

A n n u A l R e p o R t 2 0 0 7<br />

2


0 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

In Abb. 2 ist der Demonstrator im Betrieb zu sehen. Die<br />

stabile Übertragung eines Videos wurde mehrfach auf<br />

wissenschaftlichen Veranstaltungen am <strong>IHP</strong> gezeigt.<br />

Das entwickelte System ist ein wichtiger Schritt, der<br />

darauf abzielt, die Robustheit der UWB- Kommunikation<br />

mit den sehr hohen Datenraten der 60-GHz-Kommunikation<br />

zu kombinieren.<br />

Das Projekt WIGWAM wurde im Juli 2007 erfolgreich<br />

abgeschlossen. Aufbauend auf dem Erreichten werden<br />

die Arbeiten nun im Rahmen des vom BMBF geförderten<br />

Projektes EASY-A (60-GHz-Breitb<strong>and</strong>verbindungen)<br />

fortgeführt. Dieses neue Projekt mit etwa 20 Industrie-<br />

und akademischen Partnern wird vom <strong>IHP</strong> als Verbundkoordinator<br />

seit dem 01.01.2008 geleitet.<br />

Abb. 2: Foto des UWB / 60-GHz-Versuchsaufbaus.<br />

Fig. 2: photo of the experimental uWB / 60 GHz communication system setup.<br />

In Fig. 2 the demonstrator is shown in operation. the<br />

robust transmission of a video clip was shown at several<br />

scientific events at IHp.<br />

the developed system is an important milestone in<br />

our efforts to combine the robustness of uWB communication<br />

with the very high data rates of the<br />

60 GHz communication.<br />

the WIGWAM project was successfully completed in<br />

July 2007. Based on the results of this project the<br />

work is continued within the BMBF funded German<br />

project eASY-A (60 GHz broadb<strong>and</strong> links). this new<br />

cooperative project with about 20 partner institutions<br />

from industries <strong>and</strong> academia is coordinated by<br />

the IHp. It has started on the 1st of January 2008.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

UbiSec&Sens - Ubiquitous Sensing <strong>and</strong> Security<br />

in the European Homel<strong>and</strong><br />

Ziel des Projektes UbiSec&Sens ist es, die Entwicklung<br />

sicherer Sensornetzanwendungen zu ermöglichen. Um<br />

dieses Ziel zu erreichen müssen die im Folgenden dargestellten<br />

Herausforderungen gemeistert werden. Als<br />

Grundvoraussetzung müssen Sicherheitskomponenten,<br />

z.B. effiziente Implementierungen von Verschlüsselungsmechanismen,<br />

beweisbar sichere Wegewahlverfahren<br />

oder sichere Datenhaltungsmodule entworfen und<br />

realisiert werden. Zusätzlich wird ein einfach zu verwendendes<br />

Werkzeug benötigt, das auch Nichtexperten<br />

die Auswahl korrekter Kombinationen von Sicherheitsmodulen<br />

ermöglicht.<br />

Während des letzten Jahres wurde im Projekt ein Konfigurationswerkzeug<br />

(configKIT) entwickelt, das eine<br />

halb-automatische Auswahl von Sicherheitskomponenten<br />

unterstützt. Die Eingabeparameter für das config-<br />

KIT sind die Sicherheitsanforderungen der zu entwickelnden<br />

Anwendung und die Hardwareparameter des<br />

Zielsystems. Zusätzlich hat das configKIT Zugriff auf<br />

eine Code Datenbank in welcher die UbiSec&Sens Module<br />

gespeichert sind. Hier werden auch Informationen zu<br />

den Schnittstellen und Speicheranforderungen der Module<br />

gehalten. Unter Verwendung dieser Angaben stellt<br />

das configKIT folgende Funktionen zur Verfügung:<br />

- Modulauswahl<br />

- Sicherheitsbeweis<br />

- Automatische Integration<br />

Abb. 3 zeigt die funktionale Struktur des configKIT.<br />

Die Aufgabe der Modulauswahl-Komponente ist die Selektion<br />

von Modulen die:<br />

- zu ein<strong>and</strong>er kompatibel sind, d.h. deren<br />

Schnittstellen zuein<strong>and</strong>er passen,<br />

- zu der verfügbaren Hardware kompatibel sind,<br />

- die Sicherheitsanforderungen erfüllen,<br />

- die Anforderungen der Anwendung erfüllen.<br />

UbiSec&Sens - Ubiquitous Sensing <strong>and</strong><br />

Security in the European Homel<strong>and</strong><br />

the major focus of the ubiSec&Sens project is to enable<br />

the development of secure sensor network applications.<br />

In order to achieve this goal, two challenges<br />

have to be tackled. First, security components, e.g.<br />

efficient implementations of encryption mechanisms,<br />

provable secure routing schemes or secure data<br />

storage modules must be designed <strong>and</strong> realized. Additionally,<br />

an easy-to-use tool for selecting the correct<br />

combination of these components is essential to<br />

allow non-security experts to develop secure wireless<br />

sensor network applications.<br />

During the last year we developed a configuration<br />

tool (configKIt) in this project which supports semiautomatic<br />

selection of security components. the inputs<br />

to the configKIt are the security requirements of<br />

the application under development <strong>and</strong> the hardware<br />

configuration of the target system. In addition the<br />

configKIt has access to a code repository in which<br />

the ubiSec&Sens modules are stored <strong>and</strong> which holds<br />

information on interfaces <strong>and</strong> memory requirements<br />

of those modules. using this input the configKIt provides<br />

the following three functions:<br />

- Module Selection<br />

- proof of Security<br />

- Automatic Integration<br />

Fig. 3 shows the functional structure of the config-<br />

KIt.<br />

the purpose of the module selection unit of the configKIt<br />

is to select suitable modules that<br />

- can be combined to one software image running<br />

on the intended node (compatibility of interfaces),<br />

- are compatible to the available hardware,<br />

- fulfill the security requirements,<br />

- fulfill the requirements of the application.<br />

A n n u A l R e p o R t 2 0 0 7


2 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Das Modul für die automatische Integration kombiniert<br />

die Programmdateien und generiert ein zusätzliches<br />

Modul, das die <strong>and</strong>eren Module verbindet und steuert.<br />

In einem letzten Schritt erzeugt dieses Modul ein Makefile<br />

das zum Kompilieren der Quelldateien verwendet<br />

werden kann.<br />

Das Modul für den Sicherheitsbeweis bewertet die erreichte<br />

Sicherheitsstufe. Hierfür analysiert es die drei<br />

Sicherheitskategorien: Vertraulichkeit, Integrität und<br />

Zuverlässigkeit unter Berücksichtigung der Sicherheitsstufen<br />

der ausgewählten Module. Zusätzlich gehen Datenflussanalysen<br />

in die Bewertung ein.<br />

Abb. 3: Die Komponenten des Konfigurierungswerkzeuges für<br />

sichere Sensornetze.<br />

Fig. 3: the components of the configuration toolkit for secure<br />

sensor networks.<br />

the automatic integration module assembles the<br />

source files, creates a top module that uses <strong>and</strong> connects<br />

the other modules, <strong>and</strong> finally creates Makefiles<br />

that can be used to compile the image.<br />

the proof-of-security functionality module assesses<br />

the achieved security level. In order to do this it analyses<br />

the three security categories - concealment,<br />

integrity <strong>and</strong> robustness- taking into account the security<br />

level of the individual ubiSec&Sens modules.<br />

In addition data flow aspects are included in the assessment.


TANDEM<br />

Abb. 4: Die Systemarchitektur des T<strong>and</strong>em-Chips.<br />

Fig. 4: the system architecture of the t<strong>and</strong>em chip.<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel des Projektes TANDEM ist die Realisierung eines extrem<br />

verbrauchsarmen, skalierbaren T<strong>and</strong>em-Prozessorbasierten<br />

Funksystems für sensorische, aktuatorische<br />

und kennzeichnende Anwendungen. Um dieses Ziel zu<br />

erreichen müssen eine geeignete innovative Hardwarearchitektur<br />

für den Sensorknoten sowie entsprechende<br />

Softwarekomponenten wie Middleware und Kommunikationsprotokolle<br />

spezifiziert, entwickelt und getestet<br />

werden.<br />

Die Bereitstellung energieeffizienter und hoch zuverlässiger<br />

drahtloser Sensorknoten eröffnet die Möglichkeit<br />

neue Anwendungen zu realisieren, z.B. Gesundheitsüberwachung,<br />

Überwachung von Flugzeugen und<br />

Gebäuden wie Brücken etc. Diese Anwendungsbereiche<br />

erfordern Lebensdauern des Systems im Bereich oberhalb<br />

von zehn Jahren, Echtzeitfähigkeit und hohe Zuverlässigkeit.<br />

Im Rahmen des Projektes wurde im vergangenen Jahr<br />

zunächst die in Abb. 4 dargestellte Architektur des T<strong>and</strong>em-Sensorknotens<br />

entwickelt. Die Idee des Doppelprozessorsystems<br />

wird in dieser Architektur durch die Ergänzung<br />

des Mikroprozessors durch Hardwarebeschleuniger<br />

dargestellt, die die Aufgaben eines Co-Prozessors über-<br />

TANdEM<br />

the goal of the project tAnDeM is to realize an ultra<br />

energy-efficient, scalable t<strong>and</strong>em processor based<br />

wireless system for sensor, actuator <strong>and</strong> marking applications.<br />

In order to achieve this goal an innovative<br />

new sensor node hardware architecture as well<br />

as suitable software components such as middleware<br />

<strong>and</strong> communication protocols need to be specified,<br />

developed <strong>and</strong> tested.<br />

the availability of energy-efficient, reliable wireless<br />

sensor nodes is the key enabler for new applications,<br />

e.g. in the area of health monitoring, monitoring of<br />

airplanes <strong>and</strong> buildings such as bridges, etc. these<br />

application areas require the sensor network to reach<br />

a lifetime of more than ten years, to support real-time<br />

<strong>and</strong> to be highly reliable.<br />

During the last year this project focused on architectural<br />

<strong>and</strong> hardware issues. the resulting architecture<br />

of the t<strong>and</strong>em sensor node is displayed in Fig. 4. the<br />

idea of having a kind of a dual core system on a sensor<br />

node led to the integration of a hardware accelerator<br />

component which provides specialized computing<br />

facilities to the microprocessor. By this, computati-<br />

A n n u A l R e p o R t 2 0 0 7


A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

nehmen. So können rechenintensive Operationen mit<br />

geringem zeitlichem und energetischem Aufw<strong>and</strong> bereitgestellt<br />

werden. Die Energiesparfunktion wird durch<br />

die Powermanagement-Komponente realisiert. Die<br />

T<strong>and</strong>em-Architektur unterstützt Energiespar-Konzepte<br />

Soft- und Hardware-seitig. Die Stromabschaltung einzelner<br />

Hardwarekomponenten des T<strong>and</strong>emknotens wird<br />

durch als Power Switche bezeichnete Komponenten<br />

unterstützt. Eine erste Generation der Power Switche<br />

wurde bereits entworfen, gefertigt und getestet. Abb. 5<br />

zeigt das Konzept für einen abschaltbaren Block sowie<br />

ein Chipfoto eines einzelnen Power Switches.<br />

Abb. 5: Schematische Darstellung des Konzeptes Power Switching (a), Chip-Foto der Power Switche (b).<br />

Fig. 5: Sketch of the power switching concept (a), power switch chip photo (b).<br />

on intensive operations can be supported with little<br />

effort in relation to calculation time <strong>and</strong> energy.<br />

the energy saving concept is realized by the energy<br />

management component. the t<strong>and</strong>em architecture<br />

supports energy saving concepts in soft- <strong>and</strong> hardware.<br />

the basic hardware modules needed to switch<br />

off individual blocks of an integrated t<strong>and</strong>em node<br />

are called power switches. A first generation of these<br />

power switches was designed, manufactured <strong>and</strong><br />

tested. Fig. 5 shows the concept of a block which can<br />

be switched off using our power switches as well as a<br />

chip photo of a first single power switch.


Infrastruktur für Funktionaltest<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziele des Projektes sind Aufbau und Betrieb einer geeigneten<br />

Infrastruktur für funktionalen Chiptest sowie der<br />

darauf basierende Testservice für interne und externe<br />

Kunden. Je nach Anforderungen ist die Infrastruktur in<br />

Bezug auf Hardware und Software anzupassen und zu<br />

erweitern.<br />

Im Rahmen des Projektes werden ASICs, Mikrokontroller,<br />

SRAMs und Flash-Speicher getestet, sowohl als Prototypen<br />

neuer Designs, als auch zur Ausbeute-Kontrolle<br />

und Prozessqualifizierung.<br />

Zentrales Element der Testinfrastruktur ist ein hochleistungsfähiger<br />

Produktionstester vom Typ Verigy 93000<br />

SOC Pinscale in der folgenden Konfiguration:<br />

- Testchip-Stromversorgung<br />

- 8 unabhängige Kanäle, jeweils bis zu 8 A<br />

- Digitale Ressourcen<br />

- 288 bidirektionale Kanäle, 32M Vektorspeicher,<br />

Datenrate bis 800 Mbps<br />

- 32 Kanäle konfigurierbar als differentielle<br />

Hochgeschwindigkeitskanäle bis 1,8 Gbps<br />

- Analoge Ressourcen<br />

- 4,1 GSps Waveform-Generator<br />

- 320 MSps / 1 GHz Digitizer<br />

Aufgrund der Tester-per-Pin-Architektur können sämtliche<br />

Kanäle unabhängig vonein<strong>and</strong>er programmiert und<br />

betrieben werden. Dies ermöglicht den effizienten Test<br />

komplexer SoCs, zum Beispiel können bei entsprechender<br />

Auslegung der Chips Logikkerne und Speicherblöcke<br />

gleichzeitig und unabhängig vonein<strong>and</strong>er getestet<br />

werden. Weiterhin verfügt das System über Hardware-<br />

Unterstützung für Scan-Test und Speicher-Test. Zum<br />

Test von bereits verpackten Chips wird das Testsystem<br />

mit entsprechenden Interface-Platinen bestückt.<br />

Alternativ kann der Testkopf mit einem Waferprober<br />

vom Typ Accretech UF200 gekoppelt werden. In dieser<br />

Konfiguration können Chips über entsprechende Nadelkarten<br />

direkt auf dem Wafer getestet werden.<br />

Functional Test Infrastructure<br />

the goals of the project are to establish <strong>and</strong> operate<br />

an infrastructure suitable for functional chip testing<br />

as well as to provide testing services both for internal<br />

<strong>and</strong> external customers. Depending on changing<br />

requirements the infrastructure has to be adapted in<br />

terms of hardware <strong>and</strong> software.<br />

Within the frame of this project we test ASICs, microcontrollers,<br />

SRAMs <strong>and</strong> flash memories – both as prototypes<br />

of new designs <strong>and</strong> for yield control <strong>and</strong> process<br />

qualification.<br />

At the heart of the project we operate a Verigy 93000<br />

SoC test system, a powerful production tester. Currently<br />

we have the following configuration:<br />

- Device power supply<br />

- 8 independent channels, each up to 8 A<br />

- Digital resources<br />

- 288 bidirectional channels, 32M vector memory,<br />

data rates up to 800 Mbps<br />

- 32 channels configurable as differential<br />

high speed channels up to 1.8 Gbps<br />

- Analog resources<br />

- 4.1 GSps waveform generator<br />

- 320 MSps / 1 GHz digitizer<br />

Due to the tester-per-pin architecture used in this<br />

system, all channels can be programmed <strong>and</strong> operated<br />

independently of each other. this allows the efficient<br />

test of complex SoCs, e.g. with an appropriate design<br />

it is possible to test logic cores <strong>and</strong> memory blocks<br />

concurrently <strong>and</strong> independently. Furthermore, the<br />

test system provides hardware support for scan <strong>and</strong><br />

memory test.<br />

to allow testing of already packaged chips, suitable<br />

interface boards are attached to the test system.<br />

Alternatively the test head can be docked onto an Accretech<br />

uF200 wafer prober. In this configuration it is<br />

possible to test chips directly on wafer, using special<br />

probe cards.<br />

A n n u A l R e p o R t 2 0 0 7


Bis zu 25 Wafer können vollautomatisch verarbeitet<br />

werden, die Wafer können dabei in einem Temperaturbereich<br />

von -40 °C bis +125 °C temperiert werden.<br />

Die von den Designern gelieferten Testdaten werden mit<br />

Hilfe eines kommerziellen Software-Paketes zyklisiert<br />

und in das 93000 SOC Format konvertiert. Die Testergebnisse<br />

werden mittels im Rahmen des Projektes entwickelter<br />

Software-Pakete ausgewertet und aufbereitet.<br />

Abb. 6: Test System Verigy 93000 SOC.<br />

Fig. 6: test system Verigy 93000 SoC.<br />

Ein weiteres Software-Paket ermöglicht die detaillierte<br />

Analyse von Scan-Tests.<br />

Durch die Auslegung auf hohen Durchsatz im Produktionstest<br />

ist das 93K-Test System für interaktive Debugging-Zwecke<br />

nicht optimal geeignet. Aus diesem Grund<br />

wurde ein weiteres Testsystem speziell für das Debuggen<br />

von Prototypen angeschafft.<br />

6 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

up to 25 wafers can be processed fully automatically;<br />

the wafers can be cooled or heated in a temperature<br />

range of -40 °C to +125 °C.<br />

the test data delivered by the designers are cyclized<br />

<strong>and</strong> translated into 93000 SoC format using a commercial<br />

software package. the test results are analyzed<br />

<strong>and</strong> test protocols are prepared using software<br />

tools developed in the scope of the project.<br />

Abb. 7: 93000 Test System an Waferprober UF200 gekoppelt.<br />

Fig. 7: 93000 test system docked to uF200 wafer prober.<br />

An additional software package allows detailed analysis<br />

of scan tests.<br />

Being designed <strong>and</strong> built for high throughput in a<br />

production test environment the 93k test system is<br />

not the first choice for debugging tasks. therefore a<br />

second test system was acquired specifically for the<br />

debugging of prototype devices.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Das Test-System Advantest CertiMAX bietet vier unabhängige<br />

Kanäle zur Spannungsversorgung der Testchips<br />

und 256 digitale bidirektionale Kanäle mit einer Geschwindigkeit<br />

von bis zu 125 MHz. Dank einer neuartigen<br />

Architektur können hier die Testdaten der Designer<br />

direkt eingelesen werden, der aufwendige Prozess<br />

der Zyklisierung und Konvertierung entfällt.<br />

Durch das vereinfachte Bedienungskonzept kann das<br />

Testsystem von den Chipdesignern direkt zur Verifikation<br />

ihrer Prototypen genutzt werden. Aktuell können mit<br />

diesem System nur verpackte Chips getestet werden, die<br />

Anschaffung eines zweiten Probers ist in Bearbeitung,<br />

sodass danach auch Debugging auf Wafer-Level möglich<br />

sein wird.<br />

the Advantest CertiMAX test system provides four<br />

independent device power supply channels, as well<br />

as 256 digital bidirectional signal channels up to a<br />

speed of 125 MHz. Due to a novel architecture this<br />

system can directly import the designers’ test data.<br />

the time consuming cyclization <strong>and</strong> conversion process<br />

thus can be avoided.<br />

As a consequence of the simplified user interface this<br />

test system can be operated directly by the chip designers<br />

to test <strong>and</strong> verify their prototypes. Currently<br />

only packaged chips can be tested using the CertiMAX<br />

system. A second prober is about to be purchased, adding<br />

improved debugging capabilities on wafer level<br />

as well.<br />

Abb. 8: Advantest CertiMAX Debugging Test System.<br />

Fig. 8: Advantest CertiMAX debugging test system.<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


Breitb<strong>and</strong> Multi-GHz DAC Design<br />

Die für die Kommunikation genutzte B<strong>and</strong>breite hat sich<br />

in den letzten Jahrzehnten sehr dynamisch entwickelt,<br />

woraus auch unmittelbar die Forderung nach schnellen<br />

Datenkonvertern resultiert. Am <strong>IHP</strong> werden intensive<br />

Forschungsarbeiten zum Design von Digital / Analog-<br />

Konvertern (DACs) sehr hoher Geschwindigkeit und bei<br />

verschiedenen Auflösungen durchgeführt.<br />

Im Rahmen von Design-Arbeiten zu DACs hoher Auflösung<br />

wurde ein Projekt für einen 12-Bit 1,5 GSps Konverter<br />

für Systeme zur Satellitenkommunikation gestartet.<br />

Das Projekt wird durch die europäische Weltraumbehörde<br />

ESA finanziert. Am Projekt sind neben dem <strong>IHP</strong> die<br />

Firmen Kayser-Threde, advICo microelectronics, MASER<br />

Engineering und SAAB Space beteiligt.<br />

Das Projekt verfolgt die folgenden Hauptziele:<br />

- Entwicklung eines DAC mit hoher Linearität des<br />

Ausgangssignals in der ersten Nyquist-Zone bei<br />

geringer Verlustleistung<br />

- Design eines strahlungsfesten DAC<br />

- Implementierung eines Kalibrierungsverfahrens<br />

zur Verbesserung der statischen Linearität<br />

8 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Abb. 9: Asymmetrisches Ausgangs-Spektrum eines unär gewichteten<br />

4-Bit 1 GSps Sub-DAC.<br />

Fig. 9: Single ended output spectrum of a 4-bit 1 GSps unary<br />

weighted sub-DAC.<br />

Broadb<strong>and</strong> Multi-GHz dAC design<br />

In the last few decades the communication b<strong>and</strong>width<br />

has evolved with enormous speed, directly generating<br />

the dem<strong>and</strong> for high-speed data converters. At IHp<br />

extensive research work is being pursued in the field<br />

of high speed DAC design at various resolutions.<br />

As a part of high resolution DAC design activity a 12-bit<br />

1.5 GSps design project has been started which will<br />

be used for satellite communication systems. this<br />

project is funded by the european Space Authority<br />

(eSA). other collaborators of this project are Kayserthrede,<br />

advICo microelectronics, MASeR engineering<br />

<strong>and</strong> SAAB Space.<br />

the main goals of this project are as follows:<br />

- Development of a DAC with highly linear output<br />

characteristic for the first nyquist zone at low<br />

power consumption<br />

- Design of a radiation-proof DAC<br />

- Implementation of a calibration process to<br />

enhance the static linearity<br />

Abb. 10: Chipfoto eines 4-Bit 30 GSps DAC.<br />

Fig. 10: Chip micrograph of a 4-bit 30 GSps DAC.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Um all diese Anforderungen zu erfüllen wird der 12-Bit<br />

1,5 GSps DAC in einer segmentierten Architektur mit<br />

Stromsteuerung realisiert. Eine vorläufige BiCMOS-<br />

Version eines 4-Bit-DAC mit 15 unären Stromquellen<br />

wurde bereits implementiert und erfolgreich gemessen.<br />

Damit wird 1 GSps erreicht. Abb. 9 zeigt das Ausgangs-<br />

Frequenzspektrum dieses 4-Bit Sub-DAC für ein rekonstruiertes<br />

Sinussignal von 100 MHz bei einer Abtastrate<br />

von 1 GHz. Die SFDR (störungsfreier Dynamikbereich)-<br />

Performance entspricht 10 Bit Linearität. Derzeit ist<br />

ein verbessertes Design für einen 12-Bit DAC mit einem<br />

schnelleren unären Sub-DAC in Arbeit.<br />

Ein 4-Bit 30 GSps DAC wurde bereits als Teil eines Multi-GHz<br />

Designs für geringe bis mittlere Auflösung entworfen<br />

und charakterisiert. Ein Chip-Foto dieses 4-Bit<br />

Sub-DAC zeigt Abb. 10. Der DAC erreicht eine maximale<br />

Sampling-Rate von 30 GSps mit 3,85 GHz B<strong>and</strong>breite<br />

am Ausgang. Die Leistungsaufnahme beträgt insgesamt<br />

455 mW. Ein 8-Bit 20 GSps segmentierter stromgesteuerter<br />

DAC wurde bereits entworfen, wobei der<br />

4-Bit 30 GSps DAC als LSB (Least significant Bit) Sub-<br />

DAC genutzt wird. Derzeit wird dieser 8-Bit Schaltkreis<br />

gefertigt.<br />

to fulfill all these requirements the 12-bit 1.5 GSps<br />

DAC will be implemented in a segmented current-controlled<br />

architecture. A preliminary BiCMoS version of<br />

a 4-bit unary sub-DAC has already been implemented<br />

<strong>and</strong> successfully measured. It can work up to 1 GSps.<br />

Fig. 9 represents the output frequency spectrum of<br />

this 4-bit sub-DAC for a reconstructed sinusoidal of<br />

100 MHz with a sampling rate of 1 GSps. SFDR (spurious<br />

free dynamic range) performance corresponds<br />

to 10 bit linearity. Currently an improved design of<br />

12-bit DAC with a faster unary sub-DAC is under preparation.<br />

A 4-bit 30 GSps DAC has already been designed <strong>and</strong><br />

characterized as part of a multi-GHz low to medium<br />

resolution DAC design. A chip micrograph of this 4bit<br />

sub DAC is presented in Fig. 10. the DAC achieves<br />

a maximum sampling rate of 30 GSps with 3.85 GHz of<br />

output b<strong>and</strong>width. total power dissipation is 455 mW.<br />

An 8-bit 20 GSps segmented current-controlled DAC<br />

has already been designed where the 4-bit 30 GSps<br />

DAC is used as the lSB (least significant bit) sub-DAC.<br />

Currently this 8-bit circuit is under fabrication.<br />

A n n u A l R e p o R t 2 0 0 7


Chipsatz für UWB Impuls-Radio<br />

0 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel des Projektes ist es, die Impuls-Funktechnik mit<br />

ihren außerordentlichen Möglichkeiten, wie z. B. die<br />

hochgenaue funkbasierte Lokalisierung in Gebäuden,<br />

durch die Entwicklung eines kompletten Transceivers<br />

(Sende / Empfangs-Schaltung) für das <strong>IHP</strong> nutzbar zu<br />

machen. Mit Hilfe dieser Technik ist es erstmals möglich,<br />

mit einem Funkmodul sowohl Datenübertragung<br />

als auch präzise Lokalisierung im Dezimeterbereich zu<br />

realisieren.<br />

Die Basis der Funkübertragung sind kurze Impulse<br />

mit einer Länge von etwa einer Nanosekunde, die im<br />

Frequenzbereich zwischen 3,1 und 10,6 GHz gesendet<br />

werden dürfen. Diese extrem kurzen Impulse erlauben<br />

mittels geeigneter Modulation (Pulse Position Modulation)<br />

nicht nur sehr hohe Datenraten bis zu 1 Gbps,<br />

sondern auch die Messung der Ausbreitungszeit von<br />

Funksignalen zwischen Sender und Empfänger. Mit der<br />

Ausbreitungszeit und der Ausbreitungsgeschwindigkeit<br />

(nahezu Lichtgeschwindigkeit) kann der Abst<strong>and</strong> zwischen<br />

zwei Funkknoten bestimmt werden, die dann wiederum<br />

der präzisen Positionsbestimmung dienen.<br />

Abb. 11 zeigt das Blockschaltbild des Chipsatzes, der<br />

vom <strong>IHP</strong> im Rahmen des von der Europäischen Union<br />

geförderten Projektes PULSERS II entwickelt wurde. Der<br />

Empfänger-Chip Rx2-2 (in der Abb. 11 oben) basiert<br />

auf dem Prinzip der nicht-kohärenten Impulsdetektierung<br />

(Energiedetektion). Dazu wird das Empfangssignal<br />

zunächst breitb<strong>and</strong>ig verstärkt und dann quadriert.<br />

Anschließend wird vom Multiplikationsprodukt die<br />

einhüllende Impulsform mittels eines Tiefpassfilters<br />

extrahiert und weiter verstärkt. Die Gesamtverstärkung<br />

des Empfängers kann über ein digitales Interface in ca.<br />

3-dB-Schritten zwischen -10 dB und +60 dB eingestellt<br />

werden, um eine Adaption an die momentanen Kanalbedingungen<br />

zu gewährleisten.<br />

UwB Impulse Radio Chipset<br />

the goal of this project is the development of an entire<br />

impulse radio transceiver for the IHp, which utilizes<br />

the outst<strong>and</strong>ing opportunities of this technique<br />

like high-precision indoor localization. employing<br />

this technique would allow for the first time the use<br />

of one radio node for data communication as well as<br />

precise indoor localization in the decimeter range.<br />

the basis of this type of radio transmission are short<br />

pulses with a duration of about 1 nanosecond which<br />

are permitted to transmit in the frequency range of<br />

3.1 to 10.6 GHz. these extremely short pulses allow<br />

not only huge data rates up to 1 Gbps by using proper<br />

pulse position modulation, but also the measurement<br />

of propagation delays of radio signals between<br />

transmitter <strong>and</strong> receiver. taking the propagation time<br />

<strong>and</strong> propagation velocity (almost speed of light) one<br />

can calculate the distance between two radio nodes,<br />

which in turn allows precise position determination.<br />

Fig. 11 shows the block diagram of the chipset which<br />

was developed by the IHp within the scope of the eufunded<br />

project pulSeRS II. the receiver chip Rx2-2<br />

(upper part in Fig. 11) employs non-coherent impulse<br />

detection (energy detection). Firstly, the received<br />

wide-b<strong>and</strong> signal is amplified <strong>and</strong> then squared.<br />

then, the pulse envelope is extracted by applying a<br />

low pass filter <strong>and</strong> amplified again. the total amplification<br />

gain of the receiver can be controlled via a<br />

digital interface in steps of around 3 dB between -10<br />

dB to +60 dB. this allows an adaptation to the momentary<br />

channel conditions.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Abb. 11: Transceiver-Architektur des UWB Impuls-Radio Chipsatzes, entwickelt im Rahmen des EU-Projektes PULSERS II.<br />

Fig. 11: transceiver architecture of the uWB impulse radio chip set, developed within the scope of the eu-funded project<br />

Ein wesentlicher Teil der Sende-Chips Tx3-2 (in der Abb.<br />

11 unten) ist ein Frequenzsynthesizer, der sowohl die<br />

Trägerfrequenz der Impulse (7,68 GHz) als auch den<br />

Systemtakt der Digitallogik (3,84 GHz) liefert. Die Digitallogik<br />

wurde mit der differentiellen Emitter-Coupled<br />

Logic (ECL) realisiert, um die erforderlichen Taktraten<br />

zu ermöglichen. Der Time-of-Transmission Block (TOT)<br />

ermöglicht die Modulation der Pulsposition in einem<br />

Zeitraster von 2,1 ns. Im Mittel wird alle 16,7 ns ein<br />

Impuls erzeugt, wobei für jeden Impuls individuell eine<br />

von acht Pulspositionen ausgewählt wird. Der eigentliche<br />

Sendeimpuls wird durch Multiplikation des entsprechend<br />

geformten Digitalimpulses (Pulse Envelope)<br />

mit dem Trägersignal erzeugt und verstärkt an die<br />

Antenne gegeben. In der Abb. 12 ist beispielhaft ein<br />

kurzer Ausschnitt einer solchen gemessenen Impuls-Sequenz<br />

dargestellt, wie sie an der Antenne abgestrahlt<br />

wird.<br />

An important part of the transmitter chip tx3-2 (lower<br />

part in Fig. 11) is a frequency synthesizer which provides<br />

the carrier frequency of the pulses (7.68 GHz)<br />

as well as the system clock of the digital logic (3.84<br />

GHz). In order to achieve the required clock rates the<br />

digital logic was implemented in differential emittercoupled<br />

logic (eCl). the time-of-transmission block<br />

(tot) enables the modulation of the pulse position<br />

in a chip duration of 2.1 ns. on average, every 16.7<br />

ns one pulse is generated at one of eight individually<br />

selected pulse positions. the finally transmitted pulse<br />

is created by multiplication of the properly shaped<br />

digital impulse <strong>and</strong> the carrier signal plus amplification.<br />

Fig. 12 represents a short cut-out of a measured<br />

example of such a pulse sequence as it appears at the<br />

antenna.<br />

A n n u A l R e p o R t 2 0 0 7


Abb. 12: Beispiel für die Messung einer Impuls-Sequenz an der<br />

Antenne.<br />

Fig. 12: Measured example of an impulse sequence at the<br />

antenna.<br />

2 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Der für die Lokalisierung entscheidende Block ist mit<br />

TOA (Time-of-Arrival) bezeichnet. Dieser Teil registriert<br />

beim ersten Eintreffen eines Signals am Empfänger<br />

(Rising Edge Detection) den aktuellen St<strong>and</strong><br />

des Synchronzählers (CNT), aus dem sich zusammen<br />

mit dem globalen Zähler des Basisb<strong>and</strong>-Prozessors die<br />

Ankunftszeit eines Impulses mit einer Genauigkeit von<br />

260 ps bestimmen lässt. Das schafft die Voraussetzung,<br />

um zumindest theoretisch eine Funkquelle bis auf wenige<br />

Zentimeter genau zu lokalisieren. Abb. 13 zeigt<br />

das im Empfänger erzeugte Triggersignal der Beispiel-<br />

Sequenz. Durch die sogenannte Mehrwegeausbreitung<br />

in Gebäuden (Reflexionen an Wänden usw.) sieht man<br />

nach jedem Impuls (LoS – Line-of-sight) auch später<br />

eintreffende Kopien des Signals (NLoS – None-line-ofsight).<br />

Dieser Chipsatz wurde im Jahr 2007 im <strong>IHP</strong> entwickelt<br />

und gefertigt und wird im Laufe des Jahres 2008 gemeinsam<br />

mit den Partnern im Projekt PULSERS II in<br />

einen Demonstrator integriert, der die Genauigkeit der<br />

Lokalisierung in der Praxis sowie die Datenkommunikation<br />

nachweisen soll.<br />

the most important block for localization is named<br />

toA (time-of-arrival). this part captures the current<br />

value of the synchronous counter (Cnt) when the<br />

incoming signal first appears at the receiver (rising<br />

edge detection). the registered number is used to determine<br />

the arrival time of an impulse together with<br />

the global counter of the baseb<strong>and</strong> processor in a time<br />

step size of 260 picoseconds. this provides the prerequisites<br />

to at least theoretically localize a radio node<br />

with an accuracy of few centimeters. Fig. 13 shows<br />

the trigger signal generated after receiving the example<br />

pulse sequence. Due to the so-called multi-path<br />

propagation in buildings (reflections at walls, etc.)<br />

one can see delayed copies nloS (non-line-of-sight)<br />

after each impulse loS (line-of-sight).<br />

the presented chipset was developed <strong>and</strong> manufactured<br />

at IHp in the year 2007. In cooperation with<br />

the partners of the pulSeRS II project it will be incorporated<br />

into a demonstrator in the course of the<br />

year 2008, which shall prove the localization accuracy<br />

under realistic conditions as well as the data communication.<br />

Abb. 13: Gemessenes Trigger-Signal des Empfängers am Beispiel<br />

der Impuls-Sequenz.<br />

Fig. 13: Measured trigger signal of the receiver for the example<br />

pulse sequence.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

ECL/CML-Bibliothek für Hochgeschwindigkeits-Digitalschaltungen<br />

Zukünftige serielle Kommunikation mit extrem hohen<br />

Datenraten erfordert Digitalschaltungen, die mit<br />

Taktfrequenzen von 5 GHz und mehr arbeiten können.<br />

Da in jüngster Zeit gerade dieser Anwendungssektor<br />

schnell wächst und die Entwicklung der Taktraten bei<br />

CMOS-Prozessoren seit längerem stagniert, wird eine<br />

auf Stromschaltern basierende Logik (Current Mode Logic,<br />

CML), bzw. die bipolare Variante (Emitter Coupled<br />

Logic, ECL) in einer wachsenden Anzahl von Bereichen<br />

zum Einsatz kommen. Solche Schaltungen können<br />

Funktionen in einem Taktbereich übernehmen, der mit<br />

reiner CMOS-Technik nicht mehr realisierbar ist, wie Serialisierung<br />

und Deserialisierung sehr schneller Datenströme<br />

(SERDES), PLL-Taktteiler, weiträumige Taktverteilungen,<br />

asynchrone Datenübergabe zwischen lokal<br />

synchron arbeitenden Blöcken (Globally Asynchronous<br />

Locally Synchronous, GALS), usw.<br />

Die Hochleistungstransistoren der <strong>IHP</strong>-BiCMOS-Technologien<br />

sind sehr gut für eine solche Schaltungstechnik<br />

geeignet. Daher wurde am <strong>IHP</strong> mit Hilfe der Partner FH<br />

Br<strong>and</strong>enburg und advICo microelectronics eine ECL Zellbibliothek<br />

entwickelt, die sich auf alle BiCMOS-Technologien<br />

des <strong>IHP</strong> übertragen läßt. Gegenüber der CMOS-<br />

Technologie ist damit ein drei- bis zehnfacher Gewinn<br />

an Taktrate möglich. Erste Versionen sind für die <strong>IHP</strong>-<br />

Technologien SGB25VD (bis ca. 16 GHz Taktrate) und<br />

SG25H1 (bis ca. 35 GHz) verfügbar.<br />

Darüber hinaus entwickelt das <strong>IHP</strong> gemeinsam mit der<br />

Humboldt-Universität zu Berlin eine weltweit einmalige<br />

ECL Synthesebibliothek zur Verwendung moderner Synthesewerkzeuge<br />

(Synopsys bzw. Mentor) zur Synthese<br />

von komplexen ECL-Schaltungen und gemischten ECL-<br />

CMOS-Schaltungen aus HDL-Verhaltensbeschreibungen,<br />

derzeit bis ca. 10 GHz.<br />

ECL / CML Library for High Speed digital<br />

Circuits<br />

Future serial communication at extremely high data<br />

rates requires digital circuits with clock rates of 5 GHz<br />

or higher. Although the interest in this application<br />

field is growing rapidly, recent progress of the maximum<br />

clock rates of CMoS processors has been quite<br />

limited. thus, a logic based on current switches (Current<br />

Mode logic, CMl) or the bipolar variant (emitter<br />

Coupled logic, eCl) will be applied in a growing<br />

number of applications. Such circuits can cover logic<br />

functions at very high clock rates that would not be<br />

realizable with CMoS. examples are serialization <strong>and</strong><br />

deserialization of high speed data streams (SeRDeS),<br />

pll clock dividers, wide-ranging clock distribution,<br />

fast asynchronous data exchange between locally<br />

synchronous blocks (Globally Asynchronous locally<br />

Synchronous, GAlS), etc.<br />

For this field of application the high-performance<br />

transistors of the IHp BiCMoS technologies are wellqualified.<br />

thus an eCl cell library was developed at<br />

the IHp with the partners FH Br<strong>and</strong>enburg <strong>and</strong> advICo<br />

microelectronics which can be transferred to all<br />

BiCMoS technologies of the IHp. In comparison with<br />

CMoS, a three to ten times higher clock rate can<br />

be achieved. First versions for the IHp technology<br />

SGB25VD (up to approx. 16 GHz clock rate) <strong>and</strong><br />

SG25H1 (up to approx. 35 GHz) are available.<br />

In addition, IHp <strong>and</strong> the Humboldt-university Berlin<br />

are developing an eCl synthesis library for use with<br />

modern hardware description based (HDl) synthesistools<br />

(Synopsys, Mentor) to synthesize more complex<br />

eCl circuits or mixed eCl <strong>and</strong> CMoS circuits, currently<br />

up to about 10 GHz. this eCl synthesis library is<br />

unique in the world.<br />

A n n u A l R e p o R t 2 0 0 7


Abb. 14: Geschwindigkeitsklassen und Komplexität der ECL Zellbibliothek für SGB25VD.<br />

Fig. 14: Speed classes <strong>and</strong> complexity of eCl cell library SGB25VD.<br />

Anders als bei CMOS müssen bei ECL / CML Geschwindigkeitsklassen<br />

definiert werden, weil der Arbeitsstrom jeder<br />

Zelle über den Lastwiderst<strong>and</strong> deren maximale Geschwindigkeit<br />

festlegt. Um die Vielfalt der notwendigen<br />

Zellen zu beschränken, wurde für die weniger schnellen<br />

Zellen (ab 50 ps Gatterverzögerung) eine universelle<br />

Variante mit einem pMOS-Transistor als variablem Lastwiderst<strong>and</strong><br />

entwickelt (Abb. 14).<br />

A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

For eCl, in contrast to CMoS, speed classes have to<br />

be defined, because the operating current through a<br />

load resistor defines the maximal speed of every cell.<br />

this resistor mainly determines the propagation delay<br />

of the eCl / CMS cell (Fig. 14). In order to limit the<br />

diversity of the cell library a universal version using a<br />

pMoS transistor as a variable load resistor was developed<br />

for the slower cells (delay starting from 50 ps).


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Die ECL-Zellbibliothek richtet sich in erster Linie an<br />

Anwender, die bereits Erfahrung mit der Entwurfsumgebung<br />

Cadence Virtuoso sowie mit dem Design Kit des<br />

<strong>IHP</strong> haben. Für MPW-Nutzer des <strong>IHP</strong> ist als geprüfte<br />

Version in SGB25VD verfügbar:<br />

- Ein Satz von ca. 40 Zellen für logische Grundfunktionen<br />

in Cadence (Layout und Schaltbild),<br />

- Treiber und Konverter von und zu den<br />

verschiedenen Logikpegeln CMOS, pECL, LVDS,<br />

- VCOs und spezielle Biasing-Schaltungen,<br />

- Eine digitale Verhaltensbeschreibung der Zellen<br />

in VHDL, einschließlich Zeitverhalten und<br />

Lastabhängigkeit, auch für differentiellen Betrieb.<br />

Für die ECL-Synthese komplexerer Schaltungen wird<br />

in einem ersten Schritt die Logiksynthese analog zur<br />

CMOS-Synthese mit einem St<strong>and</strong>ardwerkzeug ausgeführt,<br />

dem eine CMOS-ähnliche Nachbildung der ECL-<br />

Zellbibliothek zugrunde liegt. Dabei kann man durch<br />

Power- und Timing-Constraints das Design in einem<br />

weiten Bereich auf die Anforderungen der Applikation<br />

abstimmen (Abb. 15). Ein zweiter Schritt mit einem<br />

in Entwicklung befindlichen Werkzeug führt das Syntheseergebnis<br />

zurück auf die ECL-Zellen der Zellbibliothek<br />

und erzeugt differentielle Signale. Das Ergebnis<br />

ist eine Netzliste, die für das weitere Design auf Basis<br />

der ECL-Zellbibliothek unter Cadence verwendet werden<br />

kann. Für diese neuartige Synthese ultraschneller Digitalschaltungen<br />

bietet das <strong>IHP</strong> einen durchgängigen<br />

Design-Service (einschließlich Layoutgenerierung und<br />

Verifikation) an.<br />

the eCl cell library mainly addresses users who are<br />

already experienced in the use of Cadence Virtuoso as<br />

well as with the IHp design kit. For MpW-clients a first<br />

tested version in SGB25VD is available, including:<br />

- A Cadence-based set of 40 cells for basic logical<br />

function in layout <strong>and</strong> schematic,<br />

- Drivers <strong>and</strong> converters to <strong>and</strong> from the different<br />

logical levels of CMoS, peCl, <strong>and</strong> lVDS,<br />

- VCos (Voltage Controlled oscillators) <strong>and</strong> biasing<br />

circuits,<br />

- A digital behavioral description of the cells in<br />

VHDl including timing <strong>and</strong> load dependency for<br />

both differential <strong>and</strong> single-ended mode.<br />

the eCl synthesis of more complex circuits is done<br />

in two steps. Firstly, the logic synthesis is executed<br />

similarly to CMoS synthesis using a st<strong>and</strong>ard synthesis<br />

tool <strong>and</strong> an eCl cell library derivative in CMoS<br />

style. In this process the design can be adjusted in<br />

a wide range to fit the application using power <strong>and</strong><br />

timing constraints. (Fig. 15). the next step based on<br />

a self-developed tool converts the result back to the<br />

eCl cells of the original cell library <strong>and</strong> generates differential<br />

signals. this results in a net list suited for<br />

further Cadence based design using the original eCl<br />

cell library.<br />

IHp offers a complete design service (incl. layout <strong>and</strong><br />

verification) for this novel <strong>and</strong> unique ultra highspeed<br />

digital synthesis.<br />

Abb.15: Verlustleistung in Abhängigkeit von der max. Datenrate<br />

eines synthetisierten 8-Bit-Addierers in SGB25VD CMOS<br />

und ECL.<br />

Fig. 15: power versus data rate of a synthesized 8 bit adder in<br />

SGB25VD CMoS <strong>and</strong> eCl logic, respectively.<br />

A n n u A l R e p o R t 2 0 0 7


SiGe BiCMOS Technologie für 76 - 81 GHz<br />

Auto-Radar (Projekt KOKON)<br />

Im BMBF-Verbundprojekt KOKON (Oktober 2004 bis<br />

September 2007) untersuchten deutsche Automobilhersteller<br />

(Daimler), Zulieferer (Bosch, Continental),<br />

Mikroelektronikindustrie (Infineon, Atmel) und Forschungsinstitute<br />

gemeinsam die Einsatzfähigkeit von<br />

Schaltungen in SiGe-Technologie für Fern- und Nahbereichs-Radarsensoren<br />

bei 76,5 GHz bzw. 77 - 81 GHz.<br />

Projektziele des <strong>IHP</strong> waren die Entwicklung eines Radarsignalgenerators<br />

(spannungsgesteuerter Oszillator,<br />

VCO) als Demonstratorschaltung und Untersuchungen<br />

zu dessen Temperaturstabilität und Zuverlässigkeit.<br />

Radarsysteme in modernen Autos erhöhen sowohl<br />

die Sicherheit (Stop-<strong>and</strong>-go-Funktion, Kollisionswarnung),<br />

als auch den Fahrkomfort (Parkhilfe). Leider<br />

sind heutige Systeme entweder sehr teuer oder wie das<br />

kürzlich eingeführte 24-GHz-System aus frequenzregulatorischen<br />

Gründen nur Interimslösungen (in der EU<br />

zu ersetzen ab 2013). Eine sinnvolle Alternative sind<br />

Schaltungen in SiGe-Technologie für die zwei Radarvarianten:<br />

- 76,5 GHz Fernradar bis 200 m,<br />

- 79 + / - 2 GHz Ultra-Breitb<strong>and</strong> Nahbereichsradar.<br />

Neben den zu erfüllenden technischen Spezifikationen<br />

(möglichst hohe Ausgangsleistung bei niedrigem Phasenrauschen)<br />

werden an die Schaltungen auch hohe<br />

Anforderungen bezüglich Stabilität und Zuverlässigkeit<br />

bei Temperaturen zwischen -40 °C und +125 °C<br />

gestellt.<br />

Für das <strong>IHP</strong> ergaben sich innerhalb des Projekts folgende<br />

Arbeitsschwerpunkte:<br />

- Entwurf des Oszillators und Optimierung seiner<br />

Kernparameter (Ausgangsleistung, Phasenrauschen)<br />

anh<strong>and</strong> der Spezifikationen der Automobilzulieferer,<br />

- Optimierung der Temperaturstabilität (beispielsweise<br />

möglichst geringe Änderung der Oszillatorschwingfrequenz),<br />

- Untersuchung der Zuverlässigkeit von Halbleiterbauelementen<br />

und der Oszillatorschaltung.<br />

6 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

SiGe BiCMOS Technology for 76 - 81 GHz<br />

Automotive Radar (Project KOKON)<br />

Within the BMBF funded project KoKon (october 2004 –<br />

September 2007) German automobile manufacturers<br />

(Daimler), suppliers (Bosch, Continental), microelectronics<br />

industry (Infineon, Atmel), <strong>and</strong> research institutes<br />

jointly investigated the capability of 76.5 GHz<br />

long range <strong>and</strong> 77 – 81 GHz short range radar sensors<br />

in SiGe technology. IHp’s project goals were the<br />

development of voltage-controlled oscillators (VCo)<br />

with output buffers as demonstrator circuits <strong>and</strong> the<br />

investigation of their temperature stability <strong>and</strong> reliability.<br />

Radar sensors in modern cars enhance safety (“stop<strong>and</strong>-go”<br />

function, collision warning) <strong>and</strong> also driving<br />

comfort (parking aid). unfortunately, today’s systems<br />

are either very expensive or like the 24 GHz system<br />

just interim solutions (to be replaced in the eu from<br />

2013 on). promising alternative solutions are circuits<br />

in SiGe technology for two radar variants:<br />

- 76.5 GHz long range radar up to 200 m,<br />

- 79 + / - 2 GHz short range ultra-wideb<strong>and</strong> radar.<br />

Besides complying to the technical specifications<br />

(high output power with low phase noise) the circuits<br />

must also meet high dem<strong>and</strong>s on temperature stability<br />

<strong>and</strong> system reliability between -40 °C <strong>and</strong> +125 °C.<br />

there were three priorities for the IHp within the project:<br />

- design of the oscillator <strong>and</strong> optimization of its<br />

core parameters (output power, phase noise)<br />

according to the specifications of the automotive<br />

supplier,<br />

- optimization of temperature stability<br />

(e.g. least possible change of oscillator frequency),<br />

- investigation of device <strong>and</strong> circuit reliability.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Dabei wurden die Ergebnisse mit denen einer alternativen<br />

SiGe-Bipolartechnologie verglichen. Letztere unterscheidet<br />

sich von der des <strong>IHP</strong> in den HBT- Spezifikationen<br />

(z.B. <strong>and</strong>ere Grenzfrequenzen), dem Backend<br />

(Al-Metallisierung (<strong>IHP</strong>) zu Kupfer-Metallisierung)<br />

und den passiven Bauelementen (NMOS-Akkumulationsvaraktoren<br />

(<strong>IHP</strong>) als variable Kapazitäten zu reinen<br />

Bipolar-Varaktoren).<br />

Folgende Resultate konnten im Rahmen des Projektes<br />

erreicht werden:<br />

Es wurden spannungsgesteuerte Oszillatoren in differentieller<br />

Colpitts-Topologie entworfen und mit der<br />

SG25H1-Technologie des <strong>IHP</strong> hergestellt (Abb. 16).<br />

Für Schaltungen mit einem einstufigen Leistungsverstärker<br />

konnte durch Auswahl eines SiGe-Transistortyps<br />

mit maximaler Oszillationsfrequenz f max = 240 GHz die<br />

im Projekt als Ziel gesetzte Ausgangsleistung über +16<br />

dBm bei 77 GHz erreicht werden. Das Phasenrauschen<br />

bei 77 GHz betrug –90 dBc / Hz @ 1 MHz Offset.<br />

Additionally, these results were compared with results<br />

of an alternative SiGe bipolar process. this process<br />

differs from the IHp technology by HBt specifications<br />

(e.g. different transit frequencies), backend<br />

(Al (IHp) compared to Cu metallization), <strong>and</strong> passive<br />

components (nMoS accumulation (IHp) compared to<br />

bipolar varactors).<br />

the following results were achieved within the project:<br />

Differential voltage-controlled oscillators in Colpitts<br />

topology were designed for IHp’s SG25H1 technology<br />

(Fig. 16). When choosing a transistor-type with maximum<br />

oscillation frequency f max = 240 GHz, circuits<br />

with single-stage output buffer yielded the targeted<br />

output power of +16 dBm at 77 GHz. phase noise at<br />

77 GHz was –90 dBc / Hz @ 1 MHz offset.<br />

Abb. 16: Spannungsgesteuerter Oszillator mit einstufigem<br />

Leistungsverstärker für 77-GHz-Radarsignalgeneratoren.<br />

Fig. 16: Voltage controlled oscillator with single-stage output<br />

buffer for 77 GHz radar signal generators.<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


Abb. 17: Messung und Simulation von Basisstromdegradation und Hochfrequenz-Verstärkung eines SiGe<br />

HBT während Hochspannungs-Stressbelastung bei T = -40°C.<br />

Fig. 17: Measurement <strong>and</strong> simulation of base current degradation <strong>and</strong> RF current gain of a SiGe-HBt<br />

during high voltage stress at t = -40°C.<br />

8 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Die Anforderungen der Automobilindustrie bezüglich<br />

Temperaturstabilität und Zuverlässigkeit verlangen einen<br />

großen Aussteuerbereich des VCO bzw. eine möglichst<br />

geringe Änderung der Oszillatorfrequenz und<br />

Ausgangsleistung bis 125 °C. Bei einem Aussteuerbereich<br />

von 7 GHz betrug die Drift der Oszillatorfrequenz<br />

weniger als –1,5 GHz / 100 K. Dieser niedrige Wert<br />

konnte durch die Kombination von SiGe-HBT mit den<br />

NMOS-Varaktoren des CMOS-Moduls erreicht werden; es<br />

müssen dafür keine speziellen Bipolarvaraktoren entwickelt<br />

und integriert werden.<br />

Die Zuverlässigkeitsuntersuchungen an den HBT orientierten<br />

sich an den Belastungen im Oszillatorbetrieb,<br />

wobei besonders starke Basisstromdegradation bei<br />

niedrigen Temperaturen (bis -40 °C) und hoher Kollektor-Basis-Stressspannung<br />

(bis 3,0 V) auftraten.<br />

Durch Modellierung dieser Degradation konnte gezeigt<br />

werden, dass das Hochfrequenzverhalten der HBT im<br />

Bereich über 1 GHz nicht beeinträchtigt wird (Abb. 17)<br />

und somit unter diesen Gesichtspunkten eine hohe Zuverlässigkeit<br />

der Schaltungen zu erwarten ist.<br />

the automotive suppliers dem<strong>and</strong> for sufficient temperature<br />

stability <strong>and</strong> reliability requires a large tuning<br />

range of the VCo combined with least possible<br />

changes of oscillator frequency <strong>and</strong> output power<br />

up to 125 °C. the oscillator shows a tuning range of<br />

7 GHz. Additionally, an oscillator frequency drift of<br />

less than -1.5 GHz per 100 K was measured. this low<br />

drift was achieved by the combination of SiGe HBt<br />

<strong>and</strong> the nMoS varactors of the CMoS module; no special<br />

bipolar varactors had to be developed <strong>and</strong> introduced<br />

for this.<br />

the reliability stress tests of the HBts were chosen<br />

to emulate the stresses <strong>and</strong> strains during oscillator<br />

operation. Significant base current degradation<br />

occurred during stress at low temperatures (down<br />

to –40 °C) <strong>and</strong> high collector-base voltages (up to<br />

3.0 V). Modelling this degradation showed that the<br />

RF behaviour of the HBts is not impaired above 1 GHz<br />

(Fig. 17) <strong>and</strong> sufficient reliability is to be expected<br />

under these conditions.


Technologieplattform<br />

130-nm-BiCMOS-Technologie<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel des Projektes ist die Schaffung der halbleitertechnologischen<br />

Basis für die Entwicklung innovativer Kommunikationssysteme<br />

mit hohen Datenraten und hohen<br />

Trägerfrequenzen. Dafür werden SiGe-HBTs mit Grenzfrequenzen<br />

bis zu 300 GHz entwickelt und in einen 130nm-BiCMOS-Prozess<br />

für hochintegrierte Mixed-Signal-<br />

Schaltungen integriert.<br />

Für Anwendungen wie 100 Gbps Ethernet-Systeme,<br />

drahtlose Datenübertragungssysteme bei 60 GHz oder<br />

Automobilradar bei 77 GHz werden Halbleitertechnologien<br />

mit höchster Geschwindigkeitsperformanz bei<br />

niedrigem Energieverbrauch und höchster funktioneller<br />

Integration benötigt. Bisher sind keine Technologien<br />

für die gleichzeitige Erfüllung all dieser Forderungen<br />

verfügbar. Die 130-nm-SiGe-BiCMOS-Technologie soll<br />

diese technischen Voraussetzungen schaffen und für<br />

nationale und europäische Forschungs- und Entwicklungsprojekte<br />

zur Verfügung stellen.<br />

In dem Projekt wurden SiGe-HBTs mit maximalen Transitfrequenzen<br />

f T von 250 GHz und maximalen Oszillationsfrequenzen<br />

f max von 300 GHz entwickelt und in<br />

eine 130-nm-BiCMOS-Technologie mit sieben Metallisierungsebenen<br />

integriert. Das Potential dieser Technologie<br />

für Höchstgeschwindigkeits-Schaltungen konnte<br />

durch Ringoszillatoren mit Gatterverzögerungszeiten<br />

von 3,0 ps demonstriert werden. Das ist die kürzeste<br />

Schaltzeit, die bisher in einer Si-Technologie realisiert<br />

wurde.<br />

Der Querschnitt eines HBTs ist in Abb. 18 gezeigt. Die<br />

HBTs besitzen ein zum Emitterfenster selbstjustiertes<br />

niederohmiges Basisanschlussgebiet und eine effektive<br />

Emitterweite von 0,17 µm. Abb. 19 zeigt die erreichten<br />

Grenzfrequenzen f T und f max als Funktion der Emitterlänge.<br />

Die erreichten exzellenten Hochfrequenzparameter<br />

bis zu kleinsten Emittergrößen sind vorteilhaft<br />

für die Realisierung von Höchstgeschwindigkeits-Schaltungen<br />

bei einer moderaten Leistungsaufnahme. Für<br />

die Umsetzung der hohen Transistorgeschwindigkeiten<br />

in höchste Geschwindigkeiten der integrierten Schal-<br />

Technology Platform<br />

130 nm BiCMOS Technology<br />

the goal of the project is the development of a technology<br />

platform for innovative communication systems<br />

with high data rates <strong>and</strong> high carrier frequencies. For<br />

this purpose, SiGe HBts with oscillation frequencies<br />

up to 300 GHz are developed <strong>and</strong> integrated into a<br />

130 nm BiCMoS process suitable for highly integrated<br />

mixed-signal circuits.<br />

For applications such as 100 Gbps ethernet systems,<br />

wireless communication systems at 60 GHz or automotive<br />

radar at 77 GHz, semiconductor technologies<br />

combining highest speed performance with low power<br />

consumption <strong>and</strong> a very high level of functional<br />

integration are required. to date there are no technologies<br />

available which fulfill all these requirements<br />

simultaneously. the 130 nm SiGe BiCMoS technology<br />

aims to meet these dem<strong>and</strong>s <strong>and</strong> to provide the technological<br />

platform for national <strong>and</strong> european research<br />

<strong>and</strong> development projects.<br />

In the course of the project, SiGe HBts with peak transit<br />

frequencies of 250 GHz <strong>and</strong> maximum oscillation<br />

frequencies of 300 GHz were developed. the highspeed<br />

SiGe HBts were integrated into a 130 nm BiC-<br />

MoS technology with seven interconnect layers. the<br />

potential of this technology for highest speed circuit<br />

operation was demonstrated by ring oscillator gate<br />

delays of 3.0 ps. this is the shortest switching time<br />

realized in any Si technology up to now.<br />

A cross section of the HBt is shown in Fig. 18. the<br />

HBt features a low-resistive elevated base link region<br />

selfaligned to the emitter window <strong>and</strong> an effective<br />

emitter width of 0.17 µm. Fig. 19 shows the achieved<br />

frequencies f t <strong>and</strong> f max as a function of the emitter<br />

length. the achieved outst<strong>and</strong>ing RF performance<br />

down to smallest emitter sizes is beneficial for the<br />

realization of very high speed circuit blocks at moderate<br />

power consumption. For transforming the high<br />

transistor speed into highest circuit speed it is important<br />

to minimize all parasitic components including<br />

the metal interconnects. Fig. 20 shows gate delays of<br />

A n n u A l R e p o R t 2 0 0 7


tungen ist es notwendig, auch alle parasitären Kapazitäten<br />

und Widerstände der Metallverbindungen zu<br />

minimieren. In Abb. 20 sind Gatterverzögerungszeiten<br />

für zwei Ringoszillatorlayouts, die sich durch die parasitären<br />

Beiträge der Metallverbindungen unterscheiden,<br />

gezeigt. Die erreichte Rekordverzögerungszeit von 3,0 ps<br />

basiert neben der hohen Transistorgeschwindigkeit auf<br />

der Minimierung der parasitären Beiträge der Metallverbindungen<br />

auf dem Chip.<br />

Abb. 18: Transmissionselektronische Aufnahme eines HBTs mit<br />

einer Emitterweite von 0,17 µm.<br />

Fig. 18: transmission electron micrograph of an HBt with an<br />

emitter width of 0.17 µm.<br />

Abb. 19: Maximale Transitfrequenz f T und maximale Oszillationsfrequenz<br />

f max von HBTs mit Emitterweiten von 0,17 µm<br />

als Funktion der Emitterlänge.<br />

Fig. 19: peak transit frequency f t <strong>and</strong> maximum oscillation<br />

frequency f max of HBts with 0.17 µm emitter width<br />

as a function of emitter length.<br />

0 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

CMl ring oscillators for two circuit layouts differing<br />

in the parasitic capacitances <strong>and</strong> resistances of the<br />

metal interconnects. the demonstrated record gate<br />

delay of 3.0 ps is based on the high transistor speed<br />

as well as on the minimization of the parasitics of the<br />

metal interconnects.<br />

Abb. 20: Gatterverzögerungszeiten von CML-Ringoszillatoren als<br />

Funktion des Stromes pro Gatter. Zwei Schaltungen mit<br />

verschiedenen parasitären Beiträgen der Verdrahtungsebenen<br />

sind verglichen.<br />

Fig. 20: Gate delays vs. current per gate for CMl ring oscillators.<br />

two designs with different interconnect parasitics are<br />

compared.


Komplementärer LDMOS-Modul für hohe<br />

Spannungen ohne Epitaxie für eine<br />

0,25-µm-SiGe-BiCMOS-Plattform<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel der Arbeiten war die Integration eines komplementären<br />

LDMOS-Moduls für hohe Spannungen in einen<br />

0,25-µm-SiGe-BiCMOS-Prozess mit BV DSS < -70 V für<br />

den PLDMOS und > 80 V für den NLDMOS. Alternativ<br />

zur Schichtabscheidung mittels Epitaxie, wurde hier<br />

die tiefe N-Wanne für die High-Voltage-PLDMOS Transistoren<br />

durch eine 6 MeV Phosphor-Implantation hergestellt.<br />

Für das Produkt aus Durchbruchspannung und<br />

Transitfrequenz wurden Rekordwerte von über 900 VGHz<br />

erreicht.<br />

Wegen ihrer ausgezeichneten elektrischen Parameter<br />

wie Verstärkung, Wirkungsgrad, Linearität und niedrige<br />

Kosten werden LDMOS-Transistoren weithin in HF-Leistungsverstärkern<br />

für drahtlose Anwendungen eingesetzt.<br />

Herausforderungen bei der Integration von LD-<br />

MOS-Transistoren in einen hochskalierten CMOS-Prozess<br />

mit dünnem Gateoxid sind gute HF-Parameter, eine<br />

hohe Durchbruchspannung, ein kleiner Einschaltwiderst<strong>and</strong><br />

und eine akzeptable Parameterdegradation der<br />

Bauelemente. In letzter Zeit aufkommende neue LDMOS<br />

Anwendungsfelder zeigen sich im Bereich von vollständig<br />

integrierten Lösungen für Stromversorgungssysteme<br />

mit hohem Wirkungsgrad. Schaltfrequenzen von einigen<br />

MHz für komplementäre High-Voltage-LDMOS in<br />

der Ausgangsstufe des Leistungsverstärkers erfordern<br />

herausragend gute HF-Parameter, um die notwendigen<br />

Impulsanstiegszeiten im ns-Bereich zu ermöglichen.<br />

In den Abb. 21 und 22 sind die simulierten Dotierungsverteilungen<br />

der implementierten PLDMOS- und NLDMOS-<br />

Bauelementekonstruktionen gezeigt. Man beachte, dass<br />

die Gatelänge des inneren MOS-Transistors nicht selbstjustierend<br />

ist, sondern durch die Maskenschritte für die<br />

Wannen- und die LDD-Implantationen sowie die nachfolgenden<br />

Diffusionsvorgänge bestimmt ist.<br />

Complementary Epi Free High Voltage<br />

LdMOS Module for a 0.25 µm SiGe<br />

BiCMOS Platform<br />

the work focused on the integration of a low-cost,<br />

high-voltage complementary lDMoS module into an<br />

advanced industrial 0.25 µm SiGe BiCMoS process<br />

with BV DSS < -70 V <strong>and</strong> > 80 V for the plDMoS <strong>and</strong> the<br />

nlDMoS, respectively. As an alternative to an epitaxially<br />

grown layer the deep n-well for the high voltage<br />

plDMoS was formed by a single 6 MeV p implantation<br />

step. BV DSS *f t of the nlDMoS accomplished record values<br />

> 900 VGHz.<br />

lDMoS transistors are widely used in RF power amplifiers<br />

for wireless applications because of their excellent<br />

electrical parameters such as gain, efficiency,<br />

linearity, reliability <strong>and</strong> low cost. Key challenges for<br />

the integration of lDMoS transistors into a highly<br />

scaled CMoS process with a thin gate oxide are good<br />

RF performance, high break-down voltage <strong>and</strong> low<br />

on-resistance at acceptable device degradation. Recently<br />

emerging new applications of lDMoS are fully<br />

integrated, high performance power management devices.<br />

Switching frequencies of several MHz for the<br />

complementary high voltage lDMoS transistors in the<br />

power amplifier output stage require an outst<strong>and</strong>ing<br />

RF performance to meet the requirements for a pulse<br />

rising time in the ns range.<br />

Figs. 21 <strong>and</strong> 22 show the simulated doping distributions<br />

for the implemented plDMoS <strong>and</strong> nlDMoS<br />

device constructions, respectively. note, that gate<br />

length of the inner MoS transistor is not self-aligned,<br />

but formed by lithographic mask steps for the wells<br />

<strong>and</strong> lDD implantations <strong>and</strong> its subsequent diffusion<br />

processes.<br />

A n n u A l R e p o R t 2 0 0 7


Abb. 21: Simulierte Dotierungsverteilung für den HV-NLDMOS<br />

Transistor.<br />

Fig. 21: Simulated doping distribution for the HV-nlDMoS<br />

transistor.<br />

2 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Die Abbildungen 23 und 24 zeigen die gemessenen<br />

Transfer- und Durchbruchkennlinien der präparierten<br />

LDMOS-Transistoren. Tabelle 1 gibt eine Zusammenfassung<br />

der extrahierten elektrischen Parameter.<br />

Schaltfrequenzen von einigen 10 MHz erlauben den<br />

Entwurf von Gleichspannungsw<strong>and</strong>lern mit großem<br />

Wirkungsgrad und mit kleinen Werten für die Kapazitäten<br />

am Ein- und Ausgang sowie die Induktivität am<br />

Ausgang. Die Integration der Spule in das IC-Gehäuse<br />

des DC / DC-W<strong>and</strong>lers oder noch besser ihre Integration<br />

auf dem Chip ermöglicht einen stark reduzierten Platzbedarf<br />

auf der Leiterkarte bei geringeren Herstellungskosten<br />

und verbesserter Systemleistung.<br />

Die modulare kostengünstige Integration von HF-<br />

LDMOS-Transistoren mit hohen Sperrspannungen in die<br />

0,25-µm-CMOS / SiGe-BiCMOS-Technologie-Plattform<br />

des <strong>IHP</strong> ist auf Anwendungen wie HF-Leistungsverstärker,<br />

D-Audio Verstärker, effiziente Stromversorgungssysteme,<br />

Treiber für MEMs und Displays sowie Baugruppen<br />

für Kraftfahrzeuge mit einem 42 V Bordnetz der<br />

nächsten Generation gerichtet.<br />

Abb. 22: Simulierte Dotierungsverteilung für den HV-PLDMOS<br />

Transistor mit tiefer HV-n-Wanne.<br />

Fig. 22: Simulated doping distribution for HV-plDMoS<br />

transistor with deep HV-n-well.<br />

Figs. 23 <strong>and</strong> 24 depict the measured transfer <strong>and</strong><br />

breakdown characteristics of the prepared lDMoS<br />

transistors. table 1 gives a summary of the extracted<br />

electrical parameters.<br />

Switching frequencies up to several 10 MHz enable<br />

DC / DC converter designs with high conversion efficiency<br />

at significantly reduced amounts of input <strong>and</strong><br />

output capacitances <strong>and</strong> the output inductance. the<br />

integration of the inductor into the package of the<br />

DC / DC converter or even better its integration on<br />

chip enables a dramatically reduced space on the pCboard<br />

at lowered fabrication cost <strong>and</strong> enhanced system<br />

performance.<br />

the modular cost-effective integration of complementary<br />

RF lDMoS transistors with high blocking voltages<br />

into IHp’s industrial 0.25 µm CMoS / SiGe BiC-<br />

MoS technology platform addresses applications such<br />

as RF power amplifiers, class D audio amplifiers, efficient<br />

power management, MeMs drivers, display drivers<br />

<strong>and</strong> automotive applications for the next generation<br />

42 V automotive power net.


Abb. 23: Gemessene Transferkennlinien der präparierten<br />

HV-PLDMOS und HV-NLDMOS Transistoren.<br />

Fig. 23: Measured transfer characteristics of prepared<br />

HV-plDMoS und HV-nlDMoS transistors.<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Abb. 24: Gemessene Durchbruchkennlinien der präparierten<br />

HV-PLDMOS und HV-NLDMOS Transistoren.<br />

Fig. 24: Measured break-down characteristics of prepared<br />

HV-plDMoS und HV-nlDMoS transistors.<br />

Tabelle 1: Gemessene elektrische Parameter der präparierten HV-PLDMOS und HV-NLDMOS Transistoren im Überblick.<br />

table 1: overview of measured electrical parameters of prepared HV-plDMoS und HV-nlDMoS transistors.<br />

A n n u A l R e p o R t 2 0 0 7


Entwicklung von Prozess-Modulen<br />

Fotolithografie<br />

Ziel der Teilschrittentwicklungen ist die Verbesserung<br />

der Fotolithografie für die 130-nm-SiGe-BiCMOS- Technologie.<br />

Darüber hinaus werden durch neuartige lithografische<br />

Technologien, z. B. Doppelbelichtungs- und<br />

Doppelstrukturierungs-Verfahren, kosteneffektive<br />

< 130 nm „Half-pitch“-Strukturierungen zur Verfügung<br />

gestellt.<br />

1. Optische Proximity Korrektur für<br />

130-nm-SiGe-BiCMOS<br />

Die 130-nm-SiGe-BiCMOS-Technologie bietet integrierte<br />

high-performance HBTs mit hervorragenden HF-Eigenschaften<br />

und Grenzfrequenzen f T = 250 GHz und f max =<br />

300 GHz (BV CEO = 1,7 V). Für die kritischen Ebenen in<br />

der Fotolithografie wurde eine optische Proximity Korrektur<br />

(OPC) entwickelt. Wir entwickeln eine regelbasierte<br />

(RB-OPC) und modellbasierte Optische Proximity<br />

Korrektur (MB-OPC). Die Realisierung der RB-OPC und<br />

MB-OPC erfolgt mit der Software Mentor Calibre. Die<br />

Abb. 25 zeigt das Layout und die Abbildung der Strukturen<br />

auf dem Wafer für unkorrigierte, RB-OPC und MB-<br />

OPC Strukturen der Shallow Trench- und Gateebene.<br />

A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Advanced Process Module Research<br />

Photolithography<br />

the goal of the module research is the improvement<br />

of the photolithography for the 130 nm SiGe BiCMoS<br />

technology. Furthermore, new lithographic technologies,<br />

e.g. double exposure <strong>and</strong> double patterning<br />

techniques, are used to provide cost-effective<br />

< 130 nm “half-pitch” patterning.<br />

1. Optical Proximity Correction for<br />

130 nm SiGe BiCMOS<br />

the 130 nm SiGe BiCMoS technology provides integrated<br />

high-performance HBts with excellent RF-performance<br />

<strong>and</strong> cut-off frequencies f t = 250 GHz <strong>and</strong> f max =<br />

300 GHz (BV Ceo = 1.7 V). An optical proximity correction<br />

(opC) was developed for the critical layers in<br />

photolithography. We are developing a rule-based<br />

(RB-opC) <strong>and</strong> model-based optical proximity correction<br />

(MB-opC). For the realization of the RB-opC <strong>and</strong><br />

MB-opC we use Mentor Calibre software. Fig. 25 shows<br />

the layout <strong>and</strong> the final wafer printing for the uncorrected,<br />

RB-opC <strong>and</strong> MB-opC structures of the shallow<br />

trench <strong>and</strong> gate layer.<br />

Abb. 25: Layout und die Abbildung der Strukturen auf dem Wafer für unkorrigierte, RB-OPC und MB-OPC Strukturen der Shallow Trench- und<br />

Gateebene (von links nach rechts).<br />

Fig. 25: layout <strong>and</strong> final wafer printing for the uncorrected, RB-opC <strong>and</strong> MB-opC pattern of the shallow trench <strong>and</strong> gate layer<br />

(from left to right).


2. Doppelbelichtungs-Technologie für<br />

KrF-Lithografie mit Binär-Masken<br />

Die Doppelbelichtungs- (DEL) und Doppelstrukturierungs-Lithografie<br />

(DPL) sind zwei neue Lithografietechniken<br />

zur Verbesserung des Auflösungsvermögens.<br />

Der Kerngedanke beider Techniken ist die Aufteilung des<br />

Layouts in zwei Masken, wodurch die Minimalabstände<br />

auf dem Wafer verringert werden können. Bei der DEL<br />

wird die Fotolackmaske in zwei Lithografieschritten<br />

strukturiert. In der DPL nutzt man eine Hartmaske,<br />

welche in zwei Lithografie- und Ätzschritten strukturiert<br />

wird. Diese Techniken erweitern das Potenzial<br />

der KrF-Lithografie. Die DEL und DPL können benutzt<br />

werden um kritische Ebenen für Sonderanwendungen<br />

zu integrieren, die eine Auflösungsverbesserung in der<br />

130-nm-SiGe-BiCMOS-Technologie benötigen. Ergebnisse<br />

der DEL mit der KrF-Lithografie (NA = 0,82) zeigen<br />

für 100-nm-Strukturen mit 200 nm Pitch (Abb. 26)<br />

ein akzeptables Prozessfenster mit 490 nm Fokustiefe.<br />

Die Messung über den Wafer zeigt eine CD-Schwankung<br />

≤ 7 nm (3 σ). Für DPL (Abb. 27) wurden aufgrund der<br />

notwendigen Waferjustierung bei der zweiten Belichtung<br />

CD-Schwankungen zwischen 10 – 15 nm erreicht.<br />

Abb. 26: Doppelbelichtungslithografie (DEL) mit 100 nm<br />

Strukturgröße und 200 nm Pitch.<br />

Fig. 26: Double exposure lithography (Del) with 100 nm<br />

pattern <strong>and</strong> 200 nm pitch.<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

2. double Exposure Technology for<br />

KrF Lithography using Binary Masks<br />

Double exposure- (Del) <strong>and</strong> double patterning lithography<br />

(Dpl) are two new lithography techniques to<br />

improve the resolution limit. the basic idea of both<br />

techniques is to split the layout into two masks to<br />

achieve smaller pitches for the final layout on the<br />

wafer. In Del the resist mask is structured in two lithography<br />

steps. the Dpl uses a hard mask which is<br />

structured in two litho – etch steps. the application<br />

of these techniques enhances the capability of the<br />

KrF lithography. the Del <strong>and</strong> Dpl can be used for<br />

the integration of critical layers in special applications<br />

requiring resolution enhancement in 130 nm<br />

SiGe BiCMoS technology. the results of Del with KrF<br />

lithography (nA = 0.82) demonstrate an acceptable<br />

process window with 490 nm depth of focus for 100<br />

nm patterns with 200 nm pitch (Fig. 26). the wafer<br />

printing results show a CD uniformity ≤ 7 nm (3σ).<br />

For the Dpl (Fig. 27) a CD uniformity approximate of<br />

10 – 15 nm was achieved due to the necessary wafer<br />

alignment for the second exposure.<br />

Abb. 27: 100-nm-Strukturen mit DPL strukturiert und in Silizium<br />

geätzt.<br />

Fig. 27: 100 nm pattern with Dpl structured <strong>and</strong> etched in<br />

silicon.<br />

A n n u A l R e p o R t 2 0 0 7


Selektives Ätzen von Poly- Si / SiGe und<br />

epitaktischem SiGe<br />

Poly-Si und epitaktisches SiGe wurden als Opferschichten<br />

in Kombination mit selektivem Ätzen aufgrund<br />

ihres Potentials für verschiedene Anwendungen,<br />

z.B. für MEMS (Micro-Electro-Mechanical System) oder<br />

SON (Silicon-on-Nothing)-Strukturen, untersucht.<br />

Ziel dieser Arbeiten ist es, für Poly-Si und epitaktisches<br />

SiGe Ätzverfahren mit hoher Selektivität gegenüber<br />

epitaktischem Si zu entwickeln. Dabei werden die Epitaxieprozesse<br />

des <strong>IHP</strong> für Si und SiGe genutzt.<br />

Selektives chemisches Gasphasenätzen (CVE) von Poly-<br />

Si / SiGe und epitaktischem SiGe gegenüber Si wird<br />

mittels HCl in einer Einscheiben-CVD-Anlage bei reduziertem<br />

Druck durchgeführt. Dadurch ist es möglich<br />

differentielle SiGe <strong>and</strong> Si Abscheidung und selektive<br />

polykristalline SiGe und Si Ätzung zu kombinieren und<br />

als alternative Lösung zum herkömmlichen selektiven<br />

Epitaxiewachstum unter Vermeidung des bekannten<br />

„Loading Effect“ zu nutzen.<br />

Der Arrhenius-Plot der Ätzrate von Si <strong>and</strong> SiGe ist in<br />

Abb. 28 dargestellt. Bei konstanter Temperatur steigt<br />

die Ätzrate mit wachsender Ge-Konzentration, d.h. die<br />

Selektivität gegenüber Si wächst mit höherer Ge-Konzentration.<br />

Die Ätzrate von Si und SiGe steigt mit der<br />

Temperatur. Die Temperaturabhängigkeit der Ätzraten<br />

ist unabhängig von der Ge-Konzentration (innerhalb<br />

der Toleranz von ±10%). Das bedeutet, dass die Aktivierungsenergie<br />

nicht von der Ge-Konzentration abhängt.<br />

Die effektive Aktivierungsenergie wurde auf etwa 2,1 eV<br />

geschätzt.<br />

6 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Selective Etching of Poly-Si / SiGe <strong>and</strong> Epitaxial<br />

SiGe<br />

poly-Si <strong>and</strong> epitaxial SiGe as sacrificial layers in combination<br />

with selective etching were investigated because<br />

of their potential for various applications, e.g.<br />

for MeMS (Microelectromechanical Systems) or for<br />

Son (Silicon-on-nothing) structures.<br />

the goal of this project is to develop poly-Si <strong>and</strong> epitaxial<br />

SiGe etching processes with high selectivity<br />

versus epitaxial Si by using IHp Si / SiGe epitaxy process<br />

capability.<br />

Selective chemical vapor phase etching (CVe) of poly-<br />

Si / SiGe <strong>and</strong> epitaxial SiGe versus epitaxial Si is carried<br />

out using HCl in a single wafer reduced pressure<br />

CVD system. therefore, it is possible to combine differential<br />

SiGe <strong>and</strong> Si growth <strong>and</strong> selective polycrystalline<br />

SiGe <strong>and</strong> Si etching as an alternative solution<br />

to selective epitaxial growth, suppressing the known<br />

“loading effect” of the selective epitaxy process.<br />

the Arrhenius plot of etch rate of Si <strong>and</strong> SiGe is shown<br />

in Fig. 28. At constant etching temperature, the etch<br />

rate increases with increasing Ge concentration, i.e.<br />

selectivity for Si increases with increasing Ge concentration.<br />

the etch rate of Si <strong>and</strong> SiGe increases with<br />

increasing temperature. the slopes of the etch rate<br />

as a function of the reciprocal temperature are almost<br />

identical for all Ge concentrations (within a tolerance<br />

of ±10%). this means that the activation energy does<br />

not depend on the Ge concentration. the effective<br />

activation energy was estimated to be about 2.1 eV.


Abb. 28: Ätzrate von SiGe mit 10, 20 <strong>and</strong> 30% Ge-Anteil und Si als<br />

Funktion der reziproken Temperatur.<br />

Fig. 28: etch rate of SiGe with 10, 20 <strong>and</strong> 30% Ge content <strong>and</strong><br />

Si as function of 1 / t.<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Die Dotierung des SiGe Schichtstapels kann die Ätzrate<br />

beeinflussen. Die Ätzrate wird durch B-Dotierung nicht<br />

beeinflusst. Sie wird jedoch durch eine wachsende P-<br />

Konzentration erhöht und sie sinkt mit steigender C-<br />

Konzentration (Abb. 29).<br />

Diese Ergebnisse ermöglichen eine verbesserte Kontrollierbarkeit<br />

der Ätzprozesse durch die Nutzung dotierter<br />

Schichten für verschiedene Anwendungen.<br />

Doping of the SiGe layer stack can influence the etch<br />

rate. the etch rate of SiGe is not changed by B doping.<br />

It is increased with increasing p concentration<br />

<strong>and</strong> decreased with increasing C concentration<br />

(Fig. 29).<br />

these results enable improved process controllability<br />

of the etching process using doped layers for different<br />

applications.<br />

Abb. 29: REM-Abbildung des Querschnittes einer Probe von<br />

C-dotiertem Si 0,7 Ge 0,3 nach HCI-Ätzen. C-Konzentrationen<br />

in den SiGe-Schichten C0, C1 und C2 sind: undotiert,<br />

8,0×10 19 cm -3 bzw. 1,3×10 20 cm -3 .<br />

Fig. 29: Cross section SeM image of the sample of C-doped<br />

Si 0.7 Ge 0.3 after HCl etching. C concentrations in the<br />

SiGe layer of C0, C1 <strong>and</strong> C2 are: non-doped, 8.0×10 19 cm -3<br />

<strong>and</strong> 1.3×10 20 cm -3 , respectively.<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


Materialien für die Mikro- und<br />

Nanoelektronik<br />

AVD von HfO 2 und Sr 4 Ta 2 O 9 für<br />

MIM-Anwendungen<br />

Die Entwicklung von Bauelementen für die drahtlose<br />

Kommunikation treibt die Entwicklung von Metall-<br />

Isolator-Metall-Kondensatoren (MIM) mit kleineren<br />

Grundflächen und hoher Kapazitätslinearität an. Die<br />

Reduzierung der Kondensatorfläche kann durch den<br />

Gebrauch von Hoch-k-Materialien erreicht werden.<br />

Dielektrische Sr 4 Ta 2 O 9 - und HfO 2 -Schichten wurden in<br />

einem Atomic Vapor Deposition (AVD) Tricent-Reaktor<br />

von AIXTRON mittels monomolekuarer flüssiger Präkursoren<br />

vom Typ Sr[Ta(OC 2 H 5 ) 5 -(OC 2 H 4 OCH 3 )] 2 für Sr 4 Ta 2 O 9<br />

und vom Typ Hf(NMeEt) 4 für HfO 2 abgeschieden.<br />

Röntgenbeugungsuntersuchungen zeigten, dass die<br />

Sr 4 Ta 2 O 9 -Schichten amorph wachsen, während die HfO 2 -<br />

Schichten in der polykristallinen kubischen Phase abgeschieden<br />

wurden.<br />

Die normalisierten Kapazitätsänderungen (C(V) / C 0 )<br />

von HfO 2 - und Sr 4 Ta 2 O 9 -MIM-Kondensatoren mit unterschiedlichen<br />

Schichtdicken sind in Abb. 30 dargestellt.<br />

Die Kapazitätsänderung nimmt mit zunehmender<br />

Schichtdicke ab. Zudem ist die Nichtlinearität von<br />

Sr 4 Ta 2 O 9 schwächer ausgeprägt.<br />

Die Kapazitäts-Spannungs-Linearität ist ein wichtiger<br />

Parameter für die Anwendung von MIM-Kondensatoren<br />

in integrierten Schaltungen. Entsprechend der ITRS-<br />

Roadmap muss der quadratische Kapazitäts-Spannungs-Koeffizient<br />

α kleiner als 100 ppm / V 2 sein. HfO 2 -<br />

Kondensatoren bieten eine maximale Kapazitätsdichte<br />

von 3,5 fF / µm 2 , während Sr 4 Ta 2 O 9 -MIMs eine Kapazitätsdichte<br />

von 4,5 fF / µm 2 mit 100 ppm / V 2 zulassen.<br />

Die dielektrischen Durchbruchspannungen, die mittels<br />

I(V)-Messungen bestimmt wurden, sind in Abb. 31 dargestellt.<br />

Mit Hilfe einer linearen Approximation wurden<br />

die Durchbruchfeldstärken für HfO 2 (5,8 MV / cm) und<br />

für Sr 4 Ta 2 O 9 (3,2 MV / cm) bestimmt.<br />

8 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Materials for Micro- <strong>and</strong><br />

Nanoelectronics<br />

AVd of HfO 2 <strong>and</strong> Sr 4 Ta 2 O 9 for MIM<br />

Applications<br />

the development of wireless devices promotes the development<br />

of metal-insulator-metal (MIM) capacitors<br />

with smaller area sizes <strong>and</strong> with high capacitance<br />

linearity over a broad voltage range. the reduction<br />

of capacitor area can be achieved by using high-k<br />

dielectric materials.<br />

Dielectric Sr 4 ta 2 o 9 <strong>and</strong> Hfo 2 thin films were deposited<br />

in the AIXtRon Atomic Vapor Deposition (AVD) tricent<br />

reactor using monomolecular liquid precursors<br />

of the type Sr[ta(oC 2 H 5 ) 5 -(oC 2 H 4 oCH 3 )] 2 for Sr 4 ta 2 o 9<br />

<strong>and</strong> of the type Hf(nMeet) 4 for Hfo 2 .<br />

X-ray diffraction indicated that Sr 4 ta 2 o 9 layers were<br />

amorphous while Hfo 2 films were deposited in the<br />

poly-crystalline cubic phase.<br />

the normalized capacitance variation (C(V) / C 0 ) of<br />

Hfo 2 <strong>and</strong> Sr 4 ta 2 o 9 MIM capacitors with selected thicknesses<br />

are illustrated in Fig. 30. Variation of capacitance<br />

is reduced with increasing thickness of the<br />

film. Furthermore, the nonlinearity of Sr 4 ta 2 o 9 based<br />

capacitors is less pronounced.<br />

the capacitance-voltage linearity is an important<br />

parameter for the application of MIM capacitors in<br />

mixed signal ICs. According to the ItRS roadmap, the<br />

required value of the quadratic voltage-capacitance<br />

coefficient α must be smaller than 100 ppm / V 2 . Setting<br />

100 ppm / V 2 as upper limit, Hfo 2 capacitors provide<br />

a maximum capacitance density of 3.5 fF / µm 2 ,<br />

while Sr 4 ta 2 o 9 MIMs permit a capacitance of 4.5<br />

fF / µm 2 .<br />

the dielectric breakdown voltages, determined from<br />

I(V) curves, are shown in Fig. 31. the dielectric<br />

breakdown fields of Hfo 2 (5.8 MV / cm) <strong>and</strong> Sr 4 ta 2 o 9<br />

(3.2 MV / cm) were determined by linear fits.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Die Zuverlässigkeit wurde mittels zeitabhängiger<br />

dielektrischer Durchbruchsmessungen (TDDB) bei<br />

Raumtemperatur untersucht. Aus der Analyse der<br />

Durchbruchsdaten wurde die mittlere Durchbruchszeit<br />

berechnet. Die extrapolierten Betriebsspannungen für<br />

eine Lebensdauer des Bauelementes von 10 Jahren betragen<br />

für MIM-Kondensatoren mit HfO 2 (3,5 fF / µm 2 )<br />

9 V und für solche mit Sr 4 Ta 2 O 9 (4,5 fF / µm 2 ) 3 V.<br />

Abb. 30: Spannungsabhängigkeit der normalisierten Kapazität von<br />

HfO 2 (rot) und Sr 4 Ta 2 O 9 (grün) MIM-Kondensatoren.<br />

Fig. 30: Voltage dependence of the normalized capacitance of<br />

Hfo 2 (red) <strong>and</strong> Sr 4 ta 2 o 9 (green) MIM capacitors.<br />

the reliability was studied by applying time Dependent<br />

Dielectric Breakdown (tDDB) tests at room temperature.<br />

From the analysis of breakdown data, mean<br />

time to failure is calculated. the extrapolated operating<br />

voltages for 10 years lifetime of MIMs with Hfo 2<br />

(3.5 fF / µm 2 ) <strong>and</strong> Sr 4 ta 2 o 9 (4.5 fF / µm 2 ) are 9 V <strong>and</strong><br />

3 V, respectively.<br />

Abb. 31: Zeitabhängige Durchbruchscharakteristika für<br />

HfO 2 (rot) und Sr 4 Ta 2 O 9 (grün) MIM-Kondensatoren.<br />

Fig. 31: time to breakdown characteristics of Hfo 2 (red)<br />

<strong>and</strong> Sr 4 ta 2 o 9 (green) MIM capacitors.<br />

A n n u A l R e p o R t 2 0 0 7


Amorphe und kristalline<br />

BaHfO 3 -Schichten für DRAM-Anwendungen<br />

Die aktuelle Forschung ist maßgeblich auf die Verbesserung<br />

der dielektrischen Konstante von HfO 2 fokussiert,<br />

um die Leckströme bei geringen äquivalenten<br />

Oxidschichtdicken zu reduzieren. Es gibt aber auch ein<br />

wachsendes Interesse an kristallinen Verbindungen, die<br />

die ABO 3 -Perovskit-Struktur besitzen, wo A ein erdalkalisches<br />

Element und B ein Element der Titan-Untergruppe<br />

ist. Soweit wir wissen, gibt es noch keine Veröffentlichungen,<br />

die die dielektrischen Eigenschaften<br />

von BaHfO 3 -Schichten in einem für mikroelektronische<br />

Anwendungen relevanten Dickenbereich (< 50 nm)<br />

betreffen. Deshalb haben wir uns der Präparation und<br />

Charakterisierung von dünnen dielektrischen BaHfO 3 -<br />

Schichten im Hinblick auf Anwendungen in Dynamic<br />

R<strong>and</strong>om Access Memory (DRAM) Speicher-Kondensatoren<br />

gewidmet.<br />

BaHfO 3 -Schichten wurden durch gleichzeitiges Verdampfen<br />

von HfO 2 und BaO in einer Molekularstrahl-Beschichtungskammer<br />

präpariert. Als Substrate dienten<br />

12 nm dicke TiN-Schichten auf Si(100)-Wafern, die mittels<br />

eines Magnetrons gesputtert wurden. Während der<br />

Abscheidung des Dielektrikums betrug die Substrattemperatur<br />

400 °C. Die chemische Zusammensetzung der<br />

dielektrischen Schichten wurde in situ mittels Photoelektronenspektroskopie<br />

(XPS) kontrolliert und ex situ<br />

mittels Rutherford-Rückstreumessungen bestätigt. Die<br />

physikalische Dicke der Dielektrika wurde durch Röntgen-Reflektometrie<br />

(XRR) und die Kristallinität sowohl<br />

durch Röntgen-Beugung (XRD) als auch mit Hilfe der<br />

Durchstrahlungs-Elektronenmikroskopie (TEM) bestimmt.<br />

Die kapazitive äquivalente Dicke (CET) und<br />

die Leckstromwerte wurden aus Kapazitäts-Spannungs-<br />

(CV) und Strom-Spannungs-Messungen (JV) extrahiert,<br />

die an Au / Dielektrikum / TiN-Kondensatoren mit einer<br />

Fläche von 1 x 10 -3 cm 2 vorgenommen wurden. Ausgewählte<br />

Proben wurden nach der Beschichtung einer<br />

schnellen Wärmebeh<strong>and</strong>lung (RTA) in N 2 für 15 s bei<br />

verschiedenen Temperaturen unterzogen.<br />

60 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Amorphous <strong>and</strong> Crystalline<br />

BaHfO 3 Layers for dRAM Applications<br />

Current research is primarily focused on improving<br />

the dielectric constant of Hfo 2 to reduce leakage<br />

currents at low equivalent oxide thicknesses. there<br />

is also a growing interest in crystalline compounds<br />

having the perovskite ABo 3 structure, where A is an<br />

alkaline earth element <strong>and</strong> B is an element of the titanium<br />

subgroup. to the best of our knowledge, there<br />

are no publications yet concerning the dielectric properties<br />

of BaHfo 3 layers with thicknesses in the range<br />

relevant for microelectronic applications (< 50 nm).<br />

therefore, we have turned our attention to the preparation<br />

<strong>and</strong> characterization of thin BaHfo 3 dielectric<br />

layers in view of dynamic r<strong>and</strong>om access memory<br />

(DRAM) storage capacitor applications.<br />

BaHfo 3 films were prepared by co-evaporation of<br />

Hfo 2 <strong>and</strong> Bao in a molecular beam deposition chamber.<br />

Magnetron sputtered 12 nm thick tin layers on<br />

Si(100) were used as substrates. During dielectric<br />

deposition, the substrate temperature was kept at<br />

400 °C. the chemical composition of the dielectric<br />

layers was controlled by in-situ X-ray photoemission<br />

spectroscopy (XpS) <strong>and</strong> verified by ex-situ Rutherford<br />

backscattering measurements. physical thickness of<br />

the dielectrics was measured by X-ray reflectometry<br />

(XRR) <strong>and</strong> the crystallinity both by X-ray diffraction<br />

(XRD) <strong>and</strong> transmission electron microscopy (teM).<br />

Capacitance equivalent thickness (Cet) <strong>and</strong> leakage<br />

current values were extracted from capacitance-voltage<br />

(CV) <strong>and</strong> current-voltage (JV) measurements<br />

performed on Au / dielectric / tin capacitors with an<br />

area of 1 x 10 -3 cm 2 . Selected samples were subjected<br />

to post deposition rapid thermal annealing (RtA) in<br />

n 2 ambient for 15 s at various temperatures.


In Abb. 32 sind die wesentlichen Ergebnisse der elektrischen<br />

Messungen zusammengestellt. Die dielektrischen<br />

Konstanten der untersuchten Dielektrika werden in<br />

Abb. 32(a) mitein<strong>and</strong>er verglichen. Der k-Wert von monoklinem<br />

HfO 2 ist ungefähr 19 und somit in guter Übereinstimmung<br />

mit veröffentlichten Daten. Durch Hinzumischen<br />

von Ba kommt es zu einer leichten Erhöhung<br />

der Dielektrizitätskonstante, so dass ein Wert von ~ 23<br />

für amorphes BaHfO 3 erhalten wird. Die Kristallisation<br />

in die c-BaHfO 3 -Phase, nachgewiesen durch XRD, wird<br />

durch einen abrupten Anstieg der Dielektrizitätskonstante<br />

auf 38 begleitet.<br />

In Abb. 32(b) ist der Einfluss der RTA-Beh<strong>and</strong>lung auf<br />

die Leckströme in BaHfO 3 -Proben dargestellt. Amorphe<br />

BaHfO 3 -Schichten erfüllen die DRAM-Leckstromerfordernisse<br />

(J < 10 -8 A / cm 2 @ 1 V) für CET-Werte herunter<br />

bis zu ~ 2 nm. Die RTA-induzierte Kristallisation<br />

dieser Schichten ergibt eine signifikante Verringerung<br />

von CET infolge einer verbesserten Dielektrizitätskonstante<br />

und einer Verdichtung der dielektrischen Schicht.<br />

Abb. 32: Vergleich zwischen m-HfO 2 , a-BaHfO 3 und c-BaHfO 3 .<br />

Fig. 32: Comparison between m-Hfo 2 , a-BaHfo 3 , <strong>and</strong> c-BaHfo 3 .<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

In Fig. 32, the main results of the electrical measurements<br />

are summarized. the dielectric constants of<br />

the investigated dielectrics are compared in Fig.<br />

32(a). the k-value of the monoclinic Hfo 2 is approx.<br />

19, which is in good agreement with published data.<br />

Admixture of Ba results in a slight increase of the<br />

permittivity yielding a value of approx. 23 for amorphous<br />

BaHfo 3 . Crystallization in the c-BaHfo 3 phase<br />

detected by XRD is accompanied by an abrupt increase<br />

of the dielectric constant to 38.<br />

In Fig. 32(b), the influence of the RtA treatment on<br />

leakage currents in BaHfo 3 samples is shown. Amorphous<br />

BaHfo 3 layers meet the DRAM leakage current<br />

requirement (J < 10 -8 A / cm 2 @ 1 V) for Cet values<br />

down to approx. 2 nm. RtA induced crystallization of<br />

these films results in a significant reduction of the<br />

Cet due to improved dielectric constant <strong>and</strong> densification<br />

of the dielectric film. At the same time, however,<br />

a substantial increase in the leakage current density<br />

is observed. leakage current characteristics of<br />

thin c-BaHfo 3 layers were further investigated using<br />

temperature dependent measurements summarized<br />

in Fig. 33 showing leakage JV curves measured for<br />

an about 8 nm thick film at different temperatures<br />

under tin substrate injection. the currents detected<br />

at lower voltages are noisy <strong>and</strong> show only weak temperature<br />

dependence. Given the voltage dependence<br />

<strong>and</strong> the magnitude of these currents, we suppose that<br />

they are associated with macroscopic defects (“hot<br />

spots”), but any specific characterization of these defects<br />

is difficult at this stage of research. on the other<br />

h<strong>and</strong>, it seems to be clear that leakage currents in the<br />

higher voltage range are dominated by point defects<br />

presumably also associated with some hot spot areas.<br />

In this strongly temperature dependent leakage regime,<br />

the concentration of carriers is determined by<br />

carrier trapping <strong>and</strong> detrapping, with voltage dependence<br />

resembling a poole-Frenkel process.<br />

A n n u A l R e p o R t 2 0 0 7<br />

6


Gleichzeitig wird jedoch eine deutliche Zunahme der<br />

Leckstromdichte beobachtet. Leckstromcharakteristika<br />

der dünnen c-BaHfO 3 -Schichten wurden weiter unter<br />

Verwendung temperaturabhängiger Messungen untersucht,<br />

wie in Abb. 33 für eine ~ 8 nm dicke Schicht<br />

dargestellt ist. Die JV-Leckstromkurven wurden unter<br />

TiN-Substrat-Injektion für verschiedene Temperaturen<br />

gemessen. Die Ströme bei kleinen Spannungen sind<br />

verrauscht und schwach temperaturabhängig. Auf<br />

Grund der Größe dieser Ströme und deren Spannungsabhängigkeit<br />

nehmen wir an, dass sie mit makroskopischen<br />

Defekten verbunden sind („Hot Spots”). Beim<br />

gegenwärtigen Erkenntnisst<strong>and</strong> ist eine genaue Charakterisierung<br />

dieser Defekte schwierig. Andererseits<br />

scheint klar zu sein, dass die Leckströme im Bereich<br />

höherer Spannungen durch Punktdefekte dominiert<br />

werden, vermutlich aber auch verknüpft mit „Hot-Spot-<br />

Gebieten“. In diesem stark temperaturabhängigen<br />

Leckstromregime ist die Ladungsträgerkonzentration<br />

durch das Einfangen und Freisetzen von Ladungsträgern<br />

mit einer Spannungsabhängigkeit, die dem Poole-<br />

Frenkel-Prozess ähnelt, bestimmt.<br />

Zusammenfassung: Es wurden dünne dielektrische<br />

BaHfO 3 -Schichten auf TiN-Substraten durch gleichzeitiges<br />

Verdampfen von BaO und HfO 2 präpariert. BaHfO 3 -<br />

Schichten, die bei 400 °C abgeschieden wurden, sind<br />

amorph und besitzen eine Dielektrizitätskonstante<br />

von ~ 23. Eine RTA-Beh<strong>and</strong>lung der amorphen Schichten<br />

bewirkt den Übergang in die kristalline kubische<br />

BaHfO 3 -Perovskit-Phase. Das polykristalline BaHfO 3<br />

zeigt eine Dielektrizitätskonstante von ~ 38, die es<br />

zu einem vielversprechenden K<strong>and</strong>idaten für künftige<br />

DRAM-Speicherkondensator-Anwendungen macht. Eine<br />

weitere Optimierung der Präparationsbedingungen ist<br />

notwendig, um die Leckstromanforderungen an sehr<br />

dünne c-BaHfO 3 -Schichten (CET < 2 nm) zu erfüllen.<br />

Diese Untersuchungen wurden im Rahmen des BMBF-<br />

Projektes „MEGA EPOS“ durchgeführt.<br />

62 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

In summary, thin BaHfo 3 dielectric layers on tin<br />

substrates were prepared by co-evaporation of Bao<br />

<strong>and</strong> Hfo 2 . BaHfo 3 films deposited at 400 °C are amorphous<br />

<strong>and</strong> show a dielectric constant of approx. 23.<br />

RtA treatment performed on the amorphous layers induces<br />

crystallization in the cubic BaHfo 3 perovskite<br />

phase. polycrystalline BaHfo 3 shows a dielectric constant<br />

of approx. 38 which makes it a promising c<strong>and</strong>idate<br />

for future DRAM storage capacitor applications.<br />

Further optimization of the preparation conditions is<br />

required to meet leakage current requirements in very<br />

thin (Cet < 2 nm) c-BaHfo 3 films.<br />

this work was done within the BMBF project “MeGA epoS”.<br />

Abb. 33: Temperaturabhängige JV-Kurven für ~ 8 nm dickes<br />

c-BaHfO 3 .<br />

Fig. 33: temperature dependent JV characteristics for ~ 8 nm<br />

thick c-BaHfO 3 .


Einkristallines Ge auf Si mittels<br />

Oxid-Heterostrukturen<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel des Projektes ist die globale bzw. lokale Integration<br />

neuer Materialien in die Silizium-Technologieplattform,<br />

um durch die Verfügbarkeit alternativer Halbleiterschichten<br />

geeigneter Qualität die Funktionalität<br />

und Leistung der siliziumbasierten Schaltkreistechnologie<br />

weiter auszubauen.<br />

Halbleiterbasierte integrierte Schaltkreise werden<br />

hauptsächlich in monolithischen Materialien angefertigt.<br />

Da die Materialeigenschaften für die Leistungsfähigkeit<br />

der Schaltkreise wichtig sind, wurden in der<br />

Vergangenheit je nach Anwendung verschiedene Halbleitermaterialien<br />

als Plattform für verschiedene Technologien<br />

entwickelt. Die Silizium-Technologie dominiert<br />

den Halbleitermarkt und entwickelt sich auf Grund<br />

des wachsenden Bedarfes an digitaler Prozessierung<br />

rasant weiter. In optoelektronischen Anwendungen<br />

nahe der 870 nm Wellenlänge wiederum ist z. B. das<br />

ternäre Halbleitersystem AlGaAs auf GaAs-Substraten<br />

führend. Heutzutage ist nur sehr selten auf Grund dieser<br />

Trennung der Substratplattformen eine Interaktion<br />

zwischen der Silizium- und der III-V-Halbleiterwelt zu<br />

beobachten.<br />

Die Vision auf dem Forschungsgebiet der „Engineered<br />

Wafer Systems“ ist die Überwindung dieser Trennung der<br />

elektronischen Systeme, die historisch durch die Verfügbarkeit<br />

der verschiedenen Substrate bedingt ist. Die<br />

Hauptforschungsrichtung ist am <strong>IHP</strong> die monolithische<br />

Integration gitteran- bzw. gitterfehlangepasster alternativer<br />

Halbleiter in die Silizium-Plattform, da letztere<br />

die kommerziell dominierende Technologie darstellt.<br />

Methodisch konzentriert sich der Forschungsansatz auf<br />

die Abscheidung einkristalliner Puffer-Dielektrika und<br />

alternativer Halbleiterschichten mittels der Heteroepitaxie,<br />

da diese Technik neben der Flexibilität eine vor<br />

allem kosteneffektive Integration eröffnet.<br />

Single Crystalline Ge on Si via<br />

Oxide-Heterostructures<br />

the project goal is the global <strong>and</strong> / or local integration<br />

of new valuable semiconductor materials in the silicon<br />

technology platform to extend the performance<br />

<strong>and</strong> functionality of silicon-based integrated circuits<br />

(ICs) by the availability of high quality alternative semiconductor<br />

layers with appropriate properties.<br />

Semiconductor-based integrated circuits (ICs) are<br />

built on monolithic materials. As the properties of the<br />

materials are important for the performance of the<br />

electronic circuitry, different semiconductor materials<br />

became the technology platform of choice for the<br />

various, targeted applications. Si technology dominates<br />

the semiconductor market driven by the thirst<br />

for digital processing capability. In applications involving<br />

optoelectronics near the 870 nm wavelength,<br />

the AlGaAs alloy system on GaAs is widely employed.<br />

today, an interaction between the mature Si <strong>and</strong> the<br />

rapidly evolving III-V semiconductor worlds is rarely<br />

observed, mainly due to the separation by different<br />

semiconductor platforms.<br />

the vision of engineered wafer systems is to overcome<br />

these limitations of electronic systems, brought<br />

about by the historical separation of the different semiconductor<br />

platforms. the main research objective<br />

at IHp is the monolithic integration of lattice matched<br />

or mismatched alternative semiconductor thin<br />

film materials on the main-stream silicon platform, as<br />

the latter is the commercially most dominant semiconductor<br />

technology worldwide. thereby, the film<br />

deposition method of choice in this research project<br />

is focussed on the integration via heteroepitaxy by<br />

the subsequent deposition of single crystalline buffer<br />

dielectrics <strong>and</strong> alternative semiconductor layers on<br />

the silicon wafer. this method offers besides the high<br />

flexibility to address in principle global as well as local<br />

integration approaches the important advantage<br />

to be appropriate for achieving the cost-effective integration<br />

of alternative semiconductor materials in<br />

the silicon technology platform under conventional<br />

clean room conditions.<br />

A n n u A l R e p o R t 2 0 0 7<br />

6


6 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Die F&E-Arbeit fokussiert sich spezifisch auf die globale<br />

Integration von Germanium (Ge) auf Silizium (Si), die<br />

für eine Reihe technologischer Anwendungen von Bedeutung<br />

ist. Zum Beispiel wird auf dem Gebiet der Fertigung<br />

von Sub-50-nm-CMOS-Technologien mit hoher<br />

Kanal-Mobilität die Integration von Ge auf Si in Kombination<br />

mit Hoch-K-Dielektrika intensiv untersucht. Ein<br />

<strong>and</strong>eres Beispiel ist die Photovoltaik, wo die Erzeugung<br />

einkristalliner Ge-Schichten auf Si-Wafern einen Ansatz<br />

darstellt, billige Substrate für die hoch effiziente GaAs-<br />

Photovoltaik zu erzeugen. Es muss jedoch aufgezeigt<br />

werden, dass die Qualität der bis dato hergestellten<br />

Ge-Puffer-Si-Heterostrukturen noch nicht das Niveau<br />

der technologischen Verwertbarkeit erlangte. Dies ist<br />

vor allem auf ein mangelndes Verständnis der Festkörperphysik<br />

bei der Interaktion zwischen Ge und den typischen<br />

Puffer-Dielektrika (insbesondere Übergangs- &<br />

Seltenerdmetalloxide) zurückzuführen. Typische ungelöste<br />

Probleme, die die strukturellen und folglich elektrischen<br />

Eigenschaften der Ge-Schichten bestimmen,<br />

betreffen z. B. die thermodynamische Stabilität von Ge<br />

im Kontakt mit Metalloxid-Puffern, die Kontrolle des<br />

Wachstums zur Erzeugung geschlossener Ge-Filme, die<br />

Mechanismen bei der Erzeugung von Defekten usw.<br />

Eine kombinierte Studie der Struktur, der Stöchiometrie<br />

und der elektrischen Eigenschaften von Ge(111)-<br />

Schichten auf zwillingsfreien, Typ-B-orientierten PrO 2 /<br />

Si(111)-Heterostrukturen wurde durchgeführt, um die<br />

globale Integration atomar flacher, einkristalliner Ge-<br />

Schichten mittels Oxid-Heterostrukturen auf Si(111)<br />

zu erzielen (Abb. 34). Ex-situ-Röntgenstudien belegen,<br />

dass die Wechselwirkung zwischen Ge und PrO 2 zu<br />

einer vollständigen Reduktion des PrO 2 -Pufferoxids in<br />

Pr 2 O 3 führt. In-situ-Elektronen-Beugung (RHEED) sowie<br />

-Elektronen-Emission (XPS & UPS) belegen, dass<br />

diese chemische Reduktion des Pufferoxids durch die<br />

Ge-Bedampfung mit der Bildung einer amorphen GeO 2 -<br />

Schicht einhergeht. Sobald der Vorrat an Gittersauerstoff<br />

erschöpft und PrO 2 vollständig zu Pr 2 O 3 umgew<strong>and</strong>elt<br />

ist, führt die weitere Ge-Bedampfung zu einer<br />

Reduktion der amorphen GeO 2 -Schicht in GeO. Letzteres<br />

ist bei den hohen Wachstumstemperaturen flüchtig und<br />

desorbiert folglich. Der einkristalline Pr 2 O 3 -Träger bildet<br />

eine thermodynamisch stabile Unterlage für die Heteroepitaxie<br />

von elementarem Ge. Zunächst wächst Ge<br />

R&D work specifically focuses on the global integration<br />

of germanium (Ge) on silicon (Si) which is<br />

important for a number of future technologies. For<br />

example, in the field of highly integrated Si-based<br />

microelectronics, the integration of Ge as high mobility<br />

channel material in combination with high-k gate<br />

oxides is intensively pursued to manufacture sub-50<br />

nm CMoS technologies. Another example is given by<br />

photovoltaics where the integration of single crystalline<br />

Ge layers on the Si material platform via high-k<br />

oxide heterostructures is studied to develop a cheap<br />

Ge substrate for GaAs solar cells. It must however be<br />

pointed out that the available Ge oxide buffer heterosystems<br />

on Si did not yet achieve the level of technological<br />

useability. this is mainly due to the fact that<br />

the fundamental physics of the interaction between<br />

Ge <strong>and</strong> buffer dielectrics (i.e. transition & rare earth<br />

(Re) oxides) is only poorly understood. typical unsolved<br />

solid state physics issues, governing the structural<br />

<strong>and</strong> in consequence electrical properties, concern<br />

for example the thermodynamic stability of Ge<br />

in contact with metal oxide dielectrics, the control of<br />

the growth mode to achieve closed films, the defect<br />

mechanisms at work in the film structure etc.<br />

A combined study on the structure, stoichiometry<br />

<strong>and</strong> electrical properties of single crystalline Ge(111)<br />

layers on twin-free, type B oriented pro 2 (111) heterostructures<br />

on Si(111) was carried out to achieve<br />

the global integration of closed, atomically smooth<br />

single crystalline Ge(111) epilayers via Re oxide heterostructures<br />

on Si(111) (Fig. 34). ex-situ X-ray diffraction<br />

(XRD) techniques indicate that the interaction<br />

between Ge <strong>and</strong> pro 2 (111) results in a complete<br />

reduction of the buffer oxide to a cubic pr 2 o 3 (111)<br />

film. In-situ electron diffraction (RHeeD) & photoelectron<br />

emission spectroscopy (XpS & upS) studies<br />

demonstrate that this chemical reduction occurs during<br />

the initial Ge growth. the interaction of pro 2 with<br />

Ge results in the formation of an amorphous Ge oxide<br />

by lattice oxygen diffusion from the dielectric to the<br />

Ge deposit. After the complete conversion of pro 2 to<br />

pr 2 o 3 , the Ge deposition reduces the initially formed<br />

amorphous Geo 2 -like film to Geo. the sublimation of<br />

volatile Geo uncovers the single crystalline pr 2 o 3 film<br />

which provides a thermodynamically stable template


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

im Volmer-Weber-Modus auf, so dass synchrotronbasierte<br />

Röntgentechniken (Kleinwinkelstreuung & anomale<br />

Beugung) zum Studium der Ge-Nanocluster-Verteilung<br />

(Größe, Form, Abst<strong>and</strong>, Stöchiometrie) zur Anwendung<br />

kamen. Nach der Koaleszenz der Inseln bildet sich bei<br />

geeigneten Wachstumsparametern ein atomar flacher,<br />

geschlossener Ge(111)-Film, der einkristallin ist und<br />

eine Typ-A - Epitaxie in Bezug zum Si(111) zeigt. Die<br />

Transmissions-Elektronenmikroskopie belegt, dass partielle<br />

Dislokationen die dominierenden Defekte in dem<br />

Ge(111)-Film sind und vorwiegend durch atomare Rauhigkeit<br />

des Oxid-Trägers induziert werden.<br />

for Ge heteroepitaxy. A Volmer–Weber growth mode<br />

is observed <strong>and</strong> Synchrotron-based XRD, including<br />

small angle & anomalous scattering, was applied to<br />

determine the Ge nanocluster shape, size, distance<br />

& stoichiometry. After isl<strong>and</strong> coalescence, atomically<br />

smooth Ge(111) layers with type A stacking with<br />

respect to Si(111) are formed. transmission electron<br />

microscopy reports that partial dislocations are the<br />

prevailing defects in the Ge film, mainly induced by<br />

atomic roughness of the oxide.<br />

Abb. 34: Transmissionselektronenmikroskopie einkristalliner Ge(111)-Filme auf Pr 2 O 3 / Si(111)-Heterostrukturen.<br />

Fig. 34: transmission electron microscopy of single crystalline Ge(111) layers on Pr 2 O 3 / Si(111) heterostructures.<br />

A n n u A l R e p o R t 2 0 0 7<br />

6


Stark-Effekt der D-B<strong>and</strong>-Lumineszenz von<br />

Versetzungen in Si<br />

66 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Unser Ziel war die Untersuchung der Möglichkeit für<br />

eine elektrische Modulation der Versetzungs-Lumineszenz<br />

in Si.<br />

Der Einsatz der optischen Datenübertragung auf dem<br />

Chip ist für zukünftige Schaltkreis-Generationen erforderlich.<br />

Schlüsselkomponenten dafür, die mit der Si-<br />

Technologie herstellbar und auf dem Chip integrierbar<br />

sind, wurden bereits demonstriert. So haben wir einen<br />

CMOS-kompatiblen elektrisch gepumpten Lichtemitter<br />

entwickelt, der das 1,5-µm-Licht von Versetzungen ausnutzt.<br />

Dabei ist es erstrebenswert, diese LED mit einem<br />

schnellen elektro-optischen Modulator in einem Bauelement<br />

kombinieren zu können.<br />

Versetzungsreiche Gebiete mit entsprechender Lumineszenz<br />

lassen sich reproduzierbar herstellen (a) durch<br />

direktes Waferbonden, was zur Formierung eines Versetzungsnetzwerkes<br />

führt, oder (b) durch Implantation<br />

von Si-Ionen und anschliessende Ausheilung, was zur<br />

Bildung von Versetzungsschleifen führt. Die elektrische<br />

Anregung kann über das Tunneln von Ladungsträgern<br />

durch eine dünne Oxidschicht (MOS-LED) oder über Trägerinjektion<br />

durch einen pn-Übergang (pn-LED) in der<br />

Nähe der aktiven Versetzungsregion erfolgen. Beispiele<br />

für Elektrolumineszenz (EL) und Photolumineszenz<br />

(PL) der bei verschiedenen Temparaturen von den Versetzungen<br />

in einer pn-LED abgestrahlten Spektren sind<br />

in Abb. 35 gezeigt. Die Abbildung zeigt die D1-D3-B<strong>and</strong>en,<br />

wobei die D1-Linie dominiert. Es ist zu beobachten,<br />

dass sich die Positionen der Linien in den EL- und<br />

PL-Spektren bei zunehmender Injektion zu höherer<br />

Energie verschieben.<br />

Der diese Verschiebung verursachende Mechanismus<br />

kann auf den quadratischen Stark-Effekt zurückgeführt<br />

werden, der den Einfluss von lokalen elektrischen Feldern<br />

auf die Energie von exzitonischen optischen Übergängen<br />

beschreibt. Bei geringem Injektionsniveau,<br />

„sehen“ die Zentren, die die Versetzungslumineszenz<br />

verursachen, ein starkes elektrisches Feld am pn-Übergang.<br />

Dadurch wird die Energie der optischen Übergänge<br />

reduziert und die D-Linien werden rot verschoben.<br />

Stark Effect for dislocation Related<br />

d-b<strong>and</strong> Luminescence in Si<br />

our goal was to demonstrate a possibility for electrical<br />

modulation of dislocation related D-b<strong>and</strong> luminescence<br />

in Si.<br />

on-chip optical interconnects will be essential for<br />

future integrated circuits. Many key components<br />

that can be integrated on the chip have already been<br />

demonstrated by Si technology. previously, we have<br />

demonstrated a CMoS-compatible, electrically pumped<br />

Si-based light emitter at 1.5 µm based on D-b<strong>and</strong><br />

luminescence. For larger integration it would be advantageous<br />

to combine the leD with a fast electrooptical<br />

modulator within one device.<br />

Dislocation-rich D-b<strong>and</strong> active regions can be reproducibly<br />

formed (a) by direct wafer bonding resulting<br />

in formation of dislocation network or (b) by Si implantation<br />

followed by an annealing, resulting in a<br />

dislocation loop-rich region. the electrical excitation<br />

could be realised by tunnelling of carriers through<br />

an oxide layer (MoS-leD) or by carrier injection via<br />

p-n junction (p-n leD) located close to the active region.<br />

examples of the electroluminescence (el) <strong>and</strong><br />

photoluminescence (pl) D-b<strong>and</strong> spectra from a pnleD<br />

detected at various temperatures are presented<br />

in Fig. 35. the figure shows strong D1-D3 lines with<br />

prevailing D1 peak. note that the position of maximal<br />

intensity of D-lines shifts to higher energies with an<br />

increase in the carrier injection level in both el <strong>and</strong><br />

pl.<br />

the mechanism responsible for the shift is related to<br />

the quadratic Stark effect, i.e. an influence of local<br />

electric fields on the energy of excitonic optical transitions.<br />

At low carrier injection level, the centres responsible<br />

for D-lines are in the strong electric field of<br />

the neighbouring pn-junction. the transition energy<br />

becomes smaller <strong>and</strong> D-b<strong>and</strong> peaks are red-shifted.<br />

An increase in the carrier injection level suppresses<br />

the junction field leading to the blue shift of the Db<strong>and</strong><br />

peaks. the dependence of the shift magnitude<br />

on the electric field of the junction corresponds well<br />

to that predicted by the Stark effect (Fig. 36).


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Mit zunehmender Injektion wird das elektrische Feld<br />

abgeschirmt, was eine Blauverschiebung der D-Linien<br />

nach sich zieht. Die Abhängigkeit der Verschiebung von<br />

der elektrischen Feldstärke am Übergang lässt sich gut<br />

mit den Beziehungen für den Stark-Effekt beschreiben<br />

(Abb. 36).<br />

Eine Nutzung des beobachteten Effektes könnte zur<br />

Modulation der Wellenlänge der D-Linien genutzt werden,<br />

durch eine Modulation des elektrischen Feldes des<br />

pn-Überganges. Ein Beispiel für eine derartige Modulation<br />

zeigt Abb. 37, wo mit der Vorspannung an einer<br />

LED die Wellenlänge der Lumineszenz moduliert wird,<br />

die extern optisch angeregt wurde.<br />

Die prinzipielle Möglichkeit einer Modulation der Wellenlänge<br />

von 1,5-µm-Versetzungslicht durch ein elektrisches<br />

Feld konnten wir demonstrieren. Der Effekt<br />

sollte es erlauben, eine effiziente pn-LED, die im IR-Bereich<br />

emittiert, mit einem Modulator in einem Si-Bauelement<br />

zu vereinen.<br />

Abb. 35: Spektren von EL (a) und PL (b) einer LED bei verschiedenen<br />

Anregungsbedingungen. Temperatur und Injektionsparameter<br />

sind in der Darstellung angegeben. Die Kurven sind zur<br />

besseren Unterscheidbarkeit in vertikaler Richtung verschoben.<br />

Der Einschub in (a) zeigt das in die D1-, D2- und<br />

D3-Linie aufgelöste D-B<strong>and</strong>-Spektrum für die EL.<br />

Fig. 35: el (a) <strong>and</strong> pl (b) spectra from the leD detected under<br />

various excitation conditions. the measurement temperatures<br />

<strong>and</strong> carrier injection parameters are indicated<br />

in the figures. Curves are shifted in vertical direction for<br />

clarity. Inset in (a) shows decomposition of the D-b<strong>and</strong><br />

spectrum into D1, D2 <strong>and</strong> D3 peaks for the el spectra.<br />

utilizing the observed effect one could modulate the<br />

D-b<strong>and</strong> peak maximum positions by the local electric<br />

field of a pn-junction. An example of such modulation<br />

is presented in Fig. 37, where the voltage applied<br />

to the leD modulated the luminescence excited by<br />

external illumination.<br />

A principal possibility for modulation of the wavelength<br />

of D-line at 1.5 µm by electric field was demonstrated.<br />

the effect should allow integration of<br />

efficient IR pn-leD <strong>and</strong> a modulator into a single<br />

device.<br />

A n n u A l R e p o R t 2 0 0 7<br />

67


68 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Abb. 36: Abhängigkeit der spektralen Lage der in EL beobachteten<br />

D1-Linie von der Fluss-Spannung (obere Achsenbeschriftung)<br />

und dem berechneten elektrischen Feld (untere<br />

Achsenbeschriftung) in einer LED bei 300 K. Die experimentellen<br />

Daten wurden mit der Beziehung<br />

für den quadratischen Stark-Effekt<br />

E ex =E ex (0)-αF 2 und den Werten: E ex (0)=795 meV ,<br />

α=0,0186 meV / (kV / cm) 2 angepasst (Linie).<br />

Fig. 36: the dependence of spectral position of el D1 peak on<br />

the value of forward bias (upper axis) <strong>and</strong> on the calculated<br />

maximal electric field (lower axis) in the leDs at<br />

300 K. experimental data are fitted with the<br />

equation for Stark effect: e ex =e ex (0)-αF 2 <strong>and</strong> the<br />

values: e ex (0)=795 meV, α = 0.0186 meV / (kV / cm) 2<br />

(solid line).<br />

Abb. 37: PL-Spektren einer LED bei 105 K aufgenommen mit<br />

identischem Niveau der optischen Anregung (P EXC = 50 mW)<br />

für verschiedene elektrische Anschlussbedingungen. Die<br />

Skizze in der Abbildung beschreibt schematisch die<br />

experimentellen Bedingungen. Die Kurven sind zur<br />

besseren Unterscheidbarkeit in vertikaler Richtung<br />

verschoben. Die Stromwerte, die während der PL-Untersuchungen<br />

an der Diode gemessen werden, sind angegeben.<br />

Für die oberste Kurve war die LED kurzgeschlossen<br />

und für die darunter liegende Kurve geöffnet.<br />

Fig. 37: pl spectra from the leD at 105 K detected at the same<br />

light-excitation level (p eXC = 50 mW) <strong>and</strong> various circuit<br />

conditions. A sketch in the figure shows the scheme of<br />

the experiment. Curves are shifted in vertical direction<br />

for clarity. the constant current through the diode<br />

during pl measurements is indicated in the graph above<br />

each curve. For the uppermost curve the leD contacts<br />

were shortened <strong>and</strong> for the curve beneath the contacts<br />

were open.


Lichtinduzierte Kristallisation von<br />

a-Si/SiO 2 -Nanostrukturen<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Unser Ziel war es, eine möglichst vollständige Umw<strong>and</strong>lung<br />

von amorphen Si-Schichten (a-Si) in nanokristalline<br />

Si-Schichten (nc-Si) für multiple Si / SiO 2 -Quantentopf-Strukturen<br />

(MQW), die mittels PCVD abgeschieden<br />

wurden, zu erreichen.<br />

Nanostrukturen aus Si stellen Grundelemente für eine<br />

zukünftige Elektronik, Photonik und Photovoltaik dar.<br />

Durch Ausnutzung des sogenannten Quanten-Confinement<br />

in Nanostrukturen lässt sich beispielsweise die<br />

B<strong>and</strong>struktur von Halbleitern beeinflussen, wodurch die<br />

elektrischen und optischen Eigenschaften einstellbar<br />

werden. Quantentopf-Strukturen (MQW) aus alternierenden<br />

Si- und SiO 2 -Schichten auf Substrat wurden an<br />

der RWTH Aachen abgeschieden. Nach der Abscheidung<br />

der MQW waren die Si-Schichten amorph. Da jedoch der<br />

Grad der Kristallinität dieser Schichten über ihre Nutzbarkeit<br />

entscheidet, sind nachträgliche Beh<strong>and</strong>lungen<br />

erforderlich, um die a-Si-Schichten in nc-Si-Schichten<br />

zu verw<strong>and</strong>eln. Konventionelle Wärmebeh<strong>and</strong>lungen<br />

wie Ofentemperung, RTA und Laserausheilung oder deren<br />

Kombination erlaubten aufgrund der unterschiedlichen<br />

thermo-physikalischen Eigenschaften keine vollständige<br />

Umw<strong>and</strong>lung der a-Si- in c-Si-Schichten.<br />

Die von uns entwickelte neue Kristallisationsmethode<br />

macht sich die selektive Wirkung von Licht mit spezieller<br />

Wellenlänge und Leistungsdichte in den a-Si-Schichten<br />

zu Nutze. Diese Wirkung basiert auf der erhöhten Absorption<br />

des Lichtes im Wellenlängenbereich 400-600<br />

nm in den a-Si-Schichten, im Vergleich zu nanokristallinem<br />

Si, SiO 2 und Substrat (Abb. 38). Das in den a-Si-<br />

Schichten absorbierte Licht verursacht einen fest-fest<br />

Phasenübergang, d.h. a-Si wird in nc-Si transformiert.<br />

Dadurch wird die Lichtabsorption in den MQW stark reduziert<br />

(negative Rückkopplung) und die Gesamtaufheizung<br />

des Systems verringert. Als Folge der negativen<br />

Rückkopplung kommt es nicht zum Aufschmelzen des<br />

Si, wodurch eine schädliche Druckverspannung im MQW-<br />

System vermieden wird.<br />

Light Induced Crystallization of<br />

Amorphous Si / SiO 2 Nanostructures<br />

our goal was to achieve a complete conversion of<br />

amorphous Si (a-Si) to nano-crystalline Si (Si-nc)<br />

in pCVD deposited Si / Sio 2 multiple quantum wells<br />

(MQW).<br />

Silicon nano-structures will be basic elements for<br />

future electronics, photonics, <strong>and</strong> photovoltaics.<br />

utilizing the carrier quantum confinement effects in<br />

nano-assembly one can design the b<strong>and</strong> structure of<br />

the resulting semiconductor <strong>and</strong> tune its electrical<br />

<strong>and</strong> optical properties. MQW containing alternating<br />

Si <strong>and</strong> Sio 2 layers deposited on a substrate were fabricated<br />

by RWtH Aachen. After deposition Si layers in<br />

the MQW are amorphous. Since the degree of crystallization<br />

is critical for the performance of the devices,<br />

additional treatments should convert a-Si to Si-nc.<br />

Conventional heat treatments, i.e. furnace annealing,<br />

RtA, laser annealing or their combinations did<br />

not allow complete conversion of a-Si to Si-nc due to<br />

differences in thermo-physical properties of the MQW<br />

components.<br />

the proposed crystallisation method utilizes the selective<br />

heat impact on a-Si by light of special wavelength<br />

<strong>and</strong> power density. this effect is based on the<br />

enhanced absorption of light in a-Si in the wavelength<br />

range 400-600 nm in comparison with Si-nc, Sio 2 <strong>and</strong><br />

the substrate (Fig. 38). light absorbed in a-Si layers<br />

causes solid-to-solid, i.e. a-Si to Si-nc phase transition.<br />

the transition itself leads to strong reduction<br />

of the light absorption in MQW (negative feedback),<br />

thus reducing overall further heating of the system.<br />

Due to the negative feedback the melting conditions<br />

for the Si are not reached, preventing appearance of<br />

detrimental compressive stresses in the MQW system.<br />

A n n u A l R e p o R t 2 0 0 7<br />

6


70 A n n u A l R e p o R t 2 0 0 7<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Die Kristallisations-Prozesse der MQW haben wir mit Raman-Spektroskopie<br />

untersucht. Dabei hat sich herausgestellt,<br />

dass zwei Hauptprozesse den Phasenübergang<br />

während der Beleuchtung mit monochromatischem<br />

Licht bestimmen. Der eine Prozess ist die Kristallisation,<br />

die durch die Lichtabsorption induziert wird, und<br />

der <strong>and</strong>ere ist die Auflösung / Oxidation der Si-Schichten,<br />

die sich zwischen den SiO 2 -Spacerschichten befinden<br />

(Abb. 39). Wir haben herausgefunden, dass eine<br />

optimale Leistung für jede Wellenlänge im spezifischen<br />

Spektralbereich existiert, die zu einer vollständigen<br />

Umw<strong>and</strong>lung von a-Si- in nanokristalline Si-Schichten<br />

führt, ohne dass dabei eine merkliche Auflösung / Oxidation<br />

auftritt (Abb. 39). Die optimale Wellenlänge ist<br />

gegeben durch den maximalen relativen Unterschied<br />

der Absorptionskoeffizienten der anfänglichen a-Si-<br />

und der am Ende erzielten nanokristallinen Si-Schicht<br />

im MQW. Die hier verwendete Leistungsdichte des Lasers<br />

war mindestens eine Zehnerpotenz unter der, die<br />

üblicherweise bei konventionellen a-Si Kristallisationsbeh<strong>and</strong>lungen<br />

verwendet wird.<br />

MQW-Strukturen mit unterschiedlichen Dicken der Si-<br />

Schichten und Anzahl der Perioden konnten erfolgreich<br />

in nanokristalline Si-Schichten transformiert werden<br />

(Abb. 40).<br />

the processes of crystalization in the MQW were analyzed<br />

by Raman spectroscopy. there are mainly two<br />

processes governing the phase transition by illumination<br />

with monochromatic light. one is the crystallization,<br />

induced by the light absorption <strong>and</strong> the<br />

other is dissolution / oxidation of the silicon films in<br />

the Sio 2 spacer layers (Fig. 39). We found that an optimal<br />

power exists for each wavelength of the light<br />

in the specified range, which leads to a full a-Si to<br />

Si-nc conversion of the Si layers without occurrence<br />

of a significant dissolution / oxidation (Fig. 39). the<br />

optimal wavelength for the process is given primarily<br />

by the maximal relative difference of the absorption<br />

coefficient between initial amorphous <strong>and</strong> the final<br />

nanocrystalline Si in the MQW. laser power density<br />

employed is at least one order of magnitude smaller<br />

than that used in conventional a-Si laser crystallization<br />

procedures.<br />

MQW structures with various thicknesses of Si layers<br />

<strong>and</strong> various numbers of periods were successfully<br />

converted to Si-nc (Fig. 40).<br />

Abb. 38: Absorptionskoeffizient für MQW mit 20x3 nm und 6x10<br />

nm Si-Schichten gemessen an Proben nach der Abscheidung<br />

und nach einer Wärmebeh<strong>and</strong>lung. Die vertikalen<br />

gestrichelten Linien markieren die Laser-Wellenlängen, die<br />

für die Beh<strong>and</strong>lung verwendet wurden.<br />

Fig. 38: Absorption coefficient measured for MQW with 20x3 nm<br />

<strong>and</strong> 6x10 nm Si layers for as-deposited samples <strong>and</strong> those<br />

subjected to an annealing procedure. Vertical dashed<br />

lines indicate the various laser wavelengths employed<br />

for the annealing.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Abb. 39: Abhängigkeit der Intensität des nc-Si-Peaks in den Ramanspektren<br />

(a) und des kristallinen Anteils (b) von<br />

P LAS bei verschiedenen λ LAS für 6x(10 nm Si + 3 nm SiO 2 )-<br />

MQW. Die Bedeutung der Symbole ist in der Abbildung<br />

vermerkt. Im Einschub sind Daten über die Grösse der<br />

Si-Nanokristalle sowie über Verspannungen in den MQW<br />

zu finden, die aus Raman-Untersuchungen abgeleitet<br />

wurden. Der kristalline Anteil in den Si-Schichten wurde<br />

nach der folgenden Beziehung abgeschätzt:<br />

F CR =I Si-nc / (I Si-nc + I a-Si ), wobei I Si-nc und I a-Si die integrierten<br />

Raman-Peakintensitäten der betreffenden Best<strong>and</strong>teile sind.<br />

Fig. 39: Dependence of the intensity of the Si-nc related peak in<br />

the Raman spectra (a) <strong>and</strong> the crystalline fraction value<br />

(b) on the plAS for the various λ lAS for<br />

6x(10 nm Si + 3 nm Sio 2 ) MQW. Attribution of symbols is<br />

indicated in the figure. Inset presents values for Si-nc<br />

size <strong>and</strong> stress in MQW estimated from the Raman<br />

measurements. the crystalline fraction was estimated<br />

using:<br />

F CR =I Si-nc / (I Si-nc + I a-Si ), where I Si-nc <strong>and</strong> I a-Si are integrated<br />

Raman peaks related to the corresponding materials.<br />

Abb. 40: Ramanspektren verschiedener MQW, die unter nahezu<br />

optimalen Bedingungen für die Laserbeh<strong>and</strong>lung<br />

kristallisiert wurden. Werte für den Kristallisationsgrad<br />

sind in der Abbildung vermerkt. Im Einschub sind Daten<br />

über die Grösse der Si-Nanokristalle sowie über Verspannungen<br />

in den MQW zu finden, die aus den Charakteristika<br />

des Peaks der Si-Nanokristalle abgeleitet wurden.<br />

Fig. 40: Raman spectra detected from various MQW crystallized<br />

in nearly optimal conditions of laser radiation. Values<br />

for the crystalline fraction are indicated in the figure.<br />

the inset presents sizes of Si-nc crystallites <strong>and</strong> stress<br />

in the MQWs estimated from Si-nc peak characteristics.<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


72 A n n u A l R e p o R t 2 0 0 7<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Joint Labs


Gemeinsames Labor <strong>IHP</strong> / BTU Cottbus<br />

Das Gemeinsame Labor <strong>IHP</strong> / BTU auf dem Campus der<br />

Br<strong>and</strong>enburgischen Technischen Universität (BTU) Cottbus<br />

besteht seit 2000. Es bündelt die Forschungspotentiale<br />

beider Partner und leistet – unter maßgeblicher<br />

Einbeziehung von Studenten – interdisziplinäre Forschung<br />

auf dem Gebiet der Si-basierten Halbleitermaterialien.<br />

Dabei bezieht es Lehrstühle der BTU in seine<br />

Forschungstätigkeit ein, wie Experimentalphysik, Theoretische<br />

Physik, Physikalische Chemie oder Schaltkreis-<br />

entwurf. Darüber hinaus beteiligte sich in 2007 auch<br />

die Fachhochschule Lausitz mit technisch-präparativen<br />

Arbeiten und mit der Technischen Fachhochschule Wildau<br />

wurde ein gemeinsames Projekt vorbereitet.<br />

National arbeitet das Gemeinsame Labor im Rahmen<br />

seiner Projektarbeit mit einer ganzen Reihe von Forschungseinrichtungen<br />

wie dem MPI Halle, den Universitäten<br />

Göttingen, Jena, Stuttgart, der RWTH Aachen,<br />

dem HMI und BESSY Berlin oder dem IPHT Jena zusammen<br />

und hat Verträge mit Unternehmen der Si-Branche<br />

wie der Siltronic AG, der Deutschen Solar AG oder der<br />

Schott Solar AG .<br />

Eine wichtige Aufgabe stellt auch der Ausbau der internationalen<br />

Vernetzung des Gemeinsamen Labors<br />

dar. Die BTU und das IHB sind über das Gemeinsame<br />

Labor Mitglied im internationalen Konsortium SiWEDS<br />

(Silicon Wafer Engineering & Defect Science Center,<br />

siehe www.mse.ncsu.edu / siweds / ), dem renommierte<br />

Halbleiterfirmen, wie z.B. Intel, Samsung, Hynix, MEMC,<br />

Soitec, Siltronic AG, Centrotherm GmbH, und namhafte<br />

Universitäten, wie z.B. MIT, Stanford, UC Berkeley, angehören.<br />

International wurden neben den bestehenden Verbindungen<br />

in 2007 die Zusammenarbeit mit dem Institut<br />

MESA+ an der Universität Twente (Niederl<strong>and</strong>e) weiter<br />

intensiviert und mit dem Institute for Energy Technology<br />

in Kjeller, Norwegen begonnen. Im Jahr 2007 bearbeitete<br />

das Gemeinsame Labor 6 Drittmittelprojekte,<br />

darunter zwei BMBF-Projekte, ein BMU-Projekt, ein<br />

Projekt der Volkswagenstiftung und zwei Industrieprojekte.<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Joint Lab <strong>IHP</strong> / BTU Cottbus<br />

the Joint lab IHp / Btu located at the campus of the<br />

Br<strong>and</strong>enburg technical university Cottbus (Btu) was<br />

founded in 2000. It pools the research potential of the<br />

partners IHp <strong>and</strong> Btu <strong>and</strong> conducts interdisciplinary<br />

research – with substantial participation of students –<br />

in the field of silicon-based semiconductor materials.<br />

the Btu chairs experimental physics, Materials<br />

Science, theoretical physics, physical Chemistry <strong>and</strong><br />

Circuit Design are closely involved in its research activities.<br />

Furthermore, the nearby university of Applied<br />

Sciences lausitz is also associated with the Joint lab<br />

<strong>and</strong> contributed engineering <strong>and</strong> preparation work in<br />

2007. A joint project was also prepared together with<br />

the university of Applied Sciences Wildau.<br />

Within the framework of its research projects, the<br />

Joint lab collaborates on contract basis nation-wide<br />

with various research facilities such as e.g. MpI Halle,<br />

HMI Berlin, BeSSY Berlin, IpHt Jena, universities<br />

in Göttingen, Jena <strong>and</strong> Stuttgart, RWtH Aachen, <strong>and</strong><br />

with silicon companies such as Siltronic AG, Deutsche<br />

Solar AG <strong>and</strong> Schott Solar AG.<br />

the expansion of its international networking is a<br />

further important task of the Joint lab. Btu Cottbus<br />

<strong>and</strong> the IHp – via the Joint lab IHp / Btu – are<br />

members of the international consortium SiWeDS (Silicon<br />

Wafer engineering & Defect Science Center, see<br />

www.mse.ncsu.edu / siweds / ), associating noted semiconductor<br />

companies, e.g. Intel, Samsung, Hynix,<br />

MeMC, Soitec, Siltronic AG, Centrotherm GmbH, <strong>and</strong><br />

well-known universities such as MIt, Stanford <strong>and</strong> uC<br />

Berkeley.<br />

In addition to existing international scientific contacts,<br />

collaborations with the Institute MeSA+ at university<br />

twente (netherl<strong>and</strong>s) were further intensified<br />

in 2007 <strong>and</strong> new co-operations with the Institute for<br />

energy technology in Kjeller (norway) were started. In<br />

2007 the Joint lab worked on six projects funded by<br />

third parties, among them two projects funded by the<br />

BMBF (Federal Ministry of education <strong>and</strong> Research),<br />

one project funded by the BMu (Federal Ministry for<br />

the environment, nature Conservation <strong>and</strong> nuclear<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


Das Gemeinsame Labor beteiligt sich an Arbeiten, um<br />

bisher ungenutzte Eigenschaften des Siliziums für einen<br />

künftigen Einsatz auf neuen Gebieten zu erschließen.<br />

Basierend auf den Ergebnissen dieser Vorlaufforschung –<br />

zu der z.B. Si-basierte Lichtemitter, Si-basierte Nanostrukturen<br />

wie c-Si / SiO 2 -Schichtstapel und Si-Nanodrähte<br />

oder die kontrollierte Platzierung von Biomolekülen<br />

auf Si für Biochips zählen – werden für das<br />

<strong>IHP</strong> Entscheidungen für seine zukünftige inhaltliche<br />

Ausrichtung vorbereitet.<br />

Die langfristigen Forschungsschwerpunkte des Gemeinsamen<br />

Labors zum Komplex „Silizium“ sollen Beiträge<br />

zur Weiterentwicklung der Mikroelektronik, zur Einführung<br />

einer Si-basierten Nanoelekronik, zur Einführung<br />

einer Si-basierten Photonik, und zur Unterstützung<br />

der Si-basierten Photovoltaik liefern. Auf dem letztgenannten<br />

Gebiet ist das Gemeinsame Labor in der<br />

BTU-Forschungseinrichtung CeBra (Centrum für Energietechnologie<br />

Br<strong>and</strong>enburg, siehe www.tu-cottbus.<br />

de / cebra / ) verankert.<br />

Die grundlegende Such- und Vorlaufforschung zu neuen<br />

und verbesserten Si-Eigenschaften durch Einsatz<br />

von Methoden des Defect Engineering sind auf die folgenden<br />

Schwerpunkte gerichtet und werden im Rahmen<br />

von Projekten, meist in Arbeitsteilung mit externen<br />

Partnern, verfolgt<br />

- Versetzungs-Engineering in Silizium für<br />

Lichtemitter und <strong>and</strong>ere Anwendungen<br />

- Si-Nanostrukturen<br />

- Si-Wafer für zukünftige Technologie-Generationen<br />

- Elektrische Aktivität von Kristalldefekten in<br />

Solar-Silizium<br />

- Entwicklung spezieller Mess- und Diagnoseverfahren<br />

7 A n n u A l R e p o R t 2 0 0 7<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Safety), one project funded by Volkswagenstiftung<br />

<strong>and</strong> two projects with the industry.<br />

the Joint lab participates in research aimed at using<br />

silicon properties that have not been used to date for<br />

new application areas.<br />

Based on the results of this forerunning research, e.g.<br />

Si-based light emitter, Si-based nanostructures such<br />

as c-Si / Sio 2 layer stacks <strong>and</strong> Si nanowires or the controlled<br />

placement of biomolecules on Si for biochips,<br />

decisions for the future research of the IHp are prepared.<br />

the long term research focus of the Joint lab for the<br />

complex “silicon” is aimed at delivering contributions<br />

for the future development of microelectronics,<br />

for the implementation of Si-based nanoelectronics<br />

<strong>and</strong> Si-based photonics, <strong>and</strong> for the support of Si-based<br />

photovoltaics. With the latter research field, the<br />

Joint lab is connected with the Btu research facility<br />

CeBra (Center for energy technology Br<strong>and</strong>enburg,<br />

see also www.tu-cottbus.de / cebra / ).<br />

the basic <strong>and</strong> forerunning research related to new<br />

<strong>and</strong> improved Si properties using methods of defect<br />

engineering is directed towards the following areas<br />

<strong>and</strong> is organized in the form of projects, usually carried<br />

out in collaboration with external partners.<br />

- Dislocation-engineering in silicon for light<br />

emitters <strong>and</strong> other applications<br />

- Si-nanostructures<br />

- Si-wafer for future technology generations<br />

- electrical activity of crystal defects in solar silicon<br />

- Development of special methods for measurement<br />

<strong>and</strong> diagnostics


Allein zu den Halbleitermaterialien und - technologien<br />

sind im laufenden Jahr vom Gemeinsamen Labor mehr<br />

als 25 Arbeiten in Fachzeitschriften publiziert oder zur<br />

Veröffentlichung akzeptiert worden, mehr als 40 Vorträge,<br />

darunter 6 eingeladene, gehalten worden und 3<br />

Patente angemeldet worden.<br />

Für die laufenden Projekte st<strong>and</strong>en im Jahr 2007 mehr<br />

als 600 T Euro eingeworbene Drittmittel zur Verfügung,<br />

die durch das <strong>IHP</strong> bzw. die BTU verwaltet wurden.<br />

Hervorzuheben ist auch die Beteiligung des Gemeinsamen<br />

Labors an der Vorbereitung und Ausrichtung der<br />

12. Internationalen Konferenz GADEST 2007, die vom<br />

19.-24. Oktober 2007 in Erice, Italien abgehalten wurde.<br />

Das Gemeinsame Labor unterstützt das Lehrangebot<br />

der BTU mit Vorlesungen, Übungen und Praktika. Weiter<br />

beteiligt es sich an der Graduiertenschule DEDIS-Nano<br />

der BTU. Im Jahr 2007 haben Mitglieder des Gemeinsamen<br />

Labors zwei Dissertationen und eine Masterarbeit<br />

abgeschlossen.<br />

Weiterführende Informationen über das Gemeinsame<br />

Labor sind unter www.jointlab.de abrufbar.<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

In 2007 the staff of the Joint lab saw more than 25<br />

journal papers published or accepted for publication,<br />

gave more than 40 lectures, among them 6 invited<br />

ones, <strong>and</strong> applied for 3 patents, all related to semiconductor<br />

materials <strong>and</strong> technologies alone.<br />

More than € 600k third-party funds were available for<br />

the projects running in 2007. the funds were administrated<br />

by the IHp or by the Btu.<br />

We would also like to point out the contributions of<br />

the Joint lab in the preparation <strong>and</strong> organization of<br />

the 12 th international conference GADeSt 2007, carried<br />

out october 19-24, 2007 in erice, Italy.<br />

the Joint lab supports teaching at Btu Cottbus by<br />

conducting lectures, exercises <strong>and</strong> practical courses.<br />

In addition, it contributed to the graduated school<br />

DeDIS-nano of the Btu. In 2007, two pHD theses <strong>and</strong><br />

one master thesis were finished by members of the<br />

Joint lab.<br />

For further information about the Joint lab please visit<br />

the website www.jointlab.de.<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


Gemeinsames Labor <strong>IHP</strong>/TFH Wildau<br />

Das gemeinsame Forschungs- und Ausbildungszentrum<br />

(Joint Lab) des <strong>IHP</strong> und der Technischen Fachhochschule<br />

Wildau (TFHW) wurde 2006 gegründet. Der Forschungsschwerpunkt<br />

des Joint Lab ist die Entwicklung<br />

neuartiger siliziumbasierter Devicekonzepte.<br />

Im Rahmen des Projektes: „Deposition und Strukturierung<br />

von Funktionsschichten für neuartige Bauelemente<br />

der Informationstechnologie und Sensorik“<br />

wurde ein siliziumbasierter Testchip entwickelt und in<br />

Kleinserie für verschiedene Anwendungen bereitgestellt.<br />

Dieser Chip erlaubt eine schnelle und detaillierte Charakterisierung<br />

elektronischer Transporteigenschaften<br />

von neu entwickelten organischen und anorganischen<br />

Funktionsmaterialien. Dabei wird unter <strong>and</strong>erem die<br />

Ladungsträgerbeweglichkeit in Feldeffekt-Transistor-<br />

Anordnung bestimmt. Diese Entwicklung wurde teilweise<br />

im Rahmen des Europäischen Forschungsnetzwerkes<br />

EUROFET (http:www.tfh-wildau.de / iplpt / eurofet / )<br />

durchgeführt, welches die TFHW koordinierte.<br />

Am Projekt „Minimal-invasiver Glucose Sensor (MIBS)“<br />

waren im zurückliegenden Jahr ebenfalls Joint-Lab-<br />

Mitarbeiter beteiligt, wobei die Aktivitäten sich auf die<br />

Charakterisierung und Selektion geeigneter Materialien<br />

für diesen Sensor konzentrierte.<br />

Weiterhin war das Joint Lab im Rahmen der BMBF-<br />

Bekanntmachung „Novel Optics – Neuartige optische<br />

Wirkprinzipien“ mit dem Verbundprojekt-Vorschlag<br />

„Neuartige Lichtquellen und Komponenten für Silizium-<br />

Photonik – Silicon Light“ in der ersten Bewertungsrunde<br />

erfolgreich und hat einen Verbundantrag zum Gebiet<br />

„Silizium-Photonik“ beim Projektträger gestellt. Darin<br />

geht es um die Entwicklung und Testung neuartiger<br />

Materialien, Herstellungsverfahren und Bauelementkonzepte<br />

welche eine Konvergenz von ultraschneller<br />

Elektronik und Photonik mit Silizium als Basismaterial<br />

ermöglichen soll.<br />

76 A n n u A l R e p o R t 2 0 0 7<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Joint Lab <strong>IHP</strong> / UAS wildau<br />

the common research <strong>and</strong> education centre (Joint<br />

lab) of the IHp <strong>and</strong> the university of Applied Sciences<br />

Wildau (uASW) was inaugurated in 2006. the<br />

main research focus lies in the development of new<br />

silicon-based device concepts.<br />

Within the scope of the project: “Deposition <strong>and</strong><br />

structuring of functional layers for new devices in<br />

information <strong>and</strong> sensor technology“, a silicon-based<br />

test chip was developed <strong>and</strong> made available in small<br />

series for different applications. this chip permits a<br />

fast <strong>and</strong> detailed characterization of electronic transport<br />

properties of newly developed organic <strong>and</strong> inorganic<br />

functional materials. Among others, the charge<br />

carrier mobility is determined in field-effect transistor<br />

configuration. this development was partly carried<br />

out within the scope of the european research network<br />

euRoFet (http:www.tfh-wildau.de / iplpt / eurofet<br />

/ ) which was coordinated by uASW.<br />

Members of the Joint lab also contributed to the<br />

project “Minimum-invasive glucose sensor (MIBS)“.<br />

their activities were focused on the characterization<br />

<strong>and</strong> selection of suitable materials for this sensor.<br />

Furthermore, the Joint lab was successful in the first<br />

stage evaluation of the project proposal “new light<br />

sources <strong>and</strong> components for silicon photonics – Silicon<br />

light” submitted in the frame of the call “novel<br />

optics – new optical functional principles” launched<br />

by the Ministry of education <strong>and</strong> Research of the Federal<br />

Republic of Germany. Based on this success a<br />

full proposal for the second stage evaluation process<br />

was submitted which is focused on the development<br />

<strong>and</strong> test of new materials, technologies <strong>and</strong> device<br />

concepts towards a convergence of ultra-fast electronics<br />

<strong>and</strong> photonics based on silicon as base material.


Ein weiteres, zentrales Arbeitsgebiet des Joint Lab ist<br />

die gemeinsame Lehre. Dazu gehört die Einbindung<br />

fachlicher Aspekte der Chipherstellung (<strong>IHP</strong>) in das<br />

Studium der „Physikalischen Technik“ an der TFH Wildau.<br />

In diesem Rahmen sind vorh<strong>and</strong>ene Module des<br />

Hauptstudiums mit anwendungsorientierten Lehrinhalten<br />

aus dem Bereich der Mikroelektronik untersetzt<br />

worden. Damit wird eine weitere Praxiskomponente,<br />

die sich in die Hauptlinie der Ausbildung an der TFH<br />

einordnet, für die Studierenden angeboten. Zwei Praktika<br />

am <strong>IHP</strong> sind als Pflicht- und Wahlpflichtfach in das<br />

Hauptstudium der „Physikalischen Technik“ integriert.<br />

Im Jahre 2007 wurden 10 Praktikumsarbeiten und 2 Diplomarbeiten<br />

durch Studenten der TFH Wildau am <strong>IHP</strong><br />

angefertigt und durch Mitarbeiter des <strong>IHP</strong> betreut.<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Another central field of activity in the Joint lab is teaching.<br />

this includes the integration of technical aspects<br />

of chip processing (IHp) into the study of “engineering<br />

physics” at the uASW. the objective is to<br />

complement the existing modules of the main study<br />

with application-oriented teaching contents from the<br />

field of microelectronics. In this way another practice<br />

oriented component is integrated into the curriculum<br />

of education at uASW <strong>and</strong> made available to the students.<br />

two training courses at the IHp are integrated<br />

as compulsory <strong>and</strong> electoral compulsory subjects into<br />

the main course of “engineering physics”. In 2007,<br />

10 training course reports <strong>and</strong> 2 diploma theses have<br />

been completed by students of the uAS Wildau at the<br />

IHp which were supervised by employees of the IHp.<br />

A n n u A l R e p o R t 2 0 0 7<br />

77


78 A n n u A l R e p o R t 2 0 0 7<br />

Z U S A M M E N A R B E I T U N d P A R T N E R – C O L L A B O R A T I O N A N d P A R T N E R S<br />

Collaboration <strong>and</strong> Partners


Industrie / Industry*<br />

Z U S A M M E N A R B E I T U N d P A R T N E R – C O L L A B O R A T I O N A N d P A R T N E R S<br />

advICo microelectronics GmbH, Germany<br />

AIXtRon AG, Germany<br />

Alcatel-lucent, Germany<br />

alpha microelectronics GmbH, Germany<br />

alpha pacific technologies Co., ltd., taiwan<br />

AMD Saxony llC & Co. KG, Germany<br />

Ap & S GmbH, Germany<br />

Applied Ceramics europe AG, lichtenstein<br />

ASM, Germany<br />

Astron, the netherl<strong>and</strong>s<br />

Atmel, uK<br />

Atmel Germany GmbH, Germany<br />

Australia telescope national Facility, CSIRo, Australia<br />

BSt GmbH, Germany<br />

Centellax Inc., uSA<br />

centrotherm GmbH+Co. KG, Germany<br />

Ciclon Semiconductor Device Corp., uSA<br />

Continental temic, Germany<br />

Coreoptics GmbH, Germany<br />

Daimler AG, Germany<br />

eADS Deutschl<strong>and</strong> GmbH, Germany<br />

enpirion Inc., uSA<br />

eSA / eSteC – teC-etp, the netherl<strong>and</strong>s<br />

eurescom GmbH, Germany<br />

european Microsoft Innovation Center, Germany<br />

France telecom, France<br />

Gaisler Research AB, Sweden<br />

Genesys ltd., ukraine<br />

GreenWay Systeme GmbH, Germany<br />

IMSt GmbH, Germany<br />

Infineon technologies AG, Germany<br />

InnoSent GmbH, Germany<br />

Kayser-threde GmbH, Germany<br />

KMSD, lithuania<br />

lesswire AG, Germany<br />

lintec Information technologies AG, Germany<br />

Mattson thermal products, Germany<br />

MeDAV GmbH, Germany<br />

Mergeoptics GmbH, Germany<br />

Meytec GmbH, Germany<br />

Mikroelectronic Arastirma, turkey<br />

Mikron, Russia<br />

Motorola S.A.S., France<br />

neC europe ltd., uK<br />

phasor Solutions, uK<br />

philips Research laboratories Aachen, Germany<br />

philotech GmbH, Germany<br />

pHoenIX ContACt GmbH & Co. KG, Germany<br />

photronics GmbH, Germany<br />

picoQuant GmbH, Germany<br />

pReMA Semiconductor GmbH, Germany<br />

Qimonda AG, Germany<br />

Robert Bosch GmbH, Germany<br />

Rohde & Schwarz GmbH & Co. KG, Germany<br />

Schwarting Biosystem GmbH, Germany<br />

Sennheiser electronic GmbH & Co. KG, Germany<br />

Sensys Sensorik & Systemtechnologie GmbH, Germany<br />

Sick AG, Germany<br />

Siemens AG, Germany<br />

Siemens Communication, Germany<br />

Silicon Radar, Germany<br />

Siltronic AG, Germany<br />

Sitec GmbH, Germany<br />

Soitec, France<br />

St<strong>Microelectronics</strong> n.V., the netherl<strong>and</strong>s<br />

teleBItcom GmbH, Germany<br />

telefunken Radio Communication Systems GmbH und<br />

Co. KG, Germany<br />

teS electronic engineering GmbH, Germany<br />

teS electronic Solutions GmbH, Germany<br />

texas Instruments Deutschl<strong>and</strong> GmbH, Germany<br />

ubidyne GmbH, Germany<br />

united Monolithic Semiconductors, France<br />

W.C. Heraeus GmbH, Germany<br />

Wisair ltd., Israel<br />

* Ausgewählte Partner / Selected partners<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


80 A n n u A l R e p o R t 2 0 0 7<br />

Z U S A M M E N A R B E I T U N d P A R T N E R – C O L L A B O R A T I O N A N d P A R T N E R S<br />

Forschungsinstitute und Universitäten /<br />

Research Institutes <strong>and</strong> Universities*<br />

BeSSY GmbH, Germany<br />

Br<strong>and</strong>enburg technical university, Germany<br />

Br<strong>and</strong>enburg university of Applied Sciences,<br />

Germany<br />

Budapest university of technology <strong>and</strong> economics,<br />

Hungary<br />

etRI, Korea<br />

european university Viadrina of Frankfurt (oder),<br />

Germany<br />

Ferdin<strong>and</strong>-Braun-Institut für Höchstfrequenztechnik,<br />

Germany<br />

Fraunhofer IIS, Germany<br />

Fraunhofer HHI, Germany<br />

Freie universität Berlin, Germany<br />

Friedrich-Alex<strong>and</strong>er-university erlangen-nuremberg,<br />

Germany<br />

Friedrich-Schiller-university Jena, Germany<br />

Georgia Institute of technology, uSA<br />

AMo GmbH Aachen, Germany<br />

GWt Dresden GmbH, Germany<br />

Hahn-Meitner Institute Berlin, Germany<br />

Humboldt university of Berlin, Germany<br />

IMeC, Belgium<br />

Indian Institute of technologies Kharagpur, India<br />

InoV, portugal<br />

Inp Greifswald e.V., Germany<br />

InRIA, France<br />

Institut für physikalische Hochtechnologie e.V.,<br />

Germany<br />

Institutio de telecomunicacoes, portugal<br />

John von neumann-Institute for Computing,<br />

Germany<br />

leibniz university Hannover, Germany<br />

Max planck Institute of Microstructure physics,<br />

Germany<br />

<strong>Microelectronics</strong> Research Institute progress, Russia<br />

naMlab gGmbH, Germany<br />

national nanoFab Center, Korea<br />

national nano Device laboratories, taiwan<br />

national technical university of Athens, Greece<br />

national taiwan university, taiwan<br />

netherl<strong>and</strong>s organisation for Applied Scientific<br />

Research, the netherl<strong>and</strong>s<br />

ntlab, Belarus<br />

paul Drude Institute for Solid State electronics Berlin,<br />

Germany<br />

RADIolABS Rome, Italy<br />

Ruhr-university of Bochum, Germany<br />

RWtH Aachen, Germany<br />

Sabanci university Istanbul, turkey<br />

Southeast university najing, China<br />

St. petersburg State university, Russia<br />

technical university of Berlin, Germany<br />

technical university of Braunschweig, Germany<br />

technical university of Chemnitz, Germany<br />

technical university of Dresden, Germany<br />

technical university of Ilmenau, Germany<br />

technical university of Szczecin, pol<strong>and</strong><br />

technical university of ukraine, ukraine<br />

technical university of Munich, Germany<br />

technical university of Stuttgart, Germany<br />

technical university of ulm, Germany<br />

tohoku university Sendai, Japan<br />

università di Firenze, Italy<br />

università di Roma, Italy<br />

university of Applied Sciences lippe <strong>and</strong> Höxter,<br />

Germany<br />

university of Applied Sciences Wildau, Germany<br />

university of Bergen, norway<br />

university of Bristol, uK


Z U S A M M E N A R B E I T U N d P A R T N E R – C O L L A B O R A T I O N A N d P A R T N E R S<br />

university of California, uSA<br />

university of Cantabria, Spain<br />

university of Chicago, uSA<br />

university of Dortmund, Germany<br />

university of Göttingen, Germany<br />

university of Hangzhou, China<br />

university of Karlsruhe, Germany<br />

university of Manchester, uK<br />

university of oulu, Finl<strong>and</strong><br />

university of paderborn, Germany<br />

university of Siegen, Germany<br />

university of Stuttgart, Germany<br />

university of tvente, the netherl<strong>and</strong>s<br />

university of ulm, Germany<br />

university of paderborn, Germany<br />

Vtt technical Research Centre of Finl<strong>and</strong>, Finl<strong>and</strong><br />

* Ausgewählte Partner / Selected partners<br />

Unterzeichnung eines „Memor<strong>and</strong>um of Underst<strong>and</strong>ing” zwischen den National Nano Device Laboratories (Taiwan) und dem <strong>IHP</strong> im August 2007.<br />

Signing a Memor<strong>and</strong>um of underst<strong>and</strong>ing between the national nano Device laboratories (taiwan) <strong>and</strong> the IHp in August 2007.<br />

A n n u A l R e p o R t 2 0 0 7<br />

8


G A S T w I S S E N S C H A F T L E R U N d S E M I N A R E – G U E S T S C I E N T I S T S A N d S E M I N A R S<br />

82 A n n u A l R e p o R t 2 0 0 7<br />

Guest Scientists <strong>and</strong> Seminars


G A S T w I S S E N S C H A F T L E R U N d S E M I N A R E – G U E S T S C I E N T I S T S A N d S E M I N A R S<br />

Gastwissenschaftler / Guest Scientists<br />

Gastwissenschaftler Institution Forschungsgebiet<br />

Guest Scientists Institution Research Area<br />

1. Mr. A. Awny university of paderborn, Germany Circuit Design<br />

2. Mr. M. Bichkov Microelectronic Research Institute<br />

progress, Moscow, Russia technology<br />

3. Mr. Ch. Borschel university of Göttingen, Germany Materials Research<br />

4. Mr. A. Budyakov South-Russian State university, Shakhty, Russia Circuit Design<br />

5. Dr. p. Formanek technical university of Dresden, Germany technology<br />

6. Mr. Y. Gurbur Sabanci university Istanbul, turkey technology<br />

7. Mr. Ch. Hartmann technical university of Ilmenau, Germany Circuit Design<br />

8. Mr. e. Heves Sabanci university Istanbul, turkey technology<br />

9. Dr. p. K. Hurley university College Cork, Irel<strong>and</strong> Materials Research<br />

10. Mr. l. Ionov Microelectronic Research Institute<br />

progress, Moscow, Russia technology<br />

11. Mr. M. Kaynak Sabanci university Istanbul, turkey technology<br />

12. Mr. R. Korolevych national technical university of ukraine,<br />

Kiev, ukraine technology<br />

13. prof. J. Murota tohoku university Sendai, Japan technology<br />

14. Mr. W.-X. ni national nano Device laboratories, taiwan<br />

& linköping university, Sweden technology<br />

15. Dr. S. Rakesh DFG Fellow, India Materials Research<br />

16. prof. V. Stikanov national technical university of ukraine,<br />

Kiev, ukraine technology<br />

A n n u A l R e p o R t 2 0 0 7<br />

8


G A S T w I S S E N S C H A F T L E R U N d S E M I N A R E – G U E S T S C I E N T I S T S A N d S E M I N A R S<br />

Seminare / Seminars<br />

Vortragender Institution Thema<br />

Presenter Institution Topic<br />

1. prof. I. Barsony Research Institute for technical physics & “nano Functionality in Microtechno-<br />

Materials Science (MFA Budapest), Hungaria logy at MFA Budapest“<br />

2. prof. M. Gössel university of potsdam, Germany “new Methods for on-line error<br />

Recognition“<br />

3. Dr. Y. Gurbuz Sabanci university Istanbul, turkey “MeMS Integration to IC“<br />

4. pD Dr. G. Hahn university of Konstanz, Germany “Si-foils: Advantages <strong>and</strong> Challenges“<br />

5. Mr. S. Höppner technical university of Dresden, Germany “pll Design for a 60 GHz transceiver<br />

System in 90 nm CMoS technology“<br />

6. Dr. p. K. Hurley university College Cork, Irel<strong>and</strong> “electrically Active Interface Defects<br />

in the (100)Si/Sio x /Hfo 2 /tin System:<br />

origin, passivation <strong>and</strong> Impact on<br />

Device performance“<br />

7. Mr. A. Jungst<strong>and</strong> cesah GmbH Darmstadt, Germany “GAlIleo – new Applications <strong>and</strong><br />

Industrial Challenges“<br />

8. Dr. W. Koch Kosolco, Dinkelsbühl, Germany “overview of the Development of<br />

photovoltaics”<br />

9. Dr. K. Kutsukake tohoku university Sendai, Japan “Analysis of strain status in Si/SiGe<br />

Heterostructures on Various Substrates”<br />

10. prof. M. lux-Steiner Hahn-Meitner Institute Berlin, Germany “CIS Solar Cells”<br />

11. prof. H. Meixner formerly Siemens AG, Sensor & “From the piezo effect to new<br />

Actuator Systems, Germany Generation of Fuel Injectors”<br />

12. prof. o. Michler university of Applied Sciences, “Hybrid Communication networks –<br />

Dresden, Germany Challenges for Stationary <strong>and</strong> Mobile<br />

Applications in transport <strong>and</strong> traffic”<br />

13. Mr. J. Möller elMoS Semiconductor AG, Dortmund, “optimising the High Frequency noise<br />

Germany properties of SiGe:C HBts”<br />

8 A n n u A l R e p o R t 2 0 0 7


G A S T w I S S E N S C H A F T L E R U N d S E M I N A R E – G U E S T S C I E N T I S T S A N d S E M I N A R S<br />

Vortragender Institution Thema<br />

Presenter Institution Topic<br />

14. Dr. W.-X. ni national nano Device laboratories, taiwan “Core Competences <strong>and</strong> Core Values of<br />

& linköping university, Sweden the national nano Core Facilities for<br />

Research-Develop-training (RDt) in<br />

nano Devices”<br />

15. Dr. M. oehme university of Stuttgart, Germany “Fast IR-sensitive Germanium<br />

Detectors on Silicon”<br />

16. Dr. u. pfeiffer university of Siegen, Germany “Silicon-based RF Systems at 60 GHz<br />

<strong>and</strong> Above”<br />

17. Dr. p. V. Santos paul-Drude Institute for Solid State “Surface Acoustic Waves in Semiconelectronics,<br />

Berlin, Germany ductors: novel Approaches for the Control<br />

of photons, electrons, <strong>and</strong> Spins”<br />

18. Dr. M. Schmidt Hahn-Meitner Institute Berlin, Germany “Developments for Future Si-based<br />

Solar Cells”<br />

19. Dr. p. W. Schmidt university of Applied Sciences Wildau, “Graphene electronics: potentials <strong>and</strong><br />

Germany Applications”<br />

20. prof. B. Straube Fraunhofer IIS, Dresden, Germany “Analog error Simulation”<br />

21. Dr. W. Warta Fraunhofer ISe, Freiburg, Germany “Charakterisation of Defects <strong>and</strong><br />

Impurities in Solar Silicon”<br />

22. prof. J. Weber technical university of Dresden, Germany “Hydrogen in Silicon: Fundamental<br />

Results <strong>and</strong> Applications”<br />

23. Dr. l. Zimmermann technical university of Berlin, Germany “Silicon photonics”<br />

A n n u A l R e p o R t 2 0 0 7<br />

8


86 A n n u A l R e p o R t 2 0 0 7<br />

P U B L I K A T I O N E N – P U B L I C A T I O N S<br />

Publications


Erschienene Publikationen<br />

Published Papers<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(1) Effect of Laser Annealing on Crystallinity of<br />

the Si Layers in Si / SiO 2 Multiple Quantum<br />

wells<br />

t. Arguirov, t. Mchedlidze, V.D. Akhmetov,<br />

S. Kouteva-Arguirova, M. Kittler, R. Rölver,<br />

B. Berghoff, M. Först, D.l. Bätzner,<br />

B. Spangenberg<br />

Applied Surface Science 254(4), 1083 (2007)<br />

We report on continuous-wave laser induced crystallisation<br />

processes occurring in Si / Sio 2 multiple quantum<br />

wells (MQW), prepared by remote plasma enhanced<br />

chemical vapour deposition of amorphous Si <strong>and</strong><br />

Sio 2 layers on quartz substrates. the size <strong>and</strong> the volume<br />

fraction of the Si nanocrystals in the layers were<br />

estimated employing micro-Raman spectroscopy. It<br />

was found that several processes occur in the Si / Sio 2<br />

MQW system upon laser treatment, i.e. amorphous to<br />

nanocrystalline conversion, Si oxidation <strong>and</strong> dissolution<br />

of the nanocrystals. the speed of these processes<br />

depends on laser power density <strong>and</strong> the wavelength,<br />

as well as on the thickness of Si-rich layers. At optimal<br />

laser annealing conditions, it was possible to<br />

achieve 100% crystallinity for 3, 5 <strong>and</strong> 10 nm thickness<br />

of deposited amorphous Si layers. Crystallization<br />

induced variation of the light absorption in the layers<br />

can explain the complicated process of Si nanocrystals<br />

formation during the laser treatment.<br />

(2) Photoluminescence Study on defects in<br />

Multicrystalline Silicon<br />

t. Arguirov, G. Jia, W. Seifert, M. Kittler<br />

Semiconductors 41(4), 436 (2007)<br />

We report on spatially resolved luminescence measurements<br />

on ribbon-grown silicon samples. It is found<br />

that the b<strong>and</strong>-edge luminescence shows anomalous<br />

temperature behavior, namely an increase in the radiation<br />

intensity with temperature. phosphorous<br />

diffusion gettering is found to enhance this effect.<br />

the anomalous temperature behavior is attributed<br />

to nonradiative recombination governed by shallow<br />

traps. A shift in the phonon replica of the b<strong>and</strong> edge<br />

luminescence peak has been observed <strong>and</strong> associated<br />

with tensile stress.<br />

(3) Modeling of diffraction from Fiber Texture<br />

Gradients in thin polycrystalline Films<br />

M. Birkholz<br />

Journal of Applied Crystallography 40, 735<br />

(2007)<br />

Crystallographic textures in thin polycrystalline films<br />

typically exhibit a rotational symmetry, i.e. they occur<br />

as a fibre texture with the texture pole being orientated<br />

in the direction of the substrate normal. As<br />

a further characteristic of thin-film textures, it was<br />

often observed that the degree of preferred orientation<br />

increases with increasing thickness. It is shown in<br />

this work how a fibre texture gradient may be modelled<br />

in kinematical X-ray diffraction <strong>and</strong> which effects<br />

it has on the intensity mapping of the I HKl reflection,<br />

when the HKl pole is the fibre axis. A general expression<br />

for I HKl is derived for a depth dependent fibre<br />

texture that is based on the finite laplace transform<br />

of the texture distribution. the concept is outlined<br />

for the cos n psi function to model the tilt-angle dependence<br />

of intensity, with the parameter n denoting<br />

the degree of texture. It is found that the measured<br />

intensity distribution sensitively depends on the ratio<br />

of texture gradient over X-ray attenuation coefficient.<br />

For particular cases, it is found that the maximum<br />

intensity may occur for non-zero tilt angles <strong>and</strong><br />

thus arise at a different tilt angle from the pole of the<br />

fibre texture.<br />

(4) Small-Angle Reciprocal Space Mapping of<br />

Surface Relief Gratings<br />

M. Birkholz, p. Zaumseil, J. Bauer, D. Bolze,<br />

G. Weidner<br />

Materials Science <strong>and</strong> engineering C 27, 1154<br />

(2007)<br />

the nanopatterning of semiconductor surfaces <strong>and</strong><br />

the subsequent preparation of bio-semiconductor<br />

hybrid devices on such surfaces will enable the application<br />

of new principles of biomolecular sensing. nanopatterning<br />

may be achieved due to decreasing minimum<br />

feature dimensions by various techniques well<br />

A n n u A l R e p o R t 2 0 0 7<br />

87


88 A n n u A l R e p o R t 2 0 0 7<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

established in CMoS processing. Here, the preparation<br />

<strong>and</strong> investigation of surface relief gratings (SRG) is<br />

reported that were obtained by selective n + -doping<br />

of p-type silicon wafers via 130 nm lithography <strong>and</strong><br />

ion implantation. B-doped Si (001) wafers with 0.01<br />

ohm cm were used as starting material. Both, line <strong>and</strong><br />

cross lattices of 360 <strong>and</strong> 260 nm pitch, respectively,<br />

were prepared by covering the p-doped areas <strong>and</strong><br />

implanting with 3 x 10 15 cm -2 45 keV As + . Wafers were<br />

subjected to annealing <strong>and</strong> cleaning procedures subsequently.<br />

the doping lattices with n + -p periodicity<br />

were unexpectedly identified to be associated with a<br />

topographic modulation of the wafer surface, i.e. SRG<br />

peaks were observed by X-ray rocking curve scans at<br />

small scattering angles. High SRG peak intensities of<br />

up to 80% of the specular reflection were observed in<br />

the maximum case, while AFM investigations revealed<br />

the SRGs to exhibit an rms roughness of only a few<br />

0.1 nm. It can be concluded that conventional CMoS<br />

technology allows for the preparation of SRGs with<br />

height modulations in the sub-nm range <strong>and</strong> that lateral<br />

periodicities may effectively be probed by smallangle<br />

reciprocal space mapping.<br />

(5) A Transceiver Front-End for Ultra-wide-<br />

B<strong>and</strong> Applications<br />

p.K. Datta, X. Fan, G. Fischer<br />

Ieee transactions on Circuits <strong>and</strong> Systems II<br />

54(4), 362 (2007)<br />

An integrated pulse based ultra-wide-b<strong>and</strong> (uWB)<br />

transceiver front-end is presented in this paper.<br />

the pulse generator produces Gaussian modulated<br />

pulses satisfying Federal Communication Commission<br />

spectral mask with possibility for binary-phase<br />

shift keying modulation. the generated pulses have<br />

a b<strong>and</strong>width of 2 GHz from 3.1 to 5.1 GHz. the receiver<br />

front-end consists of an uWB low-noise amplifier<br />

(lnA). the transmit <strong>and</strong> receive paths are chosen by a<br />

transmit / receive (t / R) switch. the pulse generator,<br />

t / R switch <strong>and</strong> the lnA are integrated on a single<br />

chip <strong>and</strong> fabricated using 0.25 µm SiGe:C BiCMoS<br />

technology. the integrated circuit components are<br />

designed fully differential. the off-chip antenna <strong>and</strong><br />

b<strong>and</strong>pass filter are single ended <strong>and</strong> connected to the<br />

t / R switch through a hybrid coupler.<br />

(6) Influence of Halo Implant on Leakage<br />

Current <strong>and</strong> Sheet Resistance of Ultra-<br />

Shallow P-N Junctions<br />

V.n. Faifer, D.K. Schroder, M.I. Curent,<br />

t. Claryssee, p.J. timans, t. Zangerle,<br />

W. V<strong>and</strong>ervorst, t.M.H. Wong, A. Moussa,<br />

S. McCoy, J. Gelpey, W. lerch, S. paul, D. Bolze<br />

Journal of Vacuum Science <strong>and</strong> technology<br />

B 25(5), 1588 (2007)<br />

Sheet resistance <strong>and</strong> leakage current density of<br />

spike rapid thermal processed, millisecond flash annealed,<br />

<strong>and</strong> chemical vapor deposition (CVD) grown<br />

ultra shallow junctions (uSJs) are compared with<br />

the contactless junction photovoltage technique for<br />

measurement of sheet resistance <strong>and</strong> leakage current<br />

(Rsl) <strong>and</strong> four-point probe (4pp) techniques. A significant<br />

leakage current increase for uSJs formed in<br />

halo-implanted profiles is explained by high electron<br />

<strong>and</strong> hole recombination generation in the near-surface<br />

end-of-range damaged layer enhanced by trapassisted<br />

tunneling. the reduced thermal budget of<br />

millisecond annealing allows junction formation with<br />

reduced dopant diffusion <strong>and</strong> lower sheet resistance.<br />

However, when strong halo doping is employed, there<br />

is a significant increase in junction leakage current<br />

relative to that for junctions formed by spike annealing.<br />

this rise in leakage current can be reduced by<br />

annealing the halo implants before implanting the<br />

uSJ or by lowering the halo implant dose. uSJs grown<br />

with CVD demonstrate low leakage current due to localization<br />

of recombination centers at the edge of<br />

the depletion layer where recombination (generation)<br />

is low. this study demonstrates the importance of<br />

characterizing uSJs formed in halo profile using the<br />

contactless Rsl technique <strong>and</strong> highlights the limitations<br />

of contact probes, such as four-point probes, for<br />

characterization of advanced ultralarge scale integrated<br />

junctions.<br />

(7) Load Induced Stresses <strong>and</strong> Plastic<br />

deformation in 450 mm Silicon wafers<br />

A. Fischer, G. Kissinger<br />

Applied physics letters 91(12), 111911<br />

(2007)


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

the authors present the physical basis for estimation<br />

of gravitational constraints in 450 mm silicon wafers<br />

subjected to high temperature processes. they have<br />

identified <strong>and</strong> quantified the relevant phenomena<br />

to predict the mechanical behavior of very large silicon<br />

wafers horizontally stacked <strong>and</strong> ring- or pointlike<br />

supported in a vertical-type furnace. It is shown<br />

that load induced stress at the supports increases<br />

directly proportional with increasing wafer diameter,<br />

although the weight of the wafer increases with the<br />

square of diameter. the results allow the optimization<br />

for a defect-free high temperature treatment of<br />

450 mm wafer used for leading edge device fabrication<br />

in future.<br />

(8) High-Performance BiCMOS Technologies<br />

without Epitaxially-Buried Subcollectors<br />

<strong>and</strong> deep Trenches<br />

B. Heinemann, R. Barth, D. Knoll, H. Rücker, B.<br />

tillack, W. Winkler<br />

Semiconductor Science <strong>and</strong> technology<br />

22(1), S153 (2007)<br />

A 0.25 µm SiGe:C BiCMoS technology family (SG25H)<br />

with high-speed npn <strong>and</strong> pnp transistors for different<br />

performance requirements is presented. A CMoSfriendly<br />

integration scheme is realized by using collector<br />

wells, implanted after shallow trench formation,<br />

<strong>and</strong> avoiding deep trenches <strong>and</strong> extra collector<br />

sinkers. three process variants are offered. the key<br />

bipolar transistor of the SG25H1 process is a 200 GHz<br />

npn device. the SG25H3 process offers three different<br />

types of npn HBts. the performance ranges from<br />

f t / f max / BV Ceo values of 110 GHz / 180 GHz / 2.3 V for<br />

the high-speed (HS) device to 50 GHz / 140 GHz / 4.5 V<br />

for the medium voltage (MV) device <strong>and</strong> 30 GHz /<br />

80 GHz / 6.5 V for the high-voltage (HV) transistor.<br />

the SG25H2 process provides in addition to npn transistors<br />

similar to those of SG25H1 <strong>and</strong> H3 a very highspeed<br />

SiGe:C pnp HBt with f t / f max / BV Ceo values of<br />

90 GHz / 120 GHz / 2.8 V.<br />

(9) Integrated Frequency Synthesizer in SiGe<br />

BiCMOS Technology for 60 GHz <strong>and</strong> 24 GHz<br />

wireless Applications<br />

F. Herzel, S. Glisic, W. Winkler<br />

electronics letters 43(3), 154 (2007)<br />

A fully integrated silicon-based frequency synthesiser<br />

for 60 <strong>and</strong> 24 GHz applications is presented. the<br />

relative frequency tuning range is 5 %, <strong>and</strong> the total<br />

power dissipation is 135 mW at 2.3 V supply voltage.<br />

phase noise at 48 GHz is lower than -98 dBc / Hz at<br />

1 MHz offset over the whole tuning range, which is<br />

8 dB lower than in all previous silicon-based solutions.<br />

(10) Influence of dislocation Loops on the<br />

Near-Infrared Light Emission from Silicon<br />

diodes<br />

t. Hoang, J. Hollemann, p. leMimnh, J.<br />

Schmitz, t. Mchedlidze, t. Arguirov, M. Kittler<br />

Ieee transactions on electron Devices 54(8),<br />

1860 (2007)<br />

the infrared light emission of forward-biased silicon<br />

diodes is studied. through ion implantation <strong>and</strong> anneal,<br />

dislocation loops were created near the diode<br />

junction. these loops suppress the light emission at<br />

the b<strong>and</strong>-to-b<strong>and</strong> peak around 1.1 µm. the so-called<br />

D1 line at 1.5 µm is strongly enhanced by these dislocation<br />

loops. We report a full study of photoluminescence<br />

<strong>and</strong> electroluminescence of these diodes. the<br />

results lead to new insights for the manufacturing<br />

approach of practical infrared light sources in integrated<br />

circuits.<br />

(11) Cathodoluminescence Investigation of<br />

Silicon Nanowires Fabricated by Thermal<br />

Evaporation of SiO<br />

G. Jia, t. Arguirov, M. Kittler, Z. Su, D. Yang,<br />

J. Sha<br />

Semiconductors 41(4), 391 (2007)<br />

Silicon nanowire samples fabricated by thermal evaporation<br />

of Sio powder were investigated by Cathodoluminescence.<br />

three main b<strong>and</strong>s were found at low<br />

temperatures, namely, peak 1 at about 620-650 nm<br />

(2.0-1.91 eV), peak 2 at 920 nm (1.35 eV), <strong>and</strong> peak 3<br />

A n n u A l R e p o R t 2 0 0 7<br />

8


0 A n n u A l R e p o R t 2 0 0 7<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

at 1280 nm (0.97 eV). An additional broad b<strong>and</strong> (peak<br />

4) in the infrared region with its maximum at ~1570<br />

nm (0.79 eV) appears at room temperature. the origins<br />

of the emission b<strong>and</strong>s are discussed.<br />

(12) Analytical Modeling of the Interaction of<br />

Vacancies <strong>and</strong> Oxygen for Oxide Precipitation<br />

in RTA Treated Silicon wafers<br />

G. Kissinger, J. Dabrowski, A. Sattler, C. Seuring,<br />

t. Müller, H. Richter, W. von Ammon<br />

Journal of the electrochemical Society<br />

154(6), H454 (2007)<br />

We have investigated the impact of rapid thermal<br />

annealing (RtA) induced vacancy supersaturation on<br />

oxide precipitation based as much as possible on experimental<br />

<strong>and</strong> theoretical values. oxygen precipitation<br />

after RtA processing was found to be controlled<br />

by the initial concentration of interstitial oxygen in a<br />

sixth power dependency <strong>and</strong> frozen vacancies just in<br />

a cubic dependency. the formation of tensile strained<br />

nVo 2 clusters seems to be the favored process for coherent<br />

nucleation of oxide precipitates. the reduction<br />

of interstitial oxygen can be accurately modeled<br />

for the temperature range from 1150 to 1250°C using<br />

Ham‘s theory for precipitate growth <strong>and</strong> an empirical<br />

relation based on nucleation of oxide precipitates by<br />

agglomeration of Vo 2 complexes. During RtA treatments<br />

at temperatures ≥1300°C vacancies seem to<br />

be consumed by other processes. Below RtA temperatures<br />

of 1150°C, oxide precipitation is dominated<br />

by shrunken as-grown precipitate nuclei because asgrown<br />

nuclei can be dissolved only at RtA temperatures<br />

≥1150°C.<br />

(13) Regular dislocation Networks in Silicon<br />

as a Tool for Novel Nanostructure devices<br />

M. Kittler, X. Yu, t. Mchedlidze, t. Arguirov,<br />

o.F. Vyvenko, W. Seifert, M. Reiche, t. Wilhelm,<br />

M. Seibt, o. Voß, W. Fritzsche, A. Wolff<br />

Small 3(6), 964 (2007)<br />

Well-controlled fabrication of dislocation networks<br />

in Si using direct wafer bonding opens broad possibilities<br />

for nanotechnology applications. Concepts of<br />

dislocation-network-based light emitters, manipula-<br />

tors of biomolecules, gettering <strong>and</strong> insulating layers,<br />

<strong>and</strong> three-dimensional buried conductive channels<br />

are presented <strong>and</strong> discussed. A prototype of a Si-based<br />

light emitter working at a wavelength of about<br />

1.5 µm with an efficiency potential estimated at 1%<br />

is demonstrated.<br />

(14) Silicon Nanostructures for IR Light Emitters<br />

M. Kittler, t. Arguirov, W. Seifert, X. Yu,<br />

G. Jia, o.F. Vyvenko, t. Mchedlidze, M. Reiche,<br />

t. Wilhelm, J. Sha, D. Yang<br />

Materials Science <strong>and</strong> engineering C 27(5-8),<br />

1252 (2007)<br />

the paper presents a critical analysis of Si light emitters<br />

made by ion implantation <strong>and</strong> describes novel<br />

concepts for IR light emitters based on silicon nanostructures<br />

that do not need er doping. It is shown<br />

that dislocation networks which can be generated in<br />

a well controlled way by wafer direct bonding exhibit<br />

promising light emitting properties. the luminescence<br />

of the dislocation networks can be tailored<br />

by the choice of the misorientation of the bonded<br />

wafers. It is demonstrated that efficient D1 emission<br />

(1.55 µm) at 300 K or D3 emission (1.3 µm) can be<br />

obtained for specific misorientations. An enhancement<br />

of the luminescence is observed when applying<br />

a bias voltage across the network, caused by a changed<br />

occupation of the states at the network. oxygen<br />

in the dislocation network is supposed to increase<br />

the intensity of the D1 luminescence. Si nanowires<br />

are discussed as another potential c<strong>and</strong>idate for IR<br />

emitters. Among other lines, efficient luminescence<br />

around 1.55 µm is found at 300 K in nanowires. this<br />

emission line is attributed to extended defects within<br />

the nanowires.<br />

(15) Globally Asynchronous, Locally Synchronous<br />

Circuits: Overview & Outlook<br />

M. Krstic, e. Grass, F. Gürkaynak, p. Vivet<br />

Ieee Design & test 24(5), 430 (2007)<br />

this article provides a pragmatic survey on the state<br />

of the art in GAlS architectural techniques, design<br />

flows, <strong>and</strong> applications. the authors also prescribe<br />

several industrial inventions <strong>and</strong> changes in metho-


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

dology, tools, <strong>and</strong> design flow that would improve<br />

GAlS-based integration of Ip blocks.<br />

(16) Crosslayer Firewall Interaction as a Means<br />

to Provide Effective <strong>and</strong> Efficient Protection<br />

at Mobile devices<br />

p. langendörfer, K. piotrowski, S. peter,<br />

M. lehmann<br />

Computer Communications 30(7), 1487<br />

(2007)<br />

In this paper, we discuss packet filtering firewalls <strong>and</strong><br />

an application level gateway approach used to secure<br />

h<strong>and</strong>held devices. We propose a firewall management<br />

plane as a means for crosslayer interaction. In our<br />

approach the application level gateway updates the<br />

firewall rules based on its knowledge about whether<br />

or not a certain source is sending malicious packets.<br />

Hereby, we pursue a policy of removing malicious packets<br />

as close as possible to the network interface.<br />

We show that in case of secure web service such a<br />

crosslayer interaction can significantly decrease the<br />

Cpu load in case of attacks, i.e., if many malicious<br />

packets arrive at the h<strong>and</strong>held device. our measurement<br />

results show that our crosslayer approach can<br />

reduce the Cpu load caused by the application layer<br />

gateway by about 10–30%. Finally, we propose an integrated<br />

firewall processing approach that promises<br />

further improvements. It integrates the application<br />

controlled firewall before the MAC <strong>and</strong> provides crosslayer<br />

mechanisms to reduce the performance issues of<br />

traditional firewall approaches.<br />

(17) Morphology <strong>and</strong> Composition of Selected<br />

High-k Materials <strong>and</strong> Their Relevance to<br />

dielectric Properties of Thin-Films<br />

G. lippert, J. Dabrowski, I. Costina, G. lupina,<br />

Ch. Wenger, p. Zaumseil, H.-J. Müssig<br />

eCS transactions 6(3), 773 (2007)<br />

We discuss some of the issues associated with the relation<br />

between the leakage current <strong>and</strong> the dielectric<br />

constant on the one h<strong>and</strong>, <strong>and</strong> the crystallographic<br />

structure <strong>and</strong> the chemical composition of the film on<br />

the other. We compare the technology requirements<br />

for various applications, the open questions, <strong>and</strong> the<br />

known answers <strong>and</strong> physical mechanisms. We focus<br />

mostly on dielectrics containing pr oxides. Starting<br />

with the binary pr 2 o 3 , we investigate electronic properties<br />

<strong>and</strong> formation energies of point defects as<br />

revealed by ab initio calculations <strong>and</strong> we attempt to<br />

associate this data with the experimental information<br />

on the influence of processing on the dielectric<br />

quality of the film. We then consider pr silicates on<br />

Si for MoSFets <strong>and</strong> pr x Al 2-x o 3 on tin for MIM frontend<br />

applications. In the latter case, annealing above<br />

about 800°C needed to noticeably increase the effective<br />

dielectric constant causes an increased leakage.<br />

In this context, we discuss the diffusion mechanism<br />

for ti <strong>and</strong> the influence of ti on the leakage current.<br />

(18) Atomic-Vapor-deposited HfO 2 <strong>and</strong> Sr 4 Ta 2 O 9<br />

Layers for Metal-Insulator-Metal Applications<br />

M. lukosius, Ch. Wenger, t. Schroeder,<br />

J. Dabrowski, R. Sorge, I. Costina,<br />

H.-J. Müssig, S. pasko, Ch. lohe<br />

Microelectronic engineering 84, 2165 (2007)<br />

Sr 4 ta 2 o 9 <strong>and</strong> Hfo 2 films were prepared on 200 mm<br />

tin / Si(100) substrates by Atomic Vapour Deposition<br />

(AVD). Depositions were carried out within a thermal<br />

budget of CMoS back end of line. electrical properties<br />

have been investigated in metal-insulator-metal<br />

capacitors after sputter deposition of Au top electrodes.<br />

Both Sr 4 ta 2 o 9 <strong>and</strong> Hfo 2 dielectrics show excellent<br />

electrical performances. oxides possess high<br />

capacitance densities of 3.5 fF / µm 2 (Hfo 2 ) <strong>and</strong> 4.5<br />

fF / µm 2 (Sr 4 ta 2 o 9 ) in combination with high voltage<br />

linearity (Alpha


2 A n n u A l R e p o R t 2 0 0 7<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

Spectral positions of dislocation-related luminescence<br />

(DRl) peaks from dislocation loops located<br />

close to a p-n junction in silicon were shifted by carrier<br />

injection level. We suppose that the excitonic<br />

transition energies of DRl were reduced by an effective<br />

electric field at dislocation sites due to quadratic<br />

Stark effect (QSe). the field results from built-in<br />

junction field reduced by carrier injection. A constant<br />

of the shift, obtained from fitting of the data with QSe<br />

equation, was 0.0186 meV / (kV / cm) 2 . the effect can<br />

explain the diversity of DRl spectra in silicon <strong>and</strong> may<br />

allow tuning <strong>and</strong> modulation of DRl for future photonic<br />

applications.<br />

(20) Signatures of distinct Structures Related<br />

to Rod-like defects in Silicon detected by<br />

Various Measurement Methods<br />

t. Mchedlidze, t. Arguirov, G. Jia, M. Kittler<br />

physica Status Solidi A 204(7), 2229 (2007)<br />

Silicon samples containing rod-like defects (RlD)<br />

<strong>and</strong> pre-characterized by the electric-dipole spin<br />

resonance (eDSR) method were investigated by<br />

photoluminescence (pl) <strong>and</strong> deep level transient<br />

spectroscopy (DltS) methods. employing previously<br />

reported strict correlation between the eDSR signatures<br />

of various RlD structures <strong>and</strong> their structural<br />

models developed from microscopy (teM) investigations<br />

it became possible to associate pl <strong>and</strong> DltS<br />

features with these defects. the results suggest that<br />

at low measurement temperatures, i.e. at 10 K, sharp<br />

pl emission peak detected at 1405 nm is related to<br />

line-interstitial defects (lID), that detected at 1372<br />

nm to plane defects (pD) <strong>and</strong> two peaks detected at<br />

1426 nm <strong>and</strong> 1515 nm to dislocation dipoles (DD).<br />

two energy b<strong>and</strong>s related to lIDs are positioned at<br />

0.2 eV <strong>and</strong> 0.25 eV from the conduction b<strong>and</strong> of Si.<br />

B<strong>and</strong>-like states associated with pD are positioned at<br />

0.5 eV <strong>and</strong> those related to DDs at 0.32-0.36 eV below<br />

the conduction b<strong>and</strong>. properties of DltS signatures<br />

<strong>and</strong> temperature dependencies for the pl peaks are<br />

reported.<br />

(21) Structural <strong>and</strong> Optical Properties of<br />

Si / SiO 2 Multi-Quantum wells<br />

t. Mchedlidze, t. Arguirov, M. Kittler, R.<br />

Roelver, B. Berghoff, M. Foerst <strong>and</strong> B. Spangenberg<br />

physica e 38(1-2), 152 (2007)<br />

Structural <strong>and</strong> optical properties of Si / Sio 2 multiquantum<br />

wells (MQW) were investigated by means<br />

of Raman scattering <strong>and</strong> photoluminescence (pl)<br />

spectroscopy. the MQW structures were fabricated<br />

on a quartz substrate by remote plasma enhanced<br />

chemical vapour deposition (RpeCVD) of alternating<br />

amorphous Si <strong>and</strong> Sio 2 layers. After layer deposition<br />

the samples were subjected to heat treatments, i.e.<br />

rapid thermal annealing (RtA) <strong>and</strong> furnace annealing.<br />

Distinct pl signatures of confined carriers evidenced<br />

formation of Si-nanocrystals (nc-Si) in annealed samples.<br />

Analyses of Raman spectra also show presence<br />

of nc-Si phase along with amorphous-Si (a-Si) phase<br />

in the samples. the strong influence of the annealing<br />

parameters on the formation of nc-Si phase suggests<br />

broad possibilities in engineering MQW with various<br />

optical properties. Interestingly, conversion of the<br />

a-Si phase to the nc-Si phase saturates after certain<br />

time of furnace annealing. on the other h<strong>and</strong>, thinner<br />

Si layers showed a disproportionately lower crystalline<br />

volume fraction. From the obtained results we could<br />

assume that an interface strain prevents full crystallization<br />

of the Si layers <strong>and</strong> that the strain is larger for<br />

thinner Si layers. the anomalous dependence of nc-<br />

Si Raman scattering peak position on deposited layer<br />

thickness observed in our experiments also supports<br />

the above assumption.<br />

(22) Phase Noise <strong>and</strong> Jitter Modeling for<br />

Fractional-N PLLs<br />

S.A. osmany, F. Herzel, K. Schmalz, W. Winkler<br />

Advances in Radio Science 5, 313 (2007)<br />

We present an analytical phase noise model for fractional-n<br />

phase-locked loops (pll) with emphasis<br />

on integrated RF synthesizers in the GHz range. the<br />

noise of the crystal reference, the voltage-controlled<br />

oscillator (VCo), the loop filter, the charge pump, <strong>and</strong><br />

the sigma-delta modulator (SDM) is filtered by the


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

pll operation. We express the rms phase error (jitter)<br />

in terms of phase noise of the reference, the VCo phase<br />

noise <strong>and</strong> the third-order loop filter parameters. In<br />

addition, we consider oFDM systems, where the pll<br />

phase noise is reduced by digital signal processing<br />

after down-conversion of the RF signal to baseb<strong>and</strong>.<br />

the rms phase error is discussed as a function of the<br />

loop parameters. our model drastically simplifies the<br />

noise optimization of the pll loop dynamics.<br />

(23) Scanning Probe Studies of the Electrical<br />

Activity at Interfaces Formed by Silicon<br />

wafer direct Bonding<br />

M. Ratzke, o.F. Vyvenko, X. Yu, J. Reif,<br />

M. Kittler, M. Reiche<br />

physica Status Solidi C 4(8), 2893 (2007)<br />

In order to investigate the electrical properties at the<br />

surface of dislocation rich silicon, we conducted electrostatic<br />

Force Microscopy on cross-sections of samples<br />

prepared by Wafer Direct Bonding. the applied<br />

methods, namely Scanning Kelvin probe Microscopy<br />

<strong>and</strong> non-contact Scanning Capacitance Microscopy,<br />

yield a distinct contrast at the position of the dislocation<br />

area, i.e. the bonding interface, indicating<br />

strong electrical activity. For an explanation of the<br />

explicit electrostatic potential extracted from the experiment<br />

a simple model taking into account only an<br />

intrinsic charge distribution at the dislocation area<br />

appears to be insufficient. Instead, a more complex<br />

approach has to be used considering carrier generation<br />

<strong>and</strong> recombination by additional, dynamic mechanisms.<br />

(24) Use of Ultrasound for Metal Cluster<br />

Engineering in Ion Implanted Silicon<br />

A. Romanyuk, p. oelhafen, R. Kurps, V. Melnik<br />

Applied physics letters 90, 013118 (2007)<br />

this letter presents an approach to metal cluster engineering<br />

in silicon oxide that uses ultrasound vibration<br />

applied in situ during implantation. Analysis by<br />

transmission electron microscopy has demonstrated<br />

that in situ applied acoustic vibrations result in a<br />

lowering of the clustering threshold <strong>and</strong> an increase<br />

in cluster size after subsequent annealing. the results<br />

are interpreted in terms of the interaction between<br />

ultrasonic vibrations <strong>and</strong> point defects leading to the<br />

formation of vacancy-rich regions, as determined by<br />

deuterium decoration method. the excess of vacancies<br />

in the precipitation region facilitates nucleation<br />

<strong>and</strong> stimulates cluster growth due to enhanced diffusion<br />

of metal species.<br />

(25) Heteroepitaxial Praseodymium Sesquioxide<br />

Films on Si(111): A New Model Catalyst<br />

System for Praseodymium Oxide Based<br />

Catalysts<br />

A. Schaefer, Yu. Borchert, M. Bäumer,<br />

t. Schroeder, G. lupina, Ch. Wenger,<br />

J. Dabrowski<br />

Surface Science 601, 1473 (2007)<br />

the structure, growth <strong>and</strong> stoichiometry of heteroepitaxial<br />

pr 2 o 3 films on Si(111) were characterized by<br />

a combined RHeeD, XRD, XpS <strong>and</strong> upS study in view of<br />

future applications as a surface science model catalyst<br />

system. RHeeD <strong>and</strong> XRD confirm the growth of a (0001)<br />

oriented hexagonal pr 2 o 3 phase on Si(111), matching<br />

the surface symmetry by aligning the oxide<br />

in-plane direction along the Si azimuth. After<br />

an initial nucleation stage RHeeD growth oscillation<br />

studies point to a Frank-van der Merwe growth mode<br />

up to a thickness of approximately 12 nm. XpS <strong>and</strong><br />

upS prove that the initial growth of the pr 2 o 3 layer<br />

on Si up to ~1 nm thickness is characterized by an interface<br />

reaction with Si. nevertheless stoichiometric<br />

pr 2 o 3 films of high crystalline quality form on top of<br />

these pr-silicate containing interlayers.<br />

(26) An Integrated 5 GHz wideb<strong>and</strong> Quadrature<br />

Modem for OFdM Gbit / s Transmission in<br />

SiGe:C BiCMOS<br />

K. Schmalz, e. Grass, F. Herzel, M. piz<br />

International Journal of Microwave Science<br />

<strong>and</strong> technology Vol. 2007, Article ID 47927<br />

(2007)<br />

this paper presents a wideb<strong>and</strong> I / Q modulator for<br />

the 5 GHz b<strong>and</strong>, which is integrated with a 5 GHz phase-locked<br />

loop for I / Q generation. the quadrature<br />

signals are derived from a 10 GHz CMoS VCo followed<br />

A n n u A l R e p o R t 2 0 0 7


A n n u A l R e p o R t 2 0 0 7<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

by a bipolar frequency divider. the image rejection of<br />

the modulator is -35 dBc for input frequencies up to<br />

200 MHz. phase noise at 1 MHz is below -112 dBc / Hz<br />

at the modulator output. the chip was produced in<br />

a 0.25 µm SiGe BiCMoS technology. the modulator<br />

<strong>and</strong> the corresponding demodulator will be part of an<br />

integrated 60 GHz oFDM wideb<strong>and</strong> heterodyne transceiver<br />

with 1 Gbit / s data rate.<br />

(27) Self Assembled Ge Nanocrystals on High-k<br />

Cubic Pr 2 O 3 (111) / Si(111) Support Systems<br />

t. Schroeder, I. Costina, G. Weidner,<br />

A. Giussani, o. Seifarth, Ch. Wenger,<br />

p. Zaumseil, C. Mucota, t.H. Metzger,<br />

D. Geiger, H. lichte<br />

Journal of Applied physics 102, 034107<br />

(2007)<br />

the stoichiometry, structure, <strong>and</strong> defects of self-assembled<br />

heteroepitaxial Ge nanodots on twin-free<br />

type B oriented cubic pr 2 o 3 (111) layers on Si(111)<br />

substrates are studied to shed light on the fundamental<br />

physics of nanocrystal based nonvolatile memory<br />

effects. X-ray photoelectron spectroscopy studies<br />

prove the high stoichiometric purity of the Ge<br />

nanodots on the cubic pr 2 o 3 (111) / Si(111) support<br />

system. Synchrotron based x-ray diffraction, including<br />

anomalous scattering techniques, was applied<br />

to determine the epitaxial relationship, showing that<br />

the heteroepitaxial Ge(111) nanodots crystallize in<br />

the cubic diamond structure with an exclusive type A<br />

stacking configuration with respect to Si(111). Grazing<br />

incidence small angle x-ray scattering was used<br />

in addition to analyze the average shape, size, <strong>and</strong><br />

distance parameters of the single crystalline Ge nanocrystal<br />

ensemble. Furthermore, transmission electron<br />

micrographs report that partial dislocations are the<br />

prevailing extended defect structure in the Ge nanodots,<br />

mainly induced by surface roughness on the<br />

atomic scale of the cubic pr 2 o 3 (111) support.<br />

(28) Synchrotron Radiation X-Ray Photoelectron<br />

Spectroscopy Study on the Interface Chemistry<br />

of High-k Pr x Al 2-x O 3 (x= 0 to 2)<br />

dielectrics on TiN for dynamic R<strong>and</strong>om<br />

Access Memory Applications<br />

t. Schroeder, G. lupina, G. lippert, Ch. Wenger,<br />

o. Seifarth, M. tallarida, D. Schmeißer<br />

Journal of Applied physics 102, 014103<br />

(2007)<br />

engineered dielectrics combined with compatible<br />

metal electrodes are important materials science approaches<br />

to scale three-dimensional trench dynamic<br />

r<strong>and</strong>om access memory (DRAM) cells. Highly insulating<br />

dielectrics with high dielectric constants were<br />

engineered in this study on tin metal electrodes by<br />

partly substituting Al in the wide b<strong>and</strong> gap insulator<br />

Al 2 o 3 by pr cations. High quality prAlo 3 metal-insulator-metal<br />

capacitors were processed with a dielectric<br />

constant of 19, three times higher than in the case of<br />

Al 2 o 3 reference cells. As a parasitic low dielectric constant<br />

interface layer between prAlo 3 <strong>and</strong> tin limits<br />

the total performance gain, a systematic nondestructive<br />

synchrotron x-ray photoelectron spectroscopy<br />

study on the interface chemistry of pr x Al 2-x o 3 (x=0–2)<br />

dielectrics on tin layers was applied to unveil its<br />

chemical origin. the interface layer results from the<br />

decreasing chemical reactivity of pr x Al 2-x o 3 dielectrics<br />

with increasing pr content x to reduce native ti oxide<br />

compounds present on unprotected tin films. Accordingly,<br />

prAlo 3 based DRAM capacitors require strict<br />

control of the surface chemistry of the tin electrode,<br />

a parameter furthermore of importance to engineer<br />

the b<strong>and</strong> offsets of pr x Al 2-x o 3 / tin heterojunctions.<br />

(29) High-density-Plasma (HdP)-CVd Oxide to<br />

Thermal Oxide wafer Bonding for Strained<br />

Silicon Layer Transfer Applications<br />

R. Singh, I. Radu, M. Reiche, C. Himcinschi, B.<br />

Kuck, B. tillack, u. Gösele, S.H. Christiansen<br />

Applied Surface Science 253(7), 3595 (2007)<br />

Direct wafer bonding between high-density-plasma<br />

chemical vapour deposited (HDp-CVD) oxide <strong>and</strong><br />

thermal oxide (to) has been investigated. HDp-CVD<br />

oxides, about 230 nm in thickness, were deposited on


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

Si(0 0 1) control wafers <strong>and</strong> the wafers of interest that<br />

contain a thin strained silicon (sSi) layer on a so-called<br />

virtual substrate that is composed of relaxed SiGe<br />

(4 µm thick) on Si(0 0 1) wafers. the surfaces of the<br />

as-deposited HDp-CVD oxides on the Si control wafers<br />

were smooth with a root-mean-square (RMS) roughness<br />

of 2 nm. After<br />

HDp-CVD oxide deposition on the sSi / SiGe / Si substrates,<br />

the RMS roughness of the oxide surfaces was<br />

also found to be the same, i.e., >2 nm. to use these<br />

wafers for direct bonding the RMS roughness had to<br />

be reduced below 1 nm, which was carried out using<br />

a chemo-mechanical polishing (CMp) step. After<br />

bonding the HDp-CVD oxides to thermally oxidized<br />

h<strong>and</strong>le wafers, the bonded interfaces were mostly<br />

bubble- <strong>and</strong> void-free for the silicon control <strong>and</strong> the<br />

sSi / SiGe / Si(0 0 1) wafers. the bonded wafer pairs<br />

were then annealed at higher temperatures up to<br />

800 °C <strong>and</strong> the bonded interfaces were still found to<br />

be almost bubble- <strong>and</strong> void-free. thus, HDp-CVD oxide<br />

is quite suitable for direct wafer bonding <strong>and</strong> layer<br />

transfer of ultrathin sSi layers on oxidized Si wafers<br />

for the fabrication of novel sSoI substrates.<br />

(30) Efficient Inner Receiver design for<br />

OFdM-Based wLAN Systems: Algorithm <strong>and</strong><br />

Architecture<br />

A. troya, K. Maharatna, M. Krstic, e. Grass,<br />

u. Jagdhold, R. Kraemer<br />

Ieee transactions on Wireless Communications<br />

6(4), 1374 (2007)<br />

In this article we propose a complete solution for the<br />

so-called Inner Receiver of an oFDM-WlAn system based<br />

on the Ieee 802.11a st<strong>and</strong>ard. We concentrate our<br />

investigations on three key components forming the<br />

Inner Receiver namely, the Synchronizer, the Channel<br />

estimator <strong>and</strong> the Digital timing loop. the main<br />

goal is the joint optimization of the signal processing<br />

algorithms along with the implementation friendly<br />

VlSI architecture required for these three key components<br />

in order to reduce power, area <strong>and</strong> latency,<br />

without compromising the performance excessively.<br />

We provide both the mathematical details <strong>and</strong> extensive<br />

computer simulations to validate our design.<br />

(31) Gamma Radiation Effects on different<br />

Varieties of SiGe:C HBT Technologies<br />

M. ullan, S. Diez, F. Campabadal, G. pellegrini,<br />

D. Knoll, B. Heinemann<br />

Ieee transactions on nuclear Science 54(4),<br />

989 (2007)<br />

We have studied the ionization damage produced by<br />

gamma irradiation on transistors from three different<br />

varieties of SiGe:C HBt technologies from Innovation<br />

for High performance <strong>Microelectronics</strong> (IHp), Germany.<br />

the results show strong gain degradations at the<br />

highest doses, with an indication of damage saturation.<br />

We did not observe strong differences in radiation<br />

tolerance among the three different technologies.<br />

these studies are in the framework of the radiation<br />

assurance tests of SiGe BiCMoS technologies for their<br />

possible application in the front-end readout electronics<br />

of the detector modules of the future AtlAS upgrade<br />

for the Super-lHC, but space-oriented applications<br />

are also considered. A comparison is presented<br />

with previous gamma irradiations of different SiGe<br />

technologies in the literature.<br />

(32) Radiation Hardness Evaluation of SiGe<br />

HBT Technologies for the Front-End Electronics<br />

of the ATLAS Upgrade<br />

M. ullan, S. Diez, F. Campabadal, M. lozano,<br />

G. pellegrini, D. Knoll, B. Heinemann<br />

nuclear Instruments <strong>and</strong> Methods in physics<br />

Research A 579, 828 (2007)<br />

We studied the radiation hardness of different SiGe<br />

BiCMoS technologies in the search for a proper<br />

microelectronic technology to be used in the design<br />

of the Front-end chip for the readout of detectors<br />

of the Inner Detector of the AtlAS upgrade for the<br />

future Super-lHC. Gamma <strong>and</strong> neutron irradiations<br />

were performed in order to account for ionization<br />

<strong>and</strong> displacement damage. the results show that all<br />

technologies are still functional after irradiation to<br />

the levels expected at the real experiment. Small differences<br />

were observed among technologies, therefore<br />

more statistics would be needed in order to make<br />

a selection of technology for the final design.<br />

A n n u A l R e p o R t 2 0 0 7


6 A n n u A l R e p o R t 2 0 0 7<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(33) An Area Efficient Realization of AES<br />

for wireless devices<br />

F. Vater, p. langendörfer<br />

it - Information technology 3, 188 (2007)<br />

In this paper we describe our own AeS implementation,<br />

which supports encryption as well as decryption.<br />

our major design goal was to reduce the area while<br />

still being capable to support high speed wireless<br />

networks such as Ieee 802.11a. our AeS solution<br />

provides a throughput of 54 MBit / s at 33 MHz <strong>and</strong><br />

requires an area of 0.33 mm 2 in a 0.25 µm technology.<br />

this version may be run at up to 66 MHz which<br />

gives a throughput of 108 MBit / s. During the design<br />

we took into account global as well as local optimisations,<br />

i.e. optimisations which could be done inside<br />

an individual operation without affecting the rest of<br />

the design.<br />

(34) Combined CL / EBIC / dLTS Investigation of a<br />

Regular dislocation Network Formed by<br />

Silicon wafer direct Bonding<br />

X. Yu, o. Vyvenko, M. Kittler, W. Seifert,<br />

t. Mchedlidze, t. Arguirov, M. Reiche<br />

Semiconductors 41(4), 458 (2007)<br />

electrical levels of the dislocation network in Si <strong>and</strong><br />

recombination processes via these levels were studied<br />

by means of the combination of grain-boundary deep<br />

level transient spectroscopy, grain-boundary electron<br />

beam induced current (GB-eBIC) <strong>and</strong> cathodoluminescence<br />

(Cl). It was found two deep level traps <strong>and</strong><br />

one shallow trap existed at the interface of the bonded<br />

interface; these supply the recombination centers<br />

for carriers. the total recombination probability<br />

based on GB-eBIC data increased with the excitation<br />

level monotonically; however, the radiative recombination<br />

based on D1-D2 Cl data exhibited a maximum<br />

at a certain excitation level. By applying an external<br />

bias across the bonded interface, the Cl signal of<br />

D-lines was enhanced dramatically. these results are<br />

consistent with our models about two channels of recombination<br />

via the trap levels.<br />

(35) Enhancement of IR Emission from a<br />

dislocation Network in Si due to an External<br />

Bias Voltage<br />

X. Yu, o.F. Vyvenko, M. Reiche, M. Kittler<br />

Materials Science <strong>and</strong> engineering C 27(5-8),<br />

1026 (2007)<br />

Si-based light emitters with efficient emission at 1.5<br />

or 1.3 µm are required for on-chip optical interconnection<br />

for the ultra large scale integrated circuits in<br />

the future. In this paper, we have shown that dislocation<br />

networks in Si formed by direct wafer bonding<br />

emit a quartet of luminescence D-lines. the D-line<br />

spectrum can be tailored by the structure of the dislocation<br />

network. the D1 or D3, with a wavelength of<br />

1.5 or 1.3 µm respectively, can be made dominating<br />

in the luminescence spectrum. An external bias voltage<br />

applied to the bonded interface can significantly<br />

enhance the luminescence intensity of D-lines.<br />

(36) Luminescence of dislocations Network in<br />

directly Bonded Silicon wafers<br />

X. Yu, o.F. Vyvenko, W. Seifert, t. Arguirov,<br />

t. Wilhelm, M. Reiche<br />

physica Status Solidi C 4(8), 3025 (2007)<br />

the luminescence behaviors of dislocation network<br />

in directly bonded silicon wafers have been investigated<br />

in this paper. the individual dislocations were<br />

observed in the sample bonded with extreme small<br />

misorientation angles by electron beam induced current<br />

(eBIC) technique. the temperature dependence<br />

of eBIC contrast of the dislocation lines showed that<br />

its contamination degree was smaller than 10 4 / cm.<br />

the cathodoluminescence (Cl) from the dislocation<br />

networks showed D1-line existed in all the bonded<br />

samples, often along with D2-line. the D3 / D4-lines<br />

could also be obtained by tuning the misorientations.<br />

Meanwhile, the application of an external bias<br />

can effectively enhance the luminescence. Furthermore,<br />

a metal-insulator (Sio x , x < 2)-semiconductor<br />

light-emitting diode (MoS-leD) based on the bonded<br />

silicon wafer was demonstrated.


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(37) X-Ray Characterization of Periodic<br />

Sub-nm Surface Relief Gratings<br />

p. Zaumseil, M. Birkholz, G. Weidner<br />

physica Status Solidi A 204(8), 2657 (2007)<br />

line <strong>and</strong> cross lattices of 260 <strong>and</strong> 360 nm pitch were<br />

prepared by covering p-doped Si(100) substrates<br />

with photoresist, structuring <strong>and</strong> implanting with<br />

3 × 10 15 cm –2 , 45 keV As + ions. these doping lattices<br />

with n + –p periodicity were investigated by X-ray diffraction<br />

(XRD) <strong>and</strong> reflectivity (XRR). While XRD did<br />

not show any signal of the periodic structure, XRR revealed<br />

a clear periodic diffraction pattern related to<br />

the pitch of the doping lattice. the features of this<br />

pattern as a function of the lattice orientation are<br />

discussed in detail for the cross lattice. Atomic force<br />

microscopy showed that the measured diffraction<br />

pattern is caused by a surface relief grating with subnm<br />

amplitude, which was generated by a final doping<br />

dependent etching step during sample preparation.<br />

(38) Radially Non-Uniform Interaction of<br />

Nitrogen with Silicon wafers<br />

V.D. Akhmetov, G. Kissinger, A. Fischer,<br />

G. Morgenstern, G. Ritter, M. Kittler<br />

proc. of 12 th International Conference on<br />

Defects-Recognition, Imaging <strong>and</strong> physics in<br />

Semiconductors (DRIp XII), book of abstracts,<br />

30 (2007)<br />

(39) Behavior of N Atoms on Atomic-Order-<br />

Nitrided Si 0.5 Ge 0.5 (100)<br />

n. Akiyama, M. Sakuraba, B. tillack, J. Murota<br />

proc. 5 th International Symposium on Control<br />

of Semiconductor Interfaces, extended abstr.<br />

<strong>and</strong> program, 71 (2007)<br />

(40) Heat-Treatment Effect on Structure of<br />

Atomic-Order Nitrided Si 0.5 Ge 0.5 (100)<br />

Using Low Pressure CVd<br />

n. Akiyama, M. Sakuraba, B. tillack, J. Murota<br />

proc. 3 rd International Workshop on new<br />

Group IV Semiconductor nanoelectronics,<br />

abstr. book, 55 (2007)<br />

(41) Structural Change of Atomic-Order Nitride<br />

Formed on Si 1-x Ge x (100) <strong>and</strong> Ge(100) by<br />

Heat Treatment<br />

n. Akiyama, M. Sakuraba, B. tillack, J. Murota<br />

proc. 5 th International Conference on Silicon<br />

epitaxy <strong>and</strong> Heterostructures (ICSI-5), abstr.,<br />

216 (2007)<br />

(42) High Resolution Rutherford Backscattering<br />

Spectrometry for Investigating Interdiffusion<br />

of Thin Films<br />

Ch. Borschel, M. Schnell, M. uhrmacher,<br />

C. Ronning, Ch. Wenger, H. Hofsäss<br />

Verh<strong>and</strong>lungen der DpG 4, 238 (2007)<br />

(43) Interdiffusion at the Interface of High-k<br />

Pr 2 O 3 Layers Grown on Si<br />

Ch. Borschel, M. Schnell, H. Hofsäss,<br />

Ch. Wenger, C. Ronning<br />

Verh<strong>and</strong>lungen der DpG 4, 217 (2007)<br />

(44) A Fully Integrated Fully differential<br />

Low-Noise Amplifier for Short Range Automotive<br />

Radar Using a SiGe:C BiCMOS Technology<br />

S. Chartier, B. Schleicher, F. Korndörfer,<br />

S. Glisic, G.G. Fischer, H. Schumacher<br />

proc. european Microwave Week, 407 (2007)<br />

(45) SiGe Millimeter-wave dynamic Frequency<br />

divider with Enhanced Sensitivity Incorporating<br />

a Transimpedance Stage<br />

S. Chartier, l. liu, G.G. Fischer, S. Glisic,<br />

H. Höhnemann, A. trasser, H. Schumacher<br />

proc. european Microwave Week, 84 (2007)<br />

(46) Charge Traps in High-k dielectrics:<br />

ab Initio Study of defects in Pr-Based<br />

Materials<br />

J. Dabrowski, A. Fleszar, G. lippert, G. lupina,<br />

A.u. Mane, Ch. Wenger<br />

Rare earth oxide thin Films / ed. by<br />

M. Fanciulli, G. Scarel, Berlin, Springer Verl.<br />

(topics in Applied physics; 106), 247 (2007)<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


8 A n n u A l R e p o R t 2 0 0 7<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(47) The Effects of X-Ray <strong>and</strong> Proton Irradiation<br />

on a 200 GHz / 90 GHz Complementary<br />

(npn + pnp) SiGe:C HBT Technology<br />

R.M. Diestelhorst, S. Finn, B. Jun, A.K. Sutton,<br />

p. Cheng, p.W. Marshall, J.D. Cressler, R.D.<br />

Schrimpf, D.M. Fleetwood, H. Gustat, B. Heinemann,<br />

G.G. Fischer, D. Knoll, B. tillack<br />

proc. Ieee nuclear <strong>and</strong> Space Radiation<br />

effects Conference, (2007)<br />

(48) A Hardware Accelerated Implementation<br />

of the IEEE 802.15.3 MAC Protocol<br />

D. Dietterle, J.-p. ebert, R. Kraemer<br />

proc. 1 st IFIp International Conference on<br />

Wireless Sensor <strong>and</strong> Actor networks (WSAn‚<br />

07), Wireless <strong>and</strong> Actuator networks / eds.<br />

l. orozco-Barbosa, t. olivares, R. Casado, A.<br />

Bermudez, (Boston: Springer), 215 (2007)<br />

(49) SiGe Bipolar Transistors for Harsh Radiation<br />

Environments<br />

S. Diez, M. ullan, F. Campabadal, M. lozano,<br />

G. pellegrini, D. Knoll, B. Heinemann<br />

proc. of the 6 th Spanish Conference on<br />

electronic Devices, (2007)<br />

(50) A SiGe:C BiCMOS Technology for 77-81 GHz<br />

Automotive Radar Applications<br />

G.G. Fischer, S. Glisic<br />

proc. european Microwave Week, WSW5,<br />

(Automotive High Frequency electronics -<br />

KoKon) (2007)<br />

(51) A Low Phase Noise Integrated SiGe<br />

18…20 GHz Fractional-N Synthesizer<br />

R. Follmann, D. Köther, t. Kohl, M. engels,<br />

V. Heyer, K. Schmalz, F. Herzel, W. Winkler,<br />

S. osmany, u. Jagdhold<br />

proc. european Microwave Week, 263 (2007)<br />

(52) doping Concentration Control of SiGe<br />

Layers by Spectroscopic Ellipsometry<br />

o. Fursenko, J. Bauer, p. Zaumseil,<br />

Y. Yamamoto, B. tillack<br />

proc. 5 th International Conference on Silicon epitaxy<br />

<strong>and</strong> Heterostructures (ICSI-5), abstr., 247 (2007)<br />

(53) Characterization of Silicide Stacks by<br />

Combination of Spectroscopic Ellipsometry<br />

<strong>and</strong> Reflectometry<br />

o. Fursenko, D. Bolze, I. Costina, p. Zaumseil,<br />

t. Huelsmann, J. niess, W. lerch<br />

proc. ICSe 2007, 153 (2007)<br />

(54) Self-assembled Single Crystalline Ge<br />

Nanodots on Twin-free Pr 2 O 3<br />

A. Giussani, t. Schroeder, C. Mocuta, t.-H.<br />

Metzger, p. Formanek, D. Geiger, H. lichte<br />

Verh<strong>and</strong>lungen der DpG 4, 239 (2007)<br />

(55) 60 GHz Channel Plan Proposal<br />

e. Grass, p. pagani, A. Bourdoux<br />

proc. Ieee 802.15 plenary Meeting, Ieee<br />

Doc.-no, 802.15-07-0769-00-03c (2007)<br />

(56) 60 GHz wLAN / wPAN: Potential <strong>and</strong><br />

Limitations, Applications <strong>and</strong> St<strong>and</strong>ardization<br />

Status<br />

e. Grass, M. piz, K. tittelbach-Helmrich,<br />

R. Kraemer<br />

proc. european Microwave Week, (WSW8),<br />

Workshop notes, (2007)<br />

(57) 60 GHz SiGe-BiCMOS Radio for OFdM<br />

Transmission<br />

e. Grass, F. Herzel, M. piz, K. Schmalz, Y. Sun,<br />

S. Glisic, M. Krstic, K. tittelbach-Helmrich,<br />

M. ehrig, W. Winkler, J.C. Scheytt, R. Kraemer<br />

proc. ISCAS 2007, 1979 (2007)<br />

(58) ätzstopp-Phänomene beim Plasmaätzen<br />

tiefer Trenche für sub-100nm-Technologien<br />

S. Günther, H.H. Richter, S. Marschmeyer, G.<br />

Weidner, H. Silz, I. Costina, K. Schulz,<br />

S. Berger<br />

proc. 13. Fachtagung plasmatechnologie, 84<br />

(2007)<br />

(59) de-embedding <strong>and</strong> Modeling of pnp<br />

SiGe HBT‘s<br />

D. Hadziabdic, C. Jiang, t.K. Johansen,<br />

V. Krozer, G.G. Fischer, B. Heinemann<br />

proc. european Microwave Week, 195 (2007)


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(60) A 30 GS / s 4-Bit Binary weighted dAC<br />

in SiGe BiCMOS Technology<br />

S. Halder, H. Gustat<br />

proc. BCtM 2007, 46 (2007)<br />

(61) Impact of Emitter Fabrication on the<br />

yield of SiGe HBTs<br />

B. Heinemann<br />

proc. 5 th International Conference on Silicon<br />

epitaxy <strong>and</strong> Heterostructures (ICSI-5), CRM-<br />

Cn-CnRS, abstr., 65 (2007)<br />

(62) PNP SiGe:C HBT Optimization in a<br />

Low-Cost CBiCMOS Process<br />

D. Knoll, B. Heinemann, Y. Yamamoto,<br />

H.-e. Wulf, D. Schmidt<br />

proc. BCtM 2007, 30 (2007)<br />

(63) Verteilte Kommunikationsarchitekturen<br />

für autonome Systeme<br />

R. Kraemer<br />

proc. MikroSystemtechnik 2007, 211 (2007)<br />

(64) A Middleware Approach to Configure<br />

Security in wSN<br />

p. langendörfer, S. peter, K. piotrowski,<br />

R. nunes, A. Casaca<br />

proc. 1 st eRCIM Workshop on eMobility, 83<br />

(2007)<br />

(65) Advanced Activation <strong>and</strong> deactivation of<br />

Arsenic Implanted Ultra-Shallow Junctions<br />

Using Flash <strong>and</strong> Spike + Flash Annealing<br />

W. lerch, S. paul, J. niess, S. McCoy, J. Gelpey,<br />

D. Bolze, F. Christiano, F. Severac,<br />

S.A. Martinez, p. pichler<br />

proc. Ieee Rtp 2007 Conference, (2007)<br />

(66) Properties of Pr x Al 2-x O 3 (x = 0, 1, 2)<br />

High-k dielectrics on TiN Studied by Synchrotron<br />

Radiation X-Ray Photoelectron<br />

Spectroscopy<br />

G. lupina, t. Schroeder, Ch. Wenger,<br />

G. lippert, J. Dabrowski, H.-J. Müssig<br />

MRS Symp. proc. 1000E, l6.3 (2007)<br />

(67) XPS Study of Pr-Aluminate High-K<br />

dielectrics on TiN<br />

G. lupina, t. Schroeder, Ch. Wenger,<br />

G. lippert, J. Dabrowski, H.-J. Müssig<br />

Verh<strong>and</strong>lungen der DpG 4, 259 (2007)<br />

(68) The Privacy Advocate (PrivAd):<br />

A Framework for Negotiating Individualized<br />

Privacy Contracts<br />

M. Maaser, S. ortmann, p. langendörfer<br />

proc. 3 rd International Conference on Web<br />

Information Systems <strong>and</strong> technologies (We-<br />

BISt), 88 (2007)<br />

(69) An All in One Chamber Approach for a<br />

Shallow Trench Etching Process in 130 nm<br />

Node Completely Controlled by Interferometry<br />

St. Marschmeyer, H.H. Richter, H. Silz<br />

proc. peSn - plasma etch <strong>and</strong> Strip in <strong>Microelectronics</strong>,<br />

abstr. book (2007)<br />

(70) An Overview of SoC Buses<br />

M. Mitic, M. Stojcev, Z. Stamenkovic<br />

Digital Systems <strong>and</strong> Applications / ed. by V.<br />

oklobdzija, Boca Raton, CRC press, (2007)<br />

(71) Atomically Controlled Processing for<br />

Future Si-Based devices<br />

J. Murota, M. Sakuraba, B. tillack<br />

Future trends in <strong>Microelectronics</strong> / S. luryi,<br />

J. Xu, A. Zaslavsky (eds.), Wiley, 246 (2007)<br />

(72) Highly Reliable Thermal Selective Gate<br />

Re-Oxidation Process of Advanced Metal<br />

Gate Stacks with Tungsten Electrode<br />

J. niess, C. Kirchner, W. Dietl, H.-J. Meyer,<br />

B. nadig, W. lerch, I. Costina, D. Bolze<br />

proc. Ieee Rtp 2007 Conference, (2007)<br />

(73) A Self-Configuring Privacy Management<br />

Architecture for Pervasive Systems<br />

St. ortmann, p. langendörfer, M. Maaser<br />

proc. of the 5 th ACM International Workshop<br />

on Mobility Management <strong>and</strong> Wireless Access<br />

(MobiWac), (2007)<br />

A n n u A l R e p o R t 2 0 0 7


00 A n n u A l R e p o R t 2 0 0 7<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(74) Enhancing Privacy by Applying Information<br />

Flow Modelling in Pervasive Systems<br />

St. ortmann, p. langendörfer, M. Maaser<br />

proc. International Workshop on privacy in<br />

pervasive environments (pipe ‚07), Springer,<br />

(lnCS; 4806), 795 (2007)<br />

(75) An Integrated 19-GHz Low-Phase-Noise<br />

Frequency Synthesizer in SiGe BiCMOS<br />

Technology<br />

S.A. osmany, F. Herzel, J.C. Scheytt,<br />

K. Schmalz, W. Winkler<br />

proc. CSIC, 191 (2007)<br />

(76) France Telecom - <strong>IHP</strong> Joint Physical Layer<br />

Proposal for IEEE 802.15 Task Group 3c<br />

p. pagani, M. piz, I. Siaud, e. Grass, W. li,<br />

K. tittelbach-Helmrich, A.-M. ulmer-Moll,<br />

F. Herzel<br />

Ieee 802.15 Interim Meeting, Ieee Doc. no:<br />

802.15-07-0688-01-003c (2007)<br />

(77) France Telecom - <strong>IHP</strong> Joint Physical Layer<br />

Proposal for IEEE 802.15 Task Group 3c<br />

p. pagani, M. piz, I. Siaud, e. Grass, W. li,<br />

K. tittelbach-Helmrich, A.-M. ulmer-Moll,<br />

F. Herzel Ieee 802.15 Interim Meeting, Ieee<br />

Doc. no: 802.15-07-0689-00-003c (2007)<br />

(78) An Efficient Polynomial Multiplier GF(2 m )<br />

<strong>and</strong> its Application to ECC designs<br />

S. peter, p. langendörfer<br />

Design Automation & test in europe 2007<br />

(Date 07), 1253 (2007)<br />

(79) Flexible Hardware Reduction for Elliptic<br />

Curve Cryptography in GF (2 m )<br />

S. peter, p. langendörfer, K. piotrowski<br />

Design Automation & test in europe 2007<br />

(Date 07), 1259 (2007)<br />

(80) On Concealed data Aggregation for wireless<br />

Sensor Networks<br />

S. peter, p. langendörfer, K. piotrowski<br />

proc. Ieee Consumer Communications <strong>and</strong><br />

networking Conference (CCnC 2007), (2007)<br />

(81) A Synchronization Scheme for OFdM-based<br />

60 GHz wPANs<br />

M. piz, e. Grass<br />

proc. pIMRC (2007)<br />

(82) Elektrische Charakterisierung von<br />

Halbleiterstrukturen mittels Electrostatic<br />

Force Microscopy<br />

M. Ratzke, M. Birkholz, J. Bauer, D. Bolze,<br />

J. Reif<br />

Verh<strong>and</strong>lungen der DpG 4, 604 (2007)<br />

(83) Etch Stop Phenomena in deep Trench<br />

Silicon Plasma Etching for Sub 100 nm<br />

Technologies<br />

H.H. Richter, S. Günter, G. Weidner,<br />

S. Marschmeyer, H. Silz, I. Costina, K. Schulz,<br />

S. Berger<br />

Verh<strong>and</strong>lungen der DpG 3, 133 (2007)<br />

(84) SiGe BiCMOS Technology with 3.0 ps Gate<br />

delay<br />

H. Rücker, B. Heinemann, R. Barth, J. Bauer,<br />

K. Blum, D. Bolze, J. Drews, A. Fox,<br />

o. Fursenko, t. Grabolla, u. Haak,<br />

W. Höppner, D. Knoll, K. Köpke, B. Kuck,<br />

A. Mai, S. Marschmeyer, t. Morgenstern,<br />

H.H. Richter, p. Schley, D. Schmidt, K. Schulz,<br />

B. tillack, G. Weidner, W. Winkler, D. Wolansky,<br />

H.-e. Wulf, Y. Yamamoto<br />

IeDM technical Digest, 651 (2007)<br />

(85) Heteroepitaxial Praseodymium Sesquioxide<br />

Films on Si(111): A Future Model Catalyst<br />

System for Praseodymium Based Oxide<br />

Catalysts<br />

A. Schaefer, t. Schroeder, G. lupina,<br />

Y. Borchert, J. Dabrowski, Ch. Wenger,<br />

M. Bäumer<br />

Verh<strong>and</strong>lungen der DpG 4, 586 (2007)<br />

(86) Integrated SiGe 60 GHz wireless Frontends –<br />

Status <strong>and</strong> Future directions<br />

J.C. Scheytt<br />

proc. european Microwave Week, (WSW8),<br />

Workshop notes, (2007)


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(87) Hardware-demonstratoren des wIGwAM-<br />

Projekts<br />

J.C. Scheytt<br />

BMBF-Statusseminar Mobile Kommunikation<br />

2007, B-netz-Agentur, (2007)<br />

(88) Optimierung der drahtlosen Übertragung<br />

von Multimediadaten im HOMEPLANE<br />

Projekt<br />

Ch. Schilling, K. tittelbach-Helmrich<br />

ItG Fachbericht elektronische Medien 199, 37<br />

(2007)<br />

(89) Fault-Tolerant design for Applications<br />

Exposed to Radiation<br />

G. Schoof, R. Kraemer, u. Jagdhold, C. Wolf<br />

proc. Data Systems in Aerospace (DASIA),<br />

(2007)<br />

(90) Radiation-hardened ASIC design for Realtime<br />

Applications<br />

G. Schoof, R. Kraemer, u. Jagdhold, C. Wolf<br />

proc. DeDIS-nano-Days-2007, (2007)<br />

(91) Towards High Quality Epi-SiGe / High-k<br />

dielectrics / Si(111) Heterostructures:<br />

Interface Engineering by Amorphous<br />

dielectrics <strong>and</strong> Lattice Matching by Mixed<br />

Epitaxial Buffer Oxides<br />

t. Schroeder<br />

proc. 5 th International Conference on Silicon<br />

epitaxy <strong>and</strong> Heterostructures (ICSI-5), abstr.,<br />

192 (2007)<br />

(92) Characterization of Recombination Active<br />

defects in Si Using the Synchrotron-Based<br />

Techniques XBIS, µ-XRF <strong>and</strong> µ-XAS at BESSy<br />

Berlin<br />

W. Seifert, o. Vyvenko, I. Zizak, A. erko,<br />

M. Kittler, M. trushin,<br />

proc. 22 nd european photovoltaic Solar energy<br />

Conference <strong>and</strong> exhibition, 1719 (2007)<br />

(93) MAC Processor for BASUMA wireless Body<br />

Area Network<br />

Z. Stamenkovic, D. Dietterle, G. panic,<br />

W. Bocer, G. Schoof, J.-p. ebert<br />

proc. 5 th IASteD International Conference on<br />

Circuits, Signals <strong>and</strong> Systems, (2007)<br />

(94) 60 GHz Receiver Building Blocks in SiGe<br />

BiCMOS<br />

Y. Sun, F. Herzel, J. Borngräber, R. Kraemer<br />

proc. of the 7 th topical Meeting on Silicon<br />

Monolithic Integrated Circuits in RF Systems<br />

(SiRF), 222 (2007)<br />

(95) 60 GHz Transceiver System design<br />

Y. Sun<br />

proc. european Microwave Week, (WSW8),<br />

Workshop notes, (2007)<br />

(96) High Throughput Silicon Based Epitaxy<br />

in a Vertical LPCVd Furnace<br />

e. Suvar, u. Scheit, t. Grabolla, B. tillack,<br />

G. Ritter<br />

proc. 5 th International Conference on Silicon<br />

epitaxy <strong>and</strong> Heterostructures (ICSI-5), abstr.,<br />

339 (2007)<br />

(97) Effect of Low-Temperature SiH 4 Exposure<br />

on Heavily Atomic-Layer doping of B in<br />

Low-Temperature Si Epitaxial Growth on<br />

Si(100) by Ultraclean Low-Pressure Chemical<br />

Vapor deposition<br />

H. tanno, M. Sakuraba, B. tillack, J. Murota<br />

proc. 3 rd International Workshop on new<br />

Group IV Semiconductor nanoelectronics,<br />

abstr. book, (2007)<br />

(98) Heavily Atomic-Layer doping of B in Low-<br />

Temperature Si Epitaxial Growth on Si(100)<br />

by Ultraclean Low-Pressure Chemical Vapor<br />

deposition<br />

H. tanno, M. Sakuraba, B. tillack, J. Murota<br />

proc. 5 th International Symposium on Control<br />

of Semiconductor Interfaces, abstr. book, 151<br />

(2007)<br />

A n n u A l R e p o R t 2 0 0 7<br />

0


02 A n n u A l R e p o R t 2 0 0 7<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(99) Base doping <strong>and</strong> dopant Profile Control<br />

of SiGe NPN <strong>and</strong> PNP HBTs<br />

B. tillack, B. Heinemann, D. Knoll, H. Rücker,<br />

Y. Yamamoto<br />

proc. 5 th International Symposium on Control<br />

of Semiconductor Interfaces, extended abstr.<br />

<strong>and</strong> program, 13 (2007)<br />

(100) Base doping <strong>and</strong> dopant Profile Control<br />

of SiGe NPN <strong>and</strong> PNP HBTs<br />

B. tillack, B. Heinemann, D. Knoll, H. Rücker,<br />

Y. Yamamoto<br />

proc. 3 rd International Workshop on new<br />

Group IV Semiconductor nanoelectronics,<br />

abstr. book, 5 (2007)<br />

(101) Combinatorial Logic Circuitry as Means to<br />

Protect Low Cost devices Against Side<br />

Channel Attacks<br />

F. Vater, S. peter, p. langendörfer<br />

Information Security theory <strong>and</strong> practices,<br />

Springer Verl., lnCS; 4462, 244 (2007)<br />

(102) Test Technology for Sequential Circuits<br />

H.-t. Vierhaus, Z. Stamenkovic<br />

Digital Design <strong>and</strong> Fabrication / ed. by V.<br />

oklobdzija, Boca Raton, CRC press, (2007)<br />

(103) A 77-GHz MMIC Power Amplifier driver for<br />

Automotive Radar<br />

l. Wang, J. Borngraeber, W. Winkler,<br />

C. Scheytt<br />

proc. Iet International Conference on Radar<br />

Systems RADAR 2007, (2007)<br />

(104) A Single-Ended 79 GHz Radar Receiver in<br />

SiGe Technology<br />

l. Wang, S. Glisic, J. Borngräber, W. Winkler,<br />

J.C. Scheytt<br />

proc. BCtM, 14.4 (2007)<br />

(105) Indoor Localization based on wireless LAN<br />

F. Winkler, B. Meffert, p. langendörfer,<br />

e. Fischer<br />

proceedings of 3 rd International ACM Conference<br />

on Intelligent Computing <strong>and</strong> Information<br />

Systems, (2007)<br />

(106) SiGe Quantum well Thermistor Materials<br />

S.G.e. Wissmar, H.H. Radamsson, Y. Yamamoto,<br />

B. tillack, C. Vieider, J.Y. Andersson<br />

proc. 5 th International Conference on Silicon<br />

epitaxy <strong>and</strong> Heterostructures (ICSI-5), abstr.,<br />

353 (2007)<br />

(107) Selective Vapor Phase Etching of SiGe by HCl<br />

Y. Yamamoto, K. Köpke, B. tillack<br />

proc. 5 th International Conference on Silicon<br />

epitaxy <strong>and</strong> Heterostructures (ICSI-5), abstr.,<br />

75 (2007)<br />

(108) Selective Etching of SiGe by HCl by RPCVd<br />

Y. Yamamoto, K. Köpke, R. Kurps, B. tillack<br />

proc. 3 rd International Workshop on new<br />

Group IV Semiconductor nanoelectronics,<br />

abstr. book, 21 (2007)<br />

(109) Selective Vapor Phase Etching of SiGe by<br />

HCl in a RPCVd Reactor<br />

Y. Yamamoto, K. Köpke, R. Kurps, B. tillack<br />

proc. 5 th International Symposium on Control<br />

of Semiconductor Interfaces, abstr. book, 187<br />

(2007)


Eingeladene Vorträge<br />

Invited Presentations<br />

E I N G E L A d E N E V O R T R ä G E – I N V I T E d P R E S E N T A T I O N S<br />

(1) Aktuelle Entwicklungen der Biomolekülsensorik<br />

an der Schnittstelle zwischen Bio-<br />

und Halbleitertechnologie<br />

M. Birkholz<br />

Institutskolloquium Fraunhofer Institut für<br />

Biomedizinische technik, potsdam-Golm,<br />

october 30, 2007, Germany<br />

(2) Angew<strong>and</strong>te Mikroelektronik für die Biomolekülsensorik<br />

M. Birkholz<br />

technologieforum: „In-vitro Diagnostik“,<br />

potsdam, november 21, 2007, Germany<br />

(3) BioChips - Perspektiven für Mikroelektronik<br />

und Biotechnologie<br />

M. Birkholz<br />

Wissenschaftstag der Marie-Curie oberschule,<br />

Wittenberge, november 09, 2007, Germany<br />

(4) Investigation of Texture Gradients by<br />

Anomalous X-Ray diffraction<br />

M. Birkholz<br />

Regionaler Workshop - neue entwicklungen in<br />

der Röntgendiffraktometrie und -topographie,<br />

Frankfurt (oder) April 24, 2007, Germany<br />

(5) Minimal-invasiver Glucosesensor<br />

M. Birkholz, K.-e. ehwald, R. ehwald<br />

Statusseminar Bioprofile nutrigenomik, IHK<br />

potsdam, March 20, 2007, potsdam, Germany<br />

(6) Texture Gradients in Polycrystalline Thin Films<br />

M. Birkholz<br />

5 th Size-Strain-Conference - Diffraction<br />

Analysis of the Microstructure of Materials,<br />

Garmisch-partenkirchen, october 07-09, 2007,<br />

Germany<br />

(7) Entwicklung von energieeffizienten<br />

drahtlosen Sensornetzen – das TANdEM-<br />

Projekt am <strong>IHP</strong><br />

D. Dietterle<br />

Innovationstag touchIt, Frankfurt (oder),<br />

May 05, 2007, Germany<br />

(8) Glucosesensor auf Basis der Affinitätsviskosimetrie<br />

K.-e. ehwald, R. ehwald, M. Birkholz<br />

Verein Br<strong>and</strong>enburgischer Ingenieure und<br />

Wirtschaftler, Januar 24, 2007,<br />

Frankfurt (oder), Germany<br />

(9) 60 GHz SiGe-BiCMOS Radio for OFdM<br />

Transmission<br />

e. Grass, F. Herzel, M. piz, K. Schmalz, Y. Sun,<br />

S. Glisic, M. Krstic, K. tittelbach-Helmrich,<br />

M. ehrig, W. Winkler, J.C. Scheytt, R. Kraemer<br />

ISCAS 2007, new orleans, May 27-30, 2007,<br />

uSA<br />

(10) 60 GHz wLAN / wPAN: Potential <strong>and</strong><br />

Limitations, Applications <strong>and</strong> St<strong>and</strong>ardization<br />

Status<br />

e. Grass, M. piz, K. tittelbach-Helmrich,<br />

R. Kraemer<br />

european Microwave Week, (WSW8), Munich,<br />

october 8-10, 2007, Germany<br />

(11) Recent developments <strong>and</strong> Prospects of<br />

60 GHz Frequency Regulation <strong>and</strong> St<strong>and</strong>ardization<br />

e. Grass<br />

eeefCoM‘2007, Session B1, ulm, June 21,<br />

2007, Germany<br />

(12) Impact of Emitter Fabrication on the<br />

yield of SiGe HBTs<br />

B. Heinemann<br />

5 th International Conference on Silicon epitaxy<br />

<strong>and</strong> Heterostructures (ICSI-5), CRMCn-<br />

CnRS, Marseille, May 20-24, 2007, France<br />

A n n u A l R e p o R t 2 0 0 7<br />

0


0 A n n u A l R e p o R t 2 0 0 7<br />

E I N G E L A d E N E V O R T R ä G E – I N V I T E d P R E S E N T A T I O N S<br />

(13) Technologiemodule für System-on-Chip<br />

Lösungen<br />

B. Heinemann<br />

technische universität Ilmenau, February 07,<br />

2007, Germany<br />

(14) 60 GHz Analog Frontend Circuits in SiGe<br />

BiCMOS Technology<br />

F. Herzel, S. Glisic, K. Schmalz, Y. Sun,<br />

J.C. Scheytt<br />

eeefCoM 2007, ulm, June 21, 2007, Germany<br />

(15) Concept <strong>and</strong> Evaluation of an Efficient<br />

Geo-based Forwarding Mechanism for<br />

Vehicle Communication within an Urban<br />

Network<br />

St. Hiebel<br />

WWRF, Chennai, november 04, 2007, India<br />

(16) Einführung ins digitale design<br />

u. Jagdhold<br />

Wissenschaftstage der FHl Senftenberg,<br />

november 22, 2007, Germany<br />

(17) Modeling of Oxide Precipitate Nucleation<br />

in Silicon using ab-initio Calculations <strong>and</strong><br />

Classical Nucleation Theory<br />

G. Kissinger, J. Dabrowski, A. Sattler,<br />

t. Müller, W. von Ammon<br />

Forum on the Science <strong>and</strong> technology of<br />

Silicon Materials 2007, niigata, november,<br />

12-14, 2007, Japan<br />

(18) Two Paths of Oxide Precipitate Nucleation<br />

in Silicon<br />

G. Kissinger, J. Dabrowski, A. Sattler,<br />

t. Müller, W. von Ammon<br />

GADeSt 2007, erice, october 14-19, 2007,<br />

Italy<br />

(19) dislocations in Silicon as a Tool to be used<br />

in Optics, Electronics <strong>and</strong> Biology<br />

M. Kittler, M. Reiche, t. Arguirov, t. Mchedlidze,<br />

W. Seifert, o.F. Vyvenko, t. Wilhelm, X. Yu<br />

GADeSt 2007, erice, october 14-19, 2007,<br />

Italy<br />

(20) Regular dislocation Networks in Silicon as<br />

a Tool for Novel Nanostructure devices<br />

M. Kittler, X. Yu, t. Mchedlidze, t. Arguirov,<br />

o.F. Vyvenko, W. Seifert, M. Reiche,<br />

t. Wilhelm, M. Seibt, o. Voß, W. Fritzsche,<br />

A. Wolff<br />

3 rd International Symposium of the Volkswagen<br />

Foundation on Complex Materials,<br />

Kerkrade, March 20, 2007, the netherl<strong>and</strong>s<br />

(21) Silicon-based Light Emitters<br />

M. Kittler<br />

International Workshop “Silicon to light &<br />

light to Silicon - Materials, Characterization<br />

<strong>and</strong> Application”, Halle, July 09-10, 2007,<br />

Germany<br />

(22) 1 / f Noise Measurements<br />

F. Korndörfer<br />

MoS Arbeitskreis, unterpremstätten, April 20,<br />

2007, Austria<br />

(23) Aspects of work in Short Range<br />

Communications<br />

R. Kraemer<br />

2007 Ieee 66 th Vehicular technology<br />

Conference - VtC 2007 Fall, WWRF panel<br />

- Shaping the Wireless Future through user<br />

oriented <strong>Services</strong>, Baltimore, october 02,<br />

2007, uSA<br />

(24) drahtlose Ultrahochgeschwindigkeitskommunikation:<br />

St<strong>and</strong> der Technik und<br />

zukünftige Visionen<br />

R. Kraemer<br />

IeeI-Kolloquium, Friedrich-Alex<strong>and</strong>er-universität<br />

erlangen-nürnberg, May 10, 2007,<br />

Germany<br />

(25) Middleware für die effiziente Anbindung<br />

telemedizinischer Ressourcen an medizinische<br />

Infrastrukturen<br />

R. Kraemer<br />

BMBF-Fachgespräch „telemonitoring“,<br />

Hannover, March 19, 2007, Germany


E I N G E L A d E N E V O R T R ä G E – I N V I T E d P R E S E N T A T I O N S<br />

(26) Sensornetze: Visionen und Anwendungen<br />

R. Kraemer<br />

Öffentliche Diskussionssitzung des Fachausschusses<br />

7.2. der ItG „Internet der Dinge“,<br />

Kamp-lintfort, March 29, 2007, Germany<br />

(27) Verteilte Kommunikationsarchitekturen<br />

für autonome Systeme<br />

R. Kraemer<br />

MikroSystemtechnik, Kongress 2007, Dresden,<br />

october 15-17, 2007, Germany<br />

(28) Asynchronous <strong>and</strong> Synchronous design<br />

Techniques for Communication Systems<br />

Application<br />

M. Krstic<br />

nis, June 19, 2007, Serbia<br />

(29) Einsatz starker Krypto-Mechanismen für<br />

Smart dust Anwendungen<br />

p. langendörfer<br />

Ring Vorlesung Btu Cottbus, June 05, 2007,<br />

Germany<br />

(30) Security Solutions for Mobile devices for<br />

Privacy Protection<br />

p. langendörfer, St. peter, F. Vater<br />

ICt-Conference within the Asia-pacific-Weeks,<br />

Berlin, September 13, 2007, Germany<br />

(31) Techniken zum Schutz der Privatsphäre im<br />

mobilen Internet<br />

p. langendörfer<br />

universität potsdam, 2007, Germany<br />

(32) Experimental <strong>and</strong> Theoretical Results of<br />

dopant Activation by a Combination of<br />

Spike <strong>and</strong> Flash Annealing<br />

W. lerch, S. paul, J. niess, J. Chan,<br />

S. McCoy, J. Gelpey, F. Christiano, F. Severac,<br />

p.F. Fazzini, D. Bolze, p. pichler, S.A. Martinez,<br />

A. Mineji, S. Shishiguchi<br />

7 th International Workshop on Junction technology<br />

2007 (IWJt 2007), Kyoto, June 08-09,<br />

2007, Japan<br />

(33) From PrAlO 3 to BaHfO 3<br />

G. lippert, J. Dabrowski, G. lupina, H.-J.<br />

Müssig<br />

Statusreport BMBF-projektmeeting “Megaepos”,<br />

Hannover, november 27-28, 2007,<br />

Germany<br />

(34) Morphology <strong>and</strong> Composition of Selected<br />

High-k Materials <strong>and</strong> Their Relevance to<br />

dielectric Properties of Thin-Films<br />

G. lippert, J. Dabrowski, I. Costina, G. lupina,<br />

Ch. Wenger, p. Zaumseil, H.-J. Müssig<br />

211th Meeting of the electrochemical<br />

Society, Chicago, May 06-11, 2007, uSA<br />

(35) Applications of Raman Spectroscopy for<br />

High Spatial Resolution Strain Analysis on<br />

SOI / SiGe-Film Structures<br />

A. Mai<br />

Spektroskopische untersuchungen an selektiven<br />

sensoraktiven Materialien, Btu Cottbus,<br />

november 27, 2007, Germany<br />

(36) Indoor Localization Based on wireless LAN<br />

B. Meffert, F. Winkler, p. langendörfer,<br />

e. Fischer<br />

3 rd International ACM Conference on Intelligent<br />

Computing <strong>and</strong> Information Systems,<br />

Cairo, March 15-18, 2007, egypt<br />

(37) Mikroelektronik aus dem <strong>IHP</strong> – Transferangebote<br />

für Br<strong>and</strong>enburger Netzwerke<br />

und Unternehmen<br />

W. Mehr<br />

Innovationen, netzwerke und Markterfolg:<br />

Konferenz für innovative unternehmer,<br />

Wissenschaftler, und technologiemittler,<br />

Frankfurt (oder), January 22, 2007, Germany<br />

(38) Photovoltaik in Br<strong>and</strong>enburg –<br />

Herausforderung für die Forschung am <strong>IHP</strong><br />

W. Mehr<br />

photovoltaik-Kompetenzen in Berlin und<br />

Br<strong>and</strong>enburg, Berlin, october 26, 2007, Germany<br />

A n n u A l R e p o R t 2 0 0 7<br />

0


06 A n n u A l R e p o R t 2 0 0 7<br />

E I N G E L A d E N E V O R T R ä G E – I N V I T E d P R E S E N T A T I O N S<br />

(39) das <strong>IHP</strong>: Forschung für Innovationen<br />

H.-J. Müssig<br />

VDI-treffen „nanotechnologie“, Frankfurt<br />

(oder), September 18, 2007, Germany<br />

(40) High-k dielectrics for Future device<br />

Technologies<br />

H.-J. Müssig<br />

5 th leibniz Conference on Advanced Science<br />

“nanoscience 2007”, lichtenwalde, october<br />

18-20, 2007, Germany<br />

(41) Nvision 40 - Anwendungen für die HF-IC<br />

Technologieentwicklung<br />

M. noack, G. Weidner, I. Costina<br />

CrossBeam Workshop, Halle, october 24-25,<br />

2007, Germany<br />

(42) design of a Programmable divider Using<br />

<strong>IHP</strong> ECL Library<br />

S.A. osmany, o. Kersten<br />

eCl Statusseminar, Institut für Informatik,<br />

Humboldt-universität, Berlin, June, 25, 2007,<br />

Germany<br />

(43) OFdM Baseb<strong>and</strong> Processor for 60 GHz<br />

Communications<br />

M. piz, M. Krstic, M. ehrig, R. Kraemer<br />

eeefCoM‚ 2007, Session B1, ulm, June 21,<br />

2007, Germany<br />

(44) 40 Gb / s Elektronik<br />

J.C. Scheytt, H. Gustat<br />

Mikrosystemtechnik-Cluster-Workshop “Mikrostrukturierte<br />

elektronische träger”, Fachhochschule<br />

l<strong>and</strong>shut, April 25, 2007, Germany<br />

(45) designmethodik für Höchstfrequenz<br />

ECL-Schaltungen<br />

J.C. Scheytt<br />

eCl Statusseminar, Humboldt university<br />

Berlin, June 25, 2007, Germany<br />

(46) Hardware-demonstratoren des wIGwAM-<br />

Projekts<br />

J.C. Scheytt<br />

BMBF-Statusseminar Mobile Kommunikation<br />

2007, B-netz-Agentur, Mainz, June 13, 2007,<br />

Germany<br />

(47) SiGe Technologien am <strong>IHP</strong> - Status und<br />

zukünftige Entwicklungen<br />

R.F. Scholz, B. Heinemann<br />

eeefCoM 2007, ulm, June 21, 2007, Germany<br />

(48) SiGe Technologies devices <strong>and</strong> Modeling<br />

R.F. Scholz<br />

the 2 nd tARGet Strategic exchange, Istanbul,<br />

August 28-31, 2007, turkey<br />

(49) Towards High Quality Epi-SiGe / High-k<br />

dielectrics / Si(111) Heterostructures:<br />

Interface Engineering by Amorphous<br />

dielectrics <strong>and</strong> Lattice Matching by Mixed<br />

Epitaxial Buffer Oxides<br />

t. Schroeder<br />

5 th International Conference on Silicon epitaxy<br />

<strong>and</strong> Heterostructures (ICSI-5), Marseille,<br />

May 20-24, 2007, France<br />

(50) Configurable Processors<br />

Z. Stamenkovic<br />

lectures taught under Auspice of the WuS<br />

Austria (Brain Gain program), university of<br />

nis, January 2007, Serbia<br />

(51) Configurable Processors for SOC design<br />

(Tutorial)<br />

Z. Stamenkovic<br />

5 th IASteD International Conference on Circuits,<br />

Signals <strong>and</strong> Systems, Banff, Alberta, July<br />

02-04, 2007, Canada<br />

(52) 60 GHz Receiver Building Blocks in SiGe<br />

BiCMOS<br />

Y. Sun, F. Herzel, J. Borngräber, R. Kraemer<br />

the 7 th topical Meeting on Silicon Monolithic<br />

Integrated Circuits in RF Systems (SiRF) 2007,<br />

long Beach, January 10-12, 2007, uSA


E I N G E L A d E N E V O R T R ä G E – I N V I T E d P R E S E N T A T I O N S<br />

(53) Base doping <strong>and</strong> dopant Profile Control<br />

of SiGe NPN <strong>and</strong> PNP HBTs<br />

B. tillack, B. Heinemann, D. Knoll, H. Rücker,<br />

Y. Yamamoto<br />

5 th International Symposium on Control of<br />

Semiconductor Interfaces, tokyo, november<br />

12-14, 2007, Japan<br />

(54) Base doping <strong>and</strong> dopant Profile Control<br />

of SiGe NPN <strong>and</strong> PNP HBTs<br />

B. tillack, B. Heinemann, D. Knoll, H. Rücker,<br />

Y. Yamamoto<br />

3 rd International Workshop on new Group<br />

IV Semiconductor nanoelectronics, Sendai,<br />

november 07-08, 2007, Japan<br />

(55) Erste Erfahrungen mit der Zeiss-FIB / SEM<br />

NVision 40 für die STEM / TEM-Lamellen<br />

Präparation<br />

G. Weidner<br />

präparationstreffen, potsdam, April 05, 2007,<br />

Germany<br />

(56) Skalierbare Kondensatoren in der<br />

drahtlosen Kommunikationstechnik<br />

Ch. Wenger<br />

oberseminar des 2. Institutes für physik<br />

der universität Göttingen, April 27, 2007,<br />

Germany<br />

(57) Skalierbare Kondensatoren in der<br />

drahtlosen Kommunikationstechnik<br />

Ch. Wenger<br />

Kolloquium der Fakultät elektrotechnik & It,<br />

tu Dresden, May 09, 2007, Germany<br />

(58) Pole Figure Analysis for a Complex<br />

Characterization of Heteroepitaxial<br />

Structures of Silicon<br />

p. Zaumseil<br />

7 th Autumn School on X-Ray Scattering from<br />

Surfaces <strong>and</strong> thin layers, Smolenice, october<br />

04-06, 2007, Slovakia<br />

(59) X-Ray Characterization of New High-k<br />

dielectric Materials<br />

p. Zaumseil<br />

Monash university, Clayton, February 26,<br />

2007, Australia<br />

(60) X-Ray diagnostic for <strong>Microelectronics</strong><br />

Application at <strong>IHP</strong> in Frankfurt (Oder)<br />

p. Zaumseil<br />

Karlsruhe, December 13, 2007, Germany<br />

A n n u A l R e p o R t 2 0 0 7<br />

07


Vorträge<br />

Presentations<br />

(1) Optical Properties of Si-Based Quantum<br />

wells <strong>and</strong> dots as a Function of their Structural<br />

Quality<br />

V.D. Akhmetov, t. Mchedlidze, S. Kouteva-<br />

Arguirova, M. Kittler, R. Roelver, B. Berghoff,<br />

M. Foerst, B. Spangenberg<br />

e-MRS Spring Meeting 2007, Strasbourg, May<br />

28 - June 01, 2007, France<br />

(2) Radially Non-Uniform Interaction of<br />

Nitrogen with Silicon wafers<br />

V.D. Akhmetov, G. Kissinger, A. Fischer,<br />

G. Morgenstern, G. Ritter, M. Kittler<br />

12 th International Conference on Defects-<br />

Recognition, Imaging <strong>and</strong> physics in Semiconductors<br />

(DRIp XII), Berlin, September<br />

09 - 13, 2007, Germany<br />

(3) Behavior of N Atoms on Atomic-Order-<br />

Nitrided Si 0.5 Ge 0.5 (100)<br />

n. Akiyama, M. Sakuraba, B. tillack, J. Murota<br />

5 th International Symposium on Control of<br />

Semiconductor Interfaces, tokyo, november,<br />

12-14, 2007, Japan<br />

(4) Heat-Treatment Effect on Structure of<br />

Atomic-Order Nitrided Si 0.5 Ge 0.5 (100)<br />

Using Low Pressure CVd<br />

n. Akiyama, M. Sakuraba, B. tillack, J. Murota<br />

3 rd International Workshop on new Group<br />

IV Semiconductor nanoelectronics, Sendai,<br />

november 07-08, 2007, Japan<br />

(5) Structural Change of Atomic-Order<br />

Nitride Formed on Si 1-x Ge x (100) <strong>and</strong><br />

Ge(100) by Heat Treatment<br />

n. Akiyama, M. Sakuraba, B. tillack, J. Murota<br />

5 th International Conference on Silicon epitaxy<br />

<strong>and</strong> Heterostructures (ICSI-5), Marseille,<br />

May 20-24, 2007, France<br />

08 A n n u A l R e p o R t 2 0 0 7<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(6) Effect of Laser Annealing on Crystallinity<br />

of the Si Layers in Si / SiO 2 Multiple Quantum<br />

wells<br />

t. Arguirov, t. Mchedlidze, V.D. Akhmetov,<br />

M. Kittler, R. Roelver, B. Berghoff, M. Foerst,<br />

B. Spangenberg<br />

e-MRS Spring Meeting, Symposium p,<br />

Strasbourg, May 28 - June 01, 2007, France<br />

(7) Effect of Laser Annealing on Crystallinity<br />

of the Si Layers in Si / SiO 2 Multiple<br />

Quantum wells<br />

t. Arguirov, t. Mchedlidze,<br />

S. Kouteva-Arguirova, M. Kittler<br />

BMBF-projekt-treffen „B<strong>and</strong>strukturdesign:<br />

ladungsträgertransport in Si-basierten<br />

Quantenstrukturen für zukünftige Höchsteffizienz-Solarzellen“,<br />

Aachen, May 22-23, 2007,<br />

Germany<br />

(8) Optimierung von Antireflexionsschichten<br />

für Solarzellen<br />

J. Bauer, W. Mehr, B. tillack<br />

IHp Symposium „Fortschritte in der<br />

photovoltaik“, Frankfurt (oder), April 18,<br />

2007, Germany<br />

(9) Optische Optimierung von Solarzellen<br />

J. Bauer<br />

2. Solar-Meeting in Frankfurt (oder), January<br />

29, 2007, Germany<br />

(10) Profiling of Texture Gradients by Anomalous<br />

X-Ray diffraction<br />

M. Birkholz<br />

27 th Bessy user Meeting, Berlin, December 06,<br />

2007, Germany<br />

(11) Overview <strong>IHP</strong> design Kits <strong>and</strong> Modeling<br />

u. Biswurm<br />

6 th Workshop High-performance SiGe BiCMoS<br />

for Wireless <strong>and</strong> Broadb<strong>and</strong> Communication,<br />

Frankfurt (oder), September 10, 2007,<br />

Germany


(12) Layer Processing for Future Process<br />

Technology<br />

D. Bolze, B. tillack<br />

nutzergruppe Rtp, Rossendorf, november 08,<br />

2007, Germany<br />

(13) High Resolution Rutherford<br />

Backscattering Spectrometry for Investigating<br />

Interdiffusion of Thin Films<br />

Ch. Borschel, M. Schnell, M. uhrmacher,<br />

C. Ronning, Ch. Wenger, H. Hofsäss<br />

DpG Spring Meeting, Regensburg,<br />

March 26-30, 2007, Germany<br />

(14) Interdiffusion at the Interface of High-k<br />

Pr 2 O 3 Layers Grown on Si<br />

Ch. Borschel, M. Schnell, H. Hofsäss,<br />

Ch. Wenger, C. Ronning<br />

DpG Spring Meeting, Regensburg,<br />

March 26-30, 2007, Germany<br />

(15) Interdiffusion at the Interface of High-k<br />

Pr 2 O 3 Layers Grown on Si<br />

Ch. Borschel, M. Schnell, H. Hofsäss,<br />

Ch. Wenger, C. Ronning<br />

18 th International Conference on Ion Beam<br />

Analysis, Hyderabad, September 23-28, 2007,<br />

India<br />

(16) IMPACT - A Family of Cross-Layer<br />

Transmission Protocols for wireless Sensor<br />

Networks<br />

M. Brzozowski, R. Karnapke, J. nolte<br />

the 1 st International Workshop on next<br />

Generation networks for First Responders<br />

<strong>and</strong> Critical Infrastructure, Ieee, new orleans,<br />

April 13, 2007, uSA<br />

(17) design of Fully differential OpAmps for<br />

GHz Range Applications<br />

A. Budyakov, K. Schmalz, n.n. prokopenko,<br />

J.C. Scheytt, p. ostrovskyy<br />

Kleinheubacher tagung 2007, Miltenberg,<br />

September 24-28, 2007, Germany<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(18) 76-81 GHz Short-Range Radar MMICs in<br />

Si / SiGe BiCMOS Technology<br />

S. Chartier, B. Schleicher, l. liu, A. trasser,<br />

H. Schumacher, G.G. Fischer, H. Höhnemann<br />

eeefCoM 2007, ulm, June 20, 2007, Germany<br />

(19) A Fully Integrated Fully differential<br />

Low-Noise Amplifier for Short Range<br />

Automotive Radar Using a SiGe:C BiCMOS<br />

Technology<br />

S. Chartier, B. Schleicher, F. Korndörfer,<br />

S. Glisic, G.G. Fischer, H. Schumacher<br />

european Microwave Week, Munich, october<br />

08-12, 2007, Germany<br />

(20) SiGe Millimeter-wave dynamic Frequency<br />

divider with Enhanced Sensitivity Incorporating<br />

a Transimpedance Stage<br />

S. Chartier, l. liu, G.G. Fischer, S. Glisic,<br />

H. Höhnemann, A. trasser, H. Schumacher<br />

european Microwave Week 2007, Munich,<br />

october 08-12, 2007, Germany<br />

(21) The Effects of X-Ray <strong>and</strong> Proton Irradiation<br />

on a 200 GHz / 90 GHz Complementary<br />

(npn + pnp) SiGe:C HBT Technology<br />

R.M. Diestelhorst, S. Finn, B. Jun, A.K. Sutton,<br />

p. Cheng, p.W. Marshall, J.D. Cressler,<br />

R.D. Schrimpf, D.M. Fleetwood, H. Gustat,<br />

B. Heinemann, G.G. Fischer, D. Knoll, B. tillack<br />

Ieee nuclear <strong>and</strong> Space Radiation effects<br />

Conference, Honolulu, July 23-27, 2007,<br />

Hawaii<br />

(22) A Hardware Accelerated Implementation<br />

of the IEEE 802.15.3 MAC Protocol<br />

D. Dietterle, J.-p. ebert, R. Kraemer<br />

1 st IFIp International Conference on Wireless<br />

Sensor <strong>and</strong> Actor networks (WSAn ‚07), Albacete,<br />

September 24-26, 2007, Spain<br />

A n n u A l R e p o R t 2 0 0 7<br />

0


(23) SiGe Bipolar Transistors for Harsh<br />

Radiation Environments<br />

S. Diez, M. ullan, F. Campabadal, M. lozano,<br />

G. pellegrini, D. Knoll, B. Heinemann<br />

6 th Spanish Conference on electronic Devices,<br />

San lorenzo, Madrid, January 30 - February<br />

02, 2007, Spain<br />

(24) Glukosesensor - auf Basis der Affinitätsviskosimetrie<br />

- Entwicklung eines neuartigen<br />

Mikrosystems mit der 0,25 µm SiGe BiCMOS<br />

Technologie<br />

K.-e. ehwald<br />

Arbeitskreis „Freunde der Mikroelektronik<br />

/ Solartechnik“ im VBIW Verein Br<strong>and</strong>enburgischer<br />

Ingenieure und Wirtschaftler e.V.,<br />

Frankfurt (oder), January 24, 2007, Germany<br />

(25) Influence of Halo Implant on Leakage<br />

Current <strong>and</strong> Sheet Resistance of Ultra-Shallow<br />

P-N Junctions<br />

V.n. Faifer, D.K. Schroder, M.I. Curent,<br />

t. Claryssee, p.J. timans, t. Zangerle,<br />

W. V<strong>and</strong>ervorst, t.M.H. Wong, A. Moussa,<br />

S. McCoy, J. Gelpey, W. lerch, S. paul, D. Bolze<br />

International Workshop on InSIGHt in Semiconductor<br />

Device Fabrication, Metrology, <strong>and</strong><br />

Modeling (InSIGHt-2007), napa, May 06-09,<br />

2007, uSA<br />

(26) Leakage Current <strong>and</strong> dopant Activation<br />

Characterization of Spe / Halo CMOS Junctions<br />

with Non-Contact Junction Photo-<br />

Voltage Metrology<br />

V.n. Faifer, D.K. Schroder, M.I. Curent,<br />

t. Claryssee, p.J. timans, t. Zangerle,<br />

W. V<strong>and</strong>ervorst, A. Moussa, S. McCoy,<br />

J. Gelpey, W. lerch, S. paul, D. Bolze<br />

Frontiers of Characterization <strong>and</strong> Metrology<br />

for nanoelectronics, Gaithersburg,<br />

March 27-29, 2007, uSA<br />

0 A n n u A l R e p o R t 2 0 0 7<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(27) A SiGe:C BiCMOS Technology for 77-81 GHz<br />

Automotive Radar Applications<br />

G.G. Fischer, S. Glisic<br />

european Microwave Week, WSW5, (Automotive<br />

High Frequency electronics - KoKon),<br />

München, october 10, 2007, Germany<br />

(28) A Low Phase Noise Integrated SiGe<br />

18…20 GHz Fractional-N Synthesizer<br />

R. Follmann, D. Köther, t. Kohl, M. engels,<br />

V. Heyer, K. Schmalz, F. Herzel, W. Winkler,<br />

S. osmany, u. Jagdhold<br />

european Microwave Week, Munich, october<br />

08-12, 2007, Germany<br />

(29) Characterization of Silicide Stacks by<br />

Combination of Spectroscopic Ellipsometry<br />

<strong>and</strong> Reflectometry<br />

o. Fursenko, D. Bolze, I. Costina, p. Zaumseil,<br />

t. Huelsmann, J. niess, W. lerch<br />

4 th International Conference on Spectroscopic<br />

ellipsometry (ICSe-4), Stockholm, June 11-15,<br />

2007, Sweden<br />

(30) doping Concentration Control of SiGe<br />

Layers by Spectroscopic Ellipsometry<br />

o. Fursenko, J. Bauer, p. Zaumseil,<br />

Y. Yamamoto, B. tillack<br />

5 th International Conference on Silicon epitaxy<br />

<strong>and</strong> Heterostructures (ICSI-5), Marseille,<br />

May 20-24, 2007, France<br />

(31) Self-assembled Single Crystalline Ge<br />

Nanodots on Twin-free Pr 2 O 3<br />

A. Giussani, t. Schroeder, C. Mocuta,<br />

t.-H. Metzger, p. Formanek, D. Geiger,<br />

H. lichte<br />

DpG Spring Meeting, Regensburg,<br />

March 26-30, 2007, Germany<br />

(32) The Influence of Lattice Oxygen on the<br />

Initial Ge Growth Behaviour on Cubic<br />

PrO 2 (111) Films<br />

A. Giussani, o. Seifarth, t. Schroeder<br />

Surface <strong>and</strong> Interface Seminar at university<br />

of osnabrück, August 20, 2007, Germany


(33) 60 GHz Channel Plan Proposal<br />

e. Grass, p. pagani, A. Bourdoux<br />

Ieee 802.15 plenary Meeting, San Francisco,<br />

July 17, 2007, uSA<br />

(34) 60 GHz wireless Communication<br />

Systems – wwRF-wG5 white Paper<br />

e. Grass<br />

Wireless World Research Forum (WWRF18),<br />

Helsinki, June 14, 2007, Finl<strong>and</strong><br />

(35) ätzstopp-Phänomene beim Plasmaätzen<br />

tiefer Trenche für sub-100nm-Technologien<br />

S. Günther, H.H. Richter, S. Marschmeyer, G.<br />

Weidner, H. Silz, I. Costina, K. Schulz,<br />

S. Berger<br />

13. Fachtagung plasmatechnologie, Bochum,<br />

March 05-07, 2007, Germany<br />

(36) de-embedding <strong>and</strong> Modelling of pnp<br />

SiGe HBTs<br />

D. Hadziabdic, C. Jiang, t.K. Johansen,<br />

V. Krozer, G.G. Fischer, B. Heinemann<br />

european Microwave Week, München, october<br />

08-12, 2007, Germany<br />

(37) A 30 GS / s 4-Bit Binary weighted dAC in<br />

SiGe BiCMOS Technology<br />

S. Halder, H. Gustat<br />

BCtM 2007, Boston, September 30 - october<br />

03, 2007, uSA<br />

(38) design of High Speed data Converter<br />

Computer Components in SG25H1<br />

S. Halder<br />

6 th Workshop High-performance SiGe BiCMoS<br />

for Wireless <strong>and</strong> Broadb<strong>and</strong> Communication,<br />

Frankfurt (oder), September 10, 2007,<br />

Germany<br />

(39) BiCMOS Technologies for High-<br />

Performance SiGe:C pnp HBTs<br />

B. Heinemann<br />

Kolloquium tu Dresden, July 09, 2007,<br />

Germany<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(40) Single-Chip Fractional-N Synthesizer for<br />

Space Applications in SGB25Vd<br />

F. Herzel<br />

6 th Workshop High-performance SiGe BiCMoS<br />

for Wireless <strong>and</strong> Broadb<strong>and</strong> Communication,<br />

Frankfurt (oder), September 10, 2007,<br />

Germany<br />

(41) Infrared Light Emission from Porous Silicon<br />

G. Jia, W. Seifert, M. Kittler<br />

12 th International Conference on Defects-<br />

Recognition, Imaging <strong>and</strong> physics in<br />

Semiconductors (DRIp12), Berlin,<br />

September 09-13, 2007, Germany<br />

(42) An Attempt to Specify Thermal History in<br />

CZ Silicon wafers <strong>and</strong> Possibilities for its<br />

Modification<br />

G. Kissinger, A. Sattler, t. Müller,<br />

W. von Ammon<br />

ICDS-24, Albuquerque, new Mexico,<br />

July 22-27, 2007, uSA<br />

(43) Contamination during High Temperature<br />

Treatments in SiC Reactor Tubes<br />

G. Kissinger, A. Fischer, V.D. Akhmetov,<br />

t. Mchedlidze, W. Seifert, S. Suckow, M. Kittler<br />

SiWeDS Review Meeting of Spring 2007, Chicago,<br />

May 10-11, 2007, uSA<br />

(44) Horizontal Versus Vertical Annealing of<br />

Silicon wafers at High Temperatures<br />

G. Kissinger, A. Fischer, G. Ritter,<br />

V. Akhmetov, M. Kittler<br />

GADeSt 2007, erice, october 14-19, 2007,<br />

Italy<br />

(45) Modeling of Oxide Precipitate Nucleation<br />

in Silicon Using ab-initio Calculations <strong>and</strong><br />

Classical Nucleation Theory<br />

G. Kissinger, J. Dabrowski, A. Sattler,<br />

t. Müller, W. von Ammon<br />

Forum on the Science <strong>and</strong> technology of Silicon<br />

Materials 2007, niigata, november, 12-14,<br />

2007, Japan<br />

A n n u A l R e p o R t 2 0 0 7


(46) Verification of a Method to detect<br />

Grown-In Oxide Precipitate Nuclei in<br />

Czochralski Silicon<br />

G. Kissinger, A. Sattler, J. Dabrowski,<br />

W. von Ammon<br />

AlteCH 2007, München,<br />

September 13-14, 2007, Germany<br />

(47) Verification of a Method to detect<br />

Grown-in-Oxide Precipitate Nuclei in<br />

Czochralski Silicon<br />

G. Kissinger, A. Sattler, J. Dabrowski,<br />

W. von Ammon<br />

Analytical <strong>and</strong> Diagnostic techniques for<br />

Semiconductor Materials, Devices, <strong>and</strong> processes,<br />

eCS Fall Meeting, Washington, october<br />

07-12, 2007, uSA<br />

(48) Bisherige Arbeiten am <strong>IHP</strong> zur Materialforschung<br />

für Solar-Si in BMBF-, BMU- und<br />

EU-Projekten<br />

M. Kittler, W. Seifert<br />

IHp-Symposium „Fortschritte in der photovoltaik“,<br />

Frankfurt (oder), April 18, 2007,<br />

Germany<br />

(49) <strong>IHP</strong>-Ergebnisse zum SILEM-Projekt im<br />

Zeitraum August 2006 bis April 2007<br />

M. Kittler, W. Seifert, t. Arguirov, G. Jia,<br />

t. Mchedlidze<br />

BMBF-projekt-treffen „SIleM“, Stuttgart,<br />

April 24-25, 2007, Germany<br />

(50) Silicon-Based Light Emitters for Nanooptics<br />

M. Kittler, M. Reiche, W. Seifert, t. Arguirov,<br />

t. Wilhelm, X. Yu, t. Mchedlidze<br />

2 nd Ieee International Conference on nano<br />

/ Micro engineered <strong>and</strong> Molecular Systems<br />

(neMS 2007), January 16-19, 2007, Bangkok,<br />

thail<strong>and</strong><br />

(51) PNP SiGe:C HBT Optimization in a<br />

Low-Cost CBiCMOS Process<br />

D. Knoll, B. Heinemann, Y. Yamamoto,<br />

H.-e. Wulf, D. Schmidt<br />

BCtM 2007, Boston,<br />

September 30 - october 02, 2007, uSA<br />

2 A n n u A l R e p o R t 2 0 0 7<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(52) AdS design Kits, Momentum in RFdE<br />

F. Korndörfer, t. Mausolf<br />

RFIC Workshop, Frankfurt (oder), January 23,<br />

2007, Germany<br />

(53) Optimization of the Substrate Parameters<br />

for EM Stimulators<br />

F. Korndörfer, F. Sischka<br />

Ieee Mtt-S International Microwave Symposium,<br />

Honolulu, June 03-08, 2007, Hawaii<br />

(54) Optimization of the Substrate Parameters<br />

for EM Stimulators<br />

F. Korndörfer, F. Sischka<br />

MoS Arbeitskreis, München, September 14,<br />

2007, Germany<br />

(55) drahtlose Kommunikationsanwendungen<br />

im Flugzeug<br />

R. Kraemer<br />

Vortrag bei Diehl Aerospace GmbH, nürnberg,<br />

June 28, 2007, Germany<br />

(56) drahtlose Technologien für Schraubertechnik<br />

R. Kraemer<br />

Bosch-Workshop Fertigungstechnologie,<br />

Regensburg, october 17, 2007, Germany<br />

(57) Networking Aspects of Car2Car<br />

Communication<br />

R. Kraemer<br />

WWRF19 Meeting, Chennai, november 05 - 07,<br />

2007, India<br />

(58) Optimization of ARC Films deposited<br />

by PECVd<br />

B. Kuck, J. Bauer, o. Fursenko<br />

Workshop nutzergruppe peCVD, IISB erlangen,<br />

July 10, 2007, Germany<br />

(59) Probleme und Optimisierungsansätze im<br />

Prozess STI Fill bei der Entwicklung einer<br />

0,13-µm-Technologie<br />

B. Kuck<br />

Workshop nutzergruppe peCVD, IISB erlangen,<br />

november 08, 2007, Germany


(60) A Middleware Approach to Configure<br />

Security in wSN<br />

p. langendörfer, S. peter, K. piotrowski,<br />

R. nunes, A. Casaca<br />

1 st eRCIM Workshop on eMobility, Coimbra,<br />

May 21, 2007, portugal<br />

(61) Advanced Activation <strong>and</strong> deactivation of<br />

Arsenic Implanted Ultra-Shallow Junctions<br />

Using Flash <strong>and</strong> Spike + Flash Annealing<br />

W. lerch, S. paul, J. niess, S. McCoy, J. Gelpey,<br />

D. Bolze, F. Christiano, F. Severac,<br />

S.A. Martinez, p. pichler<br />

Ieee Rtp 2007 Conference, Catania, october<br />

02-05, 2007, Italy<br />

(62) Advanced Activation <strong>and</strong> deactivation of<br />

Arsenic Implanted Ultra-Shallow Junctions<br />

Using Flash <strong>and</strong> Spike + Flash Annealing<br />

W. lerch, S. paul, J. niess, S. McCoy, J. Gelpey,<br />

D. Bolze, F. Christiano, F. Severac,<br />

S.A. Martinez, p. pichler<br />

nutzergruppe Rtp, Rossendorf, november 08,<br />

2007, Germany<br />

(63) Atomic-Vapour-deposited HfO 2 <strong>and</strong><br />

Sr 4 Ta 2 O 9 Layers for Metal-Insulator-Metal<br />

Application<br />

M. lukosius, Ch. Wenger, t. Schroeder,<br />

J. Dabrowski, R. Sorge, I. Costina,<br />

H.-J. Müssig, S. pasko, Ch. lohe<br />

InFoS 2007, Athens, June 20-23, 2007, Greece<br />

(64) Interface Properties of Pr x Al 2-x O 3<br />

(x = 0, 1, 2) High-k dielectrics on TiN<br />

Studied by Synchrotron Radiation X-Ray<br />

Photoelectron Spectroscopy<br />

G. lupina, t. Schroeder, Ch. Wenger,<br />

J. Dabrowski, G. lippert, H.-J. Müssig<br />

MRS Spring Meeting 2007, San Francisco, April<br />

09-13, 2007, uSA<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(65) MBE deposition of Hf-based Perovskite<br />

dielectrics on TiN<br />

G. lupina, p. Dudek, G. Kozlowksi, G. lippert,<br />

Ch. Wenger, t. Schroeder, p. Zaumseil,<br />

J. Dabrowski, H.-J. Müssig<br />

external Collaboration Workshop, Dresden,<br />

october 11, 2007, Germany<br />

(66) Pr Silicate High-k dielectrics for CMOS<br />

Applications<br />

G. lupina, t. Schroeder, Ch. Wenger, R. Sorge,<br />

J. Dabrowski, p. Zaumseil, G. lippert,<br />

H.-J. Müssig<br />

Kick-off-Meeting des MeGAepoS-projektes,<br />

AMo Aachen, June 29, 2007, Germany<br />

(67) XPS Study of Pr-Aluminate High-K<br />

dielectrics on TiN<br />

G. lupina, t. Schroeder, Ch. Wenger,<br />

G. lippert, J. Dabrowski, H.-J. Müssig<br />

DpG Spring Meeting, Regensburg,<br />

March 26-30, 2007, Germany<br />

(68) The Privacy Advocate (PrivAd):<br />

A Framework for Negotiating Individualized<br />

Privacy Contracts<br />

M. Maaser, S. ortmann, p. langendörfer<br />

3 rd International Conference on Web Information<br />

Systems <strong>and</strong> technologies (WeBISt),<br />

Barcelona, March 03-06, 2007, Spain<br />

(69) Verschlüsselung ist nicht alles: Moderne<br />

IT-Sicherheitsalgorithmen und -Konzepte<br />

M. Maaser<br />

Gauß-Festwoche, April 03, 2007, Germany<br />

(70) An All in One Chamber Approach for a<br />

Shallow Trench Etching Process in 130 nm<br />

Node Completely Controlled by Interferometry<br />

St. Marschmeyer, H.H. Richter, H. Silz<br />

peSn - plasma etch <strong>and</strong> Strip in <strong>Microelectronics</strong>,<br />

leuven, September 10-11, 2007, Belgium<br />

A n n u A l R e p o R t 2 0 0 7


(71) FEIVEL - ein optisches Positionierungssystem<br />

o. Maye<br />

Gauß-Festwoche, Frankfurt (oder), April 03,<br />

2007, Germany<br />

(72) Engineering of dislocation-Loops for<br />

Light Emission from Silicon diodes<br />

t. Mchedlidze, t. Arguirov, M. Kittler,<br />

t. Hoang, J. Hollemann, p. leMinh, J. Schmitz<br />

GADeSt 2007, erice, october 14-19, 2007, Italy<br />

(73) Influence of a Substrate, Structure <strong>and</strong><br />

Annealing Procedures on Crystalline <strong>and</strong><br />

Optical Properties of Si / SiO 2 Multiple<br />

Quantum wells<br />

t. Mchedlidze, t. Arguirov, S. Kouteva-<br />

Arguirova, M. Kittler, R. Roelver, B. Berghoff,<br />

M. Foerst, B. Spangenberg<br />

e-MRS Spring Meeting, Strasbourg,<br />

May 28 - June 01, 2007, France<br />

(74) Perspectives for further Improvements<br />

of Si / SiO 2 MQw Structures Using RTA<br />

t. Mchedlidze, t. Arguirov, M. Kittler<br />

BMBF-projekt-treffen „B<strong>and</strong>strukturdesign:<br />

ladungsträgertransport in Si-basierten<br />

Quantenstrukturen für zukünftige Höchsteffizienz-Solarzellen“,<br />

Aachen, May 22-23, 2007,<br />

Germany<br />

(75) Regular dislocation Networks in Si. Part II:<br />

Luminescence<br />

t. Mchedlidze, t. Wilhelm, X. Yu, t. Arguirov,<br />

G. Jia, M. Reiche, M. Kittler<br />

GADeSt 2007, erice, october 14-19, 2007,<br />

Italy<br />

(76) <strong>IHP</strong> - Innovations for High Performance<br />

<strong>Microelectronics</strong><br />

H.-J. Müssig<br />

Kick-off-Meeting des MeGAepoS-projektes,<br />

AMo Aachen, June 29, 2007, Germany<br />

A n n u A l R e p o R t 2 0 0 7<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(77) Highly Reliable Thermal Selective Gate<br />

Re-Oxidation Process of Advanced Metal<br />

Gate Stacks with Tungsten Electrode<br />

J. niess, C. Kirchner, W. Dietl, H.-J. Meyer,<br />

B. nadig, W. lerch, I. Costina, D. Bolze<br />

Ieee Rtp 2007 Conference, Catania, october<br />

02-05, 2007, Italy<br />

(78) Highly Reliable Thermal Selective Gate<br />

Re-Oxidation Process of Advanced Metal<br />

Gate Stacks with Tungsten Electrode<br />

J. niess, C. Kirchner, W. Dietl, H.-J. Meyer,<br />

B. nadig, W. lerch, I. Costina, D. Bolze<br />

nutzergruppe Rtp, Rossendorf, november 08,<br />

2007, Germany<br />

(79) A Self-Configuring Privacy Management<br />

Architecture for Pervasive Systems<br />

S. ortmann, p. langendörfer, M. Maaser<br />

the 5 th ACM International Workshop on<br />

Mobility Management <strong>and</strong> Wireless Access<br />

(MobiWac), Chania, Crete Isl<strong>and</strong>, october 22,<br />

2007, Greece<br />

(80) Enhancing Privacy by Applying Information<br />

Flow Modelling in Pervasive Systems<br />

St. ortmann, p. langendörfer, M. Maaser<br />

International Workshop on privacy in pervasive<br />

environments (pipe ‚07), Vilamoura,<br />

november 25-30, 2007, portugal<br />

(81) An Integrated 19-GHz Low-Phase-Noise<br />

Frequency Synthesizer in SiGe BiCMOS<br />

Technology<br />

S.A. osmany, F. Herzel, J.C. Scheytt,<br />

K. Schmalz, W. Winkler<br />

Ieee Compound Semiconductor IC Symposium<br />

(CSIC 2007), portl<strong>and</strong>, october 14-17, 2007,<br />

uSA<br />

(82) France Telecom - <strong>IHP</strong> Joint Physical Layer<br />

Proposal for IEEE 802.15 Task Group 3c<br />

p. pagani, M. piz, I. Siaud, e. Grass, W. li,<br />

K. tittelbach-Helmrich, A.-M. ulmer-Moll,<br />

F. Herzel<br />

Ieee 802.15 Wireless Interim Session,<br />

Montreal, May 13-18, 2007, Canada


(83) Optimum Activation <strong>and</strong> diffusion with a<br />

Combination of Spike <strong>and</strong> Flash Annealing<br />

S. paul, W. lerch, S. McCoy, J. Gelpey, <strong>and</strong><br />

D. Bolze<br />

International Workshop on InSIGHt in Semiconductor<br />

Device Fabrication, Metrology, <strong>and</strong><br />

Modeling (InSIGHt-2007), embassy Suites,<br />

napa, May 06-09, 2007, uSA<br />

(84) An Efficient Polynomial Multiplier in<br />

GF(2m) <strong>and</strong> its Application to ECC designs<br />

S. peter, p. langendörfer<br />

Design Automation & test in europe 2007<br />

(Date 07), nice, April 16-20, 2007, France<br />

(85) Flexible Hardware Reduction for Elliptic<br />

Curve Cryptography in GF (2m)<br />

S. peter, p. langendörfer, K. piotrowski<br />

Design Automation & test in europe 2007<br />

(Date 07), nice, April 16-20, 2007, France<br />

(86) On Concealed data Aggregation for<br />

wireless Sensor Networks<br />

S. peter, p. langendörfer, K. piotrowski<br />

Ieee Consumer Communications <strong>and</strong> networking<br />

Conference (CCnC 2007), las Vegas,<br />

January 11-13, 2007, uSA<br />

(87) A Synchronization Scheme for OFdM-based<br />

60 GHz wPANs<br />

M. piz, e. Grass<br />

pIMRC 2007, Athens, September 03-07, 2007,<br />

Greece<br />

(88) Characterization of Silicon Nanostructures<br />

by Electrostatic Force Microscopy<br />

M. Ratzke, M. Birkholz, J. Bauer, D. Bolze,<br />

J. Reif<br />

e-MRS Spring Meeting, Strasbourg,<br />

May 28 - June 01, 2007, France<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(89) Elektrische Charakterisierung von<br />

Halbleiterstrukturen mittels Electrostatic<br />

Force Microscopy<br />

M. Ratzke, M. Birkholz, J. Bauer, D. Bolze,<br />

J. Reif<br />

DpG Spring Meeting, Regensburg, March 26-<br />

30, 2007, Germany<br />

(90) Self-Organized Pattern Formation on<br />

Silicon Surfaces<br />

M. Reiche, M. Kittler, t. Wilhelm, W. Seifert,<br />

t. Arguirov, Y. Yu, o.F. Vyvenko, t. Mchedlidze<br />

2 nd Ieee International Conference on nano<br />

/ Micro engineered <strong>and</strong> Molecular Systems<br />

(neMS 2007), 16-19 January 2007, Bangkok,<br />

thail<strong>and</strong><br />

(91) Etch Stop Phenomena in deep Trench Silicon<br />

Plasma Etching for Sub 100 nm Technologies<br />

H.H. Richter, S. Günter, G. Weidner,<br />

S. Marschmeyer, H. Silz, I. Costina, K. Schulz,<br />

S. Berger<br />

DpG Frühjahrstagung, Düsseldorf,<br />

March 19-23, 2007, Germany<br />

(92) SiGe BiCMOS Technology with 3.0 ps Gate<br />

delay<br />

H. Rücker, B. Heinemann, R. Barth, J. Bauer,<br />

K. Blum, D. Bolze, J. Drews, A. Fox,<br />

o. Fursenko, t. Grabolla, u. Haak,<br />

W. Höppner, D. Knoll, K. Köpke, B. Kuck,<br />

A. Mai, S. Marschmeyer, t. Morgenstern,<br />

H.H. Richter, p. Schley, D. Schmidt, K. Schulz,<br />

B. tillack, G. Weidner, W. Winkler, D. Wolansky,<br />

H.-e. Wulf, Y. Yamamoto<br />

IeDM 2007, Washington, December 10-12,<br />

2007, uSA<br />

(93) 0.13µm SiGe:C BiCMOS development<br />

H.Rücker<br />

6 th Workshop High-performance SiGe BiCMoS<br />

for Wireless <strong>and</strong> Broadb<strong>and</strong> Communication,<br />

Frankfurt (oder), September 10, 2007,<br />

Germany<br />

A n n u A l R e p o R t 2 0 0 7


(94) Heteroepitaxial Praseodymium Sesquioxide<br />

Films on Si(111): A Future Model Catalyst<br />

System for Praseodymium Based Oxide<br />

Catalysts<br />

A. Schaefer, t. Schroeder, G. lupina,<br />

Y Borchert, J. Dabrowski, Ch. Wenger,<br />

M. Bäumer<br />

DpG Frühjahrstagung Regensburg,<br />

March 26-30, 2007, Germany<br />

(95) Architecture design Considerations of a<br />

Fully-Integrated Frequency-Agile Synthesizer<br />

for Multi-St<strong>and</strong>ard Basestations<br />

J. Scheytt, S. osmany, F. Herzel<br />

Workshop Analogschaltungen 2007, Institut<br />

für Mikrosystemtechnik, Freiburg,<br />

March 22 – 23, 2007, Germany<br />

(96) Integrated SiGe 60 GHz wireless<br />

Frontends - Status <strong>and</strong> Future directions<br />

J.C. Scheytt<br />

european Microwave Week (euMW), european<br />

Microwave Integrated Circuits Conference<br />

(euMIC), Munich, october 2007, Germany<br />

(97) Optimierung der drahtlosen Übertragung<br />

von Multimediadaten im HOMEPLANE<br />

Projekt<br />

Ch. Schilling, K. tittelbach-Helmrich<br />

ItG Fachtagung elektronische Medien –<br />

12. Dortmunder Fernsehseminar,<br />

March 20-21, 2007, Germany<br />

(98) SiGe Technologien am <strong>IHP</strong> - Status und<br />

zukünftige Entscheidungen<br />

R.F. Scholz<br />

Rohde & Schwarz Hausmesse „InnoComp‚ 07“,<br />

Munich, May 08, 2007, Germany<br />

(99) MPw <strong>and</strong> Prototyping Service<br />

R. F. Scholz<br />

6 th Workshop High-performance SiGe BiCMoS<br />

for Wireless <strong>and</strong> Broadb<strong>and</strong> Communication,<br />

Frankfurt (oder), September 10, 2007,<br />

Germany<br />

6 A n n u A l R e p o R t 2 0 0 7<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(100) Fault-Tolerant design for Applications<br />

Exposed to Radiation<br />

G. Schoof, R. Kraemer, u. Jagdhold, C. Wolf<br />

Data Systems in Aerospace (DASIA) 2007,<br />

napoli, May 29 - June 02, 2007, Italy<br />

(101) Radiation-hardened ASIC design for<br />

Real-time Applications<br />

G. Schoof, R. Kraemer, u. Jagdhold, C. Wolf<br />

DeDIS-nano-Days-2007, Btu Cottbus,<br />

october 12, 2007, Germany<br />

(102) Global Integration of Functional<br />

Semiconductors on the Si Material Platform<br />

via Oxide Heterostructures<br />

t. Schroeder, H.-J. Müssig<br />

SIltRonIC – IHp technology project Meeting,<br />

Burghausen, September 25, 2007, Germany<br />

(103) Interface Engineering of Pr x Al 2-x O3<br />

(x=0 to 2) dielectrics on TiN<br />

t. Schroeder, R. Sohal, G. lupina, Ch. Wenger,<br />

J. Dabrowski, G. lippert, D. Schmeißer,<br />

H.-J. Müssig,<br />

BeSSY user Meeting, Berlin, December 06,<br />

2007, Germany<br />

(104) Single Crystalline Semiconductor –<br />

Insulator – Semiconductor Structures: Interface<br />

<strong>and</strong> Lattice Engineering Approaches<br />

t. Schroeder<br />

IHp-Workshop „neue entwicklungen in<br />

Röntgendiffraktometrie und -topographie“,<br />

Frankfurt (oder), April 24, 2007, Germany<br />

(105) B<strong>and</strong> Gap determination <strong>and</strong> Electronic<br />

Structure of Thin Praseodymium Oxide<br />

Layers on Si<br />

o. Seifarth, A. Wilke, G. lupina, J. Dabrowski,<br />

p. Zaumseil, G. Weidner, S. Müller,<br />

D. Schmeißer, H.-J. Müssig, t. Schroeder<br />

BeSSY user Meeting, Berlin, December 06,<br />

2007, Germany


(106) Creating Strained Si Overlayers via Lattice<br />

Mismatched Oxides on Si<br />

o. Seifarth, B. Dietrich, A. Giussani,<br />

p. Zaumseil, p. Storck, G. Weidner, t. Schroeder<br />

German MBe Workshop, Jülich, october 01,<br />

2007, Germany<br />

(107) Electrical Characterization of Cubic<br />

PrO 2 (111) Films on Si(111)<br />

o. Seifarth, A. Giussani, t. Schroeder<br />

Surface <strong>and</strong> Interface Seminar at university<br />

of osnabrück, August 20, 2007, Germany<br />

(108) Characterization of defects in Si by<br />

Synchrotron-Based Techniques<br />

W. Seifert, M. trushin, o. Vyvenko, I. Zizak,<br />

M. Kittler, C. Rudolf<br />

12 th International Conference on Defects Recognition,<br />

Imaging <strong>and</strong> physics of Semiconductors<br />

(DRIp 12), Berlin, September 09-13,<br />

2007, Germany<br />

(109) Characterization of Recombination Active<br />

defects in Si Using the Synchrotron-Based<br />

Techniques XBC, µ-XRF <strong>and</strong> µ-XAS at BESSy<br />

Berlin<br />

W. Seifert, o. Vyvenko, I. Zizak, A. erko,<br />

M. Kittler, M. trushin, M. Birkholz<br />

22 nd european photovoltaic Solar energy<br />

Conference <strong>and</strong> exhibition, Milan,<br />

September 03-07, 2007, Italy<br />

(110) Combined XBIC / XRF Analysis of defects for<br />

Si Materials Research<br />

W. Seifert, V.D. Akhmetov, A. erko, M. Kittler,<br />

M. Birkholz<br />

e-MRS Spring Meeting, Symposium D,<br />

Strasbourg, May 28-June 01, 2007, France<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(111) Räumlich hochaufgelöste Charakterisierung<br />

von Rekombinationsaktivität und Metallverunreinigungen<br />

in Silizium mittels<br />

Synchrotrontechniken<br />

W. Seifert, M. trushin, o. Vyvenko, I. Zizak,<br />

A. erko, M. Kittler<br />

Fachtagung prozessnahe Röntgenanalytik<br />

pRoRA 2007, Berlin, november 15-16, 2007,<br />

Germany<br />

(112) Synchrotronbasierte Untersuchungen von<br />

multikristallinem Silizium am BESSy Berlin:<br />

Arbeitsst<strong>and</strong> und erste Ergebnisse<br />

W. Seifert, o. Vyvenko, M. trushin, I. Zizak,<br />

A. erko, M. Kittler<br />

BMu-projekt-treffen „Solarfocus“, Arnstadt,<br />

September 18-20, 2007, Germany<br />

(113) X-Ray Microscopy of Recombination<br />

Activity <strong>and</strong> Metal Contamination in Si<br />

Materials: an XBIC / XRF / XANES Study<br />

W. Seifert, M. trushin, o. Vyvenko, A. erko,<br />

I. Zizak, M. Kittler<br />

BeSSY user Meeting, Berlin, December 06-07,<br />

2007, Germany<br />

(114) New LdMOS Module for 0.25 µm SiGe:C<br />

BiCMOS Technologies<br />

R. Sorge<br />

6 th Workshop High-performance SiGe BiCMoS<br />

for Wireless <strong>and</strong> Broadb<strong>and</strong> Communication,<br />

Frankfurt (oder), September 10, 2007,<br />

Germany<br />

(115) MAC Processor for BASUMA wireless Body<br />

Area Network<br />

Z. Stamenkovic, D. Dietterle, G. panic,<br />

W. Bocer, G. Schoof, J.-p. ebert<br />

5 th IASteD International Conference on<br />

Circuits, Signals <strong>and</strong> Systems, Banff, Alberta,<br />

July 02-04, 2007, Canada<br />

(116) 60 GHz Transceiver System design<br />

Y. Sun<br />

european Microwave Week, Munich, october<br />

08-12, 2007, Germany<br />

A n n u A l R e p o R t 2 0 0 7<br />

7


(117) High Throughput Silicon Based Epitaxy in<br />

a Vertical LPCVd Furnace<br />

e. Suvar, u. Scheit, t. Grabolla, B. tillack, G.<br />

Ritter<br />

5 th International Conference on Silicon epitaxy<br />

<strong>and</strong> Heterostructures (ICSI-5), Marseille,<br />

May 20-24, 2007, France<br />

(118) Effect of Low-Temperature SiH 4 Exposure<br />

on Heavily Atomic-Layer doping of B in<br />

Low-Temperature Si Epitaxial Growth on<br />

Si(100) by Ultraclean Low-Pressure<br />

Chemical Vapor deposition<br />

H. tanno, M. Sakuraba, B. tillack, J. Murota<br />

3 rd International Workshop on new Group<br />

IV Semiconductor nanoelectronics, Sendai,<br />

november 07-08, 2007, Japan<br />

(119) Heaviliy Atomic-Layer doping of B in<br />

Low-Temperature Si Epitaxial Growth on<br />

Si(100) by Ultraclean Low-Pressure Chemical<br />

Vapor deposition<br />

H. tanno, M. Sakuraba, B. tillack, J. Murota<br />

5 th International Symposium on Control of<br />

Semiconductor Interfaces, tokyo, november<br />

12-14, 2007, Japan<br />

(120) <strong>IHP</strong> Technology Roadmap Update <strong>and</strong><br />

Future Research Topics<br />

B. tillack<br />

6 th Workshop High-performance SiGe BiCMoS<br />

for Wireless <strong>and</strong> Broadb<strong>and</strong> Communication,<br />

Frankfurt (oder), September 10, 2007,<br />

Germany<br />

(121) Combinatorial Logic Circuitry as Means to<br />

Protect Low Cost devices Against Side<br />

Channel Attacks<br />

F. Vater, S. peter, p. langendörfer<br />

Workshop in Information Security theory <strong>and</strong><br />

practices 2007: Smart Cards, Mobile <strong>and</strong> ubiquitous<br />

Computing Systems, Heraklion, Crete,<br />

May 09-11, 2007, Greece<br />

8 A n n u A l R e p o R t 2 0 0 7<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(122) A 77-GHz MMIC Power Amplifier driver for<br />

Automotive Radar<br />

l. Wang, J. Borngraeber, W. Winkler,<br />

C. Scheytt<br />

Iet International Conference on Radar Systems<br />

RADAR 2007, edinborough, october 17,<br />

2007, uK<br />

(123) A Single-Ended 79 GHz Radar Receiver in<br />

SiGe Technology<br />

l. Wang, S. Glisic, J. Borngräber, W. Winkler,<br />

J.C. Scheytt<br />

2007 Ieee BCtM, Boston,<br />

September 30 - october 02, 2007, uSA<br />

(124) Modeling the Quadratic Voltage dependence<br />

of High-k MIM Capacitors<br />

Ch. Wenger, t. Schroeder, J. Dabrowski,<br />

R. Sorge, M. lukosius, H.-J. Müssig, S. pasko,<br />

Ch. lohe<br />

InFoS 2007, Athen, June 20-23, 2007, Greece<br />

(125) Non-linear Effects in Thin Amorphous<br />

dielectric Films<br />

Ch. Wenger<br />

2 nd Workshop on Integrated electroceramic<br />

Functional Structures 2007, Berchtesgaden,<br />

June 13-15, 2007, Germany<br />

(126) Regular dislocation Networks in Silicon<br />

Part I: Structure<br />

t. Wilhelm, t. Mchedlidze, X. Yu, t. Arguirov,<br />

M. Kittler M. Reiche<br />

GADeSt 2007, erice, october 14-19, 2007,<br />

Italy<br />

(127) SiGe Quantum well Thermistor Materials<br />

S.G.e. Wissmar, H.H. Radamsson, Y. Yamamoto,<br />

B. tillack, C. Vieider, J.Y. Andersson<br />

5 th International Conference on Silicon epitaxy<br />

<strong>and</strong> Heterostructures (ICSI-5), Marseille,<br />

May 20-24, 2007, France


(128) Selective Etching of SiGe by HCl by RPCVd<br />

Y. Yamamoto, K. Köpke, R. Kurps, B. tillack<br />

3 rd International Workshop on new Group<br />

IV Semiconductor nanoelectronics, Sendai,<br />

november 07-08, 2007, Japan<br />

(129) Selective Vapor Phase Etching of SiGe by HCl<br />

Y. Yamamoto, K. Köpke, B. tillack<br />

5 th International Conference on Silicon epitaxy<br />

<strong>and</strong> Heterostructures (ICSI-5), Marseille,<br />

May 20-24, 2007, France<br />

(130) Selective Vapor Phase Etching of SiGe by<br />

HCl in a RPCVd Reactor<br />

Y. Yamamoto, K. Köpke, R. Kurps, B. tillack<br />

5 th International Symposium on Control of<br />

Semiconductor Interfaces, tokyo, november<br />

12-14, 2007, Japan<br />

(131) X-Ray Characterization of a Lattice Perfection<br />

of Heterepitaxial SIS Structures<br />

p. Zaumseil, t. Schroeder, G. Weidner<br />

GADeSt 2007, erice, october 14-19, 2007,<br />

Italy<br />

Berichte<br />

Reports<br />

(1) Einfluss von defekten sowie das<br />

defektkontrollierte Verhalten in Hoch-k<br />

Materialien und an den Grenzflächen zu<br />

den Elektrodenmaterialien<br />

J. Dabrowski, G. lippert, H.-J. Müssig<br />

Studie für AMD Dresden, 31.03.07<br />

(2) Schlussbericht BASUMA. Body Area<br />

Networks for Ubiquitous Multimedia<br />

Applications<br />

D. Dietterle<br />

Final Report on the BASuMA project.<br />

Veröffentlicht als Report 05 / 07 der Computer<br />

Science Reports Serie an der Btu Cottbus im<br />

Dezember 2007<br />

B E R I C H T E – R E P O R T S<br />

(3) wIGwAM Zwischenbericht<br />

e. Grass, F. Herzel, K. tittelbach-Helmrich,<br />

M. piz, M. ehrig, K. Schmalz, R. Kraemer<br />

Zwischenbericht zum BMBF projekt WIGWAM,<br />

FKZ: 01Bu371, 20.02.2007<br />

(4) driven Security Analysis <strong>and</strong> Architecture<br />

driven Requirement Specification<br />

p. langendörfer, e. osipov<br />

Deliverable D0.2, ubiSec&Sens,<br />

(http: / / www.ist-ubisecsens.org / publications),<br />

2007<br />

(5) white Paper wG5 <strong>Services</strong> <strong>and</strong> Applications:<br />

Middleware Platforms for Heterogeneous<br />

distributed Systems<br />

p. langendörfer, M. Maaser<br />

White paper Series of the BMBF<br />

(6) datenübertragung und QoS im wLAN<br />

M. Methfessel, K. tittelbach-Helmrich,<br />

Ch. Schilling, o. Hundt<br />

Zwischenbericht des HoMeplAne projektes<br />

(7) Global Integration of New Valuable Materials<br />

on the Si Platform Via Oxide Buffer Layers<br />

t. Schroeder, p. Storck<br />

Interner Siltronic – IHp technologie projekt –<br />

Bericht, September 2007<br />

A n n u A l R e p o R t 2 0 0 7


Monographien<br />

Monographs<br />

20 A n n u A l R e p o R t 2 0 0 7<br />

M O N O G R A P H S / H A B I L I T A T I O N S / d I S S E R T A T I O N S / T H E S E S<br />

(1) Gettering <strong>and</strong> defect Engineering in<br />

Semiconductor Technology XII<br />

A. Cavallini, H. Richter, M. Kittler, S. pizzini<br />

(eds.)<br />

proceedings of the 12 th International Autumn<br />

Meeting, Zurich, trans tech (2007)<br />

(2) Proceedings ISTdM 2006, Papers from the 3rd International SiGe Technology <strong>and</strong> device<br />

Meeting<br />

J. Sturm, e. Fitzgerald, S. Koester,<br />

J. Kolodzey, J. Murota, D. paul, B. tillack,<br />

S. Zaima, B. Ghyselen, S. takagi (eds.)<br />

Semiconductor Science <strong>and</strong> technology,<br />

22(1), (2007)<br />

Habilitationen /Dissertationen<br />

Habilitations / dissertations<br />

(1) Electro-Optical Properties of dislocations in<br />

Silicon <strong>and</strong> their Possible Application for<br />

Light Emitters<br />

t. Arguirov<br />

Dissertation, Btu Cottbus (2007)<br />

(2) digitale Analyse, Leistungsbewertung und<br />

generative Modellierung von wPAN-Verbindungen<br />

unter industriellen Arbeitsbedingungen<br />

A.R. Vedral<br />

Dissertation FH Bochum (2007)<br />

Diplomarbeiten/Masterarbeiten/Bachelorarbeiten<br />

diploma Theses / Master Theses / Bachelor<br />

Theses<br />

(1) Entwurf eines flächeneffizienten<br />

AES-Moduls mit einem durchsatz im Gigabit-Bereich<br />

t. Andrä<br />

Diplomarbeit, Btu Cottbus (2007)<br />

(2) Entwurf und prototypische Implementierung<br />

eines Bustest-Verfahrens basierend<br />

aus dem Broadside-Ansatz<br />

t. Basmer<br />

Masterarbeit, Btu Cottbus (2007)<br />

(3) Entwicklung einer optischen Proximity –<br />

Korrektur (OPC) für eine 0,13 µm SiGe:C<br />

BiCMOS Technologie<br />

S. Geisler<br />

Diplomarbeit tFH Wildau (2007)<br />

(4) wireless Sensor Networks <strong>and</strong> the H<strong>and</strong>ling<br />

of data in Network Environment with Limited<br />

Resources - Architecture of the data<br />

Storage<br />

M. Grobelny<br />

Masterarbeit uni Zielona Gora / FH Gießen<br />

(2007)<br />

(5) Entwurf eines Vielfachzugriffsverfahrens<br />

für die Fahrzeugkommunikation<br />

St. Hiebel<br />

Masterarbeit Btu Cottbus (2007)<br />

(6) H<strong>and</strong>ling of data in wireless Sensor<br />

Networks - Query Language <strong>and</strong> Client<br />

Application<br />

I. Jozwiak<br />

Masterarbeit uni Zielona Gora / FH Gießen<br />

(2007)<br />

(7) Konzeptionierung und prototypische<br />

Implementierung einer Fahrzeugumfelderkennungseinheit<br />

M. lucia<br />

Masterarbeit Btu Cottbus (2007)<br />

(8) Analoger datenfunk: Status Quo oder<br />

nutzbares Potential<br />

St. löwe<br />

Masterarbeit Btu Cottbus (2007)


(9) Entwicklung eines Informationsfluss-Modells<br />

für ubiquitäre Systeme<br />

St. ortmann<br />

Diplomarbeit Btu Cottbus (2007)<br />

(10) Flexibles Konzept zur feingranularen<br />

Energiemessung von mobilen Endgeräten<br />

F. Rechenberger<br />

Diplomarbeit Btu Cottbus (2007)<br />

(11) Auflösungserhöhung in der Photolithographie<br />

durch doppelbelichtung<br />

D. Stolarek<br />

Diplomarbeit, tFH Wildau (2007)<br />

(12) Entwicklung von designkonzepten zur<br />

Verbesserung der Seitenattacken-Resistenz<br />

von Krypto-Beschleunigern<br />

F. Vater<br />

Masterarbeit Btu Cottbus (2007)<br />

P A T E N T E – P A T E N T S<br />

Patente<br />

Patents<br />

(1) Glukosesensor<br />

M. Birkholz, J. Drews, K.-e. ehwald, J. Klatt,<br />

K. Schulz, W. Winkler<br />

De-patentanmeldung IHp.295.06, am<br />

14.03.2007, AZ: 10 2007 013 012.2<br />

(2) Vorrichtung und Verfahren zur Messung der<br />

Viskosität<br />

M. Birkholz, K.-e. ehwald, G. lippert,<br />

D. Roscher<br />

De-patentanmeldung IHp.323.07, am<br />

20.12.07, AZ: 10 2007 057.830.1<br />

(3) Radar-basiertes, tragbares Orientierungssystem<br />

D. Dietterle<br />

De-patentanmeldung IHp.307.07, am<br />

05.09.07, AZ: 10 2007 042 395.2-55<br />

(4) Mikroviskosimeter<br />

J. Drews, K.-e. ehwald, K. Schulz<br />

De-patentanmeldung IHp.297.07, am<br />

29.06.07, AZ: 10 2007 031 128.3<br />

(5) Multiphasen-Oszillator<br />

H. Gustat<br />

De-patentanmeldung IHp.317.07, am 5.11.07,<br />

AZ: 10 2007 052 933.5<br />

(6) PLL-Schaltung für Frequenzverhältnisse<br />

mt nichtganzzahligen werten<br />

H. Gustat<br />

De-patentanmeldung IHp.304.07, am<br />

29.06.07, AZ: De 10 2007 031 127.5<br />

(7) Sigma-delta-Modulator mit Rückkopplung<br />

für Leistungsverstärker<br />

H. Gustat<br />

uS-patentanmeldung IHp.281.06 am<br />

19.11.07<br />

A n n u A l R e p o R t 2 0 0 7<br />

2


(8) Verfahren und Vorrichtung für die<br />

Sigma-delta-Modulation<br />

H. Gustat<br />

uS-patentanmeldung IHp.277.06,<br />

am 14.11.07<br />

(9) Open Loop double-Sampling Track <strong>and</strong><br />

Hold Circuit<br />

S. Halder, H. Gustat<br />

De-patentanmeldung IHp.312.07,<br />

am 29.06.07, AZ: 10 2007 031 130.5<br />

(10) Versetzungsbasierter Lichtemitter mit<br />

MIS-Struktur<br />

M. Kittler, X. Yu, o.F. Vyvenko, t. Arguirov,<br />

W. Seifert, M. Reiche<br />

pCt-patentanmeldung IHp.283.pCt,<br />

am 30.05.07, AZ: pCt / ep2007 / 055256<br />

(11) Komplementäre Bipolar-Halbleitervorrichtung<br />

D. Knoll, B. Heinemann, K.-e. ehwald<br />

pCt-patentanmeldung IHp.294.pCt,<br />

am 07.12.07, AZ: pCt / ep2007 / 063551<br />

(12) Inter-wLAN QoS Signalisierer<br />

R. Kraemer<br />

De-patentanmeldung IHp.314.07,<br />

am 20.11.07, AZ: 10 2007 056 136.0<br />

(13) Senderempfänger mit kognitivem<br />

HF-Kanal-Profiling<br />

R. Kraemer<br />

De-patentanmeldung IHp.313.07,<br />

am 09.11.07, AZ: 10 2007 054 300.1<br />

(14) GALS-Schaltung mit arbitriertem<br />

eingangs- und ausgangsseitigem<br />

Taktantrieb<br />

M. Krstic, e. Grass<br />

De-patentanmeldung IHp.310.07,<br />

am 09.11.07, AZ: 10 2007 054 302.8<br />

22 A n n u A l R e p o R t 2 0 0 7<br />

P A T E N T E – P A T E N T S<br />

(15) Geschütztes Ausführen einer datenverarbeitungsanwendung<br />

eines diensteanbieters<br />

für einen Nutzer durch eine vertrauenswürdige<br />

Ausführungsumgebung<br />

p. langendörfer, M. Maaser<br />

pCt-patentanmeldung, IHp.272.pCt,<br />

am 26.04.07, AZ: pCt / ep2007 / 054117<br />

(16) Verfahren und Vorrichtung zur Reduktion<br />

eines Polynoms in einem binären finiten<br />

Feld, insbesondere im Rahmen einer<br />

kryptographischen Anwendung<br />

p. langendörfer, S. peter<br />

pCt-patentanmeldung IHp.276.06,<br />

am 21.03.07, AZ: pCt / ep2007 / 052707<br />

(17) Stromloser St<strong>and</strong>-By<br />

o. Maye, M. Maaser, J. Schäffner<br />

De-patentanmeldung IHp.305.07,<br />

am 29.06.07, AZ: 10 2007 030 599.2<br />

(18) Semiconductor Laser Based on<br />

Imperfections in Silicon<br />

t. Mchedlidze, M. Kittler, t. Arguirov,<br />

M. Reiche<br />

De-patentanmeldung IHp.302.07,<br />

am 29.06.07, AZ: 10 2007 031 132.1<br />

(19) Thermal Processing of Semiconductor<br />

wafers without Supports<br />

t. Mchedlidze, M. Kittler, V.D. Akhmetov<br />

De-patentanmeldung IHp.301.07,<br />

am 29.06.07, AZ: 07 107 347.2<br />

(20) Architektur für verteilte Systeme<br />

M. Methfessel<br />

De-patentanmeldung IHp.291.06<br />

am 29.06.07, AZ: 10 2007 030 601.8<br />

(21) Autokonfigurierbare Sensorvorrichtung<br />

M. Methfessel<br />

De-patentanmeldung IHp.290.06<br />

am 29.06.07, AZ: 10 2007 030 597.6


(22) Schichtstruktur mit Barium- oder<br />

Strontium enthaltendem Metalloxid<br />

H.-J. Müssig, G. lupina, G. lippert,<br />

J. Dabrowski, Ch. Wenger<br />

De-patentanmeldung IHp.326.07,<br />

am 21.12.07, AZ: 10 2007 063.437.6<br />

(23) Reduktion von Seiten-Kanal-Informationen<br />

durch interagierende Krypto-Blocks<br />

S. peter, M. Methfessel, p. langendörfer,<br />

F. Vater<br />

De-patentanmeldung IHp.288.06,<br />

am 09.02.07, AZ: 10 2007 007 699.3<br />

(24) UwB / 60 GHz Transceiver<br />

J.C. Scheytt<br />

ep-patentanmeldung IHp.322.07,<br />

am 29.10.2007, AZ: 07 119 524.2<br />

(25) Elektrischer Schaltkreis mit doppel-<br />

Modul-Redundanz zur H<strong>and</strong>habung von<br />

Single-Event-Effekten<br />

G. Schoof<br />

De-patentanmeldung IHp.298.07<br />

am 25.05.07, AZ: 10 2007 024 983.9<br />

(26) Integrierte Schaltung mit Strahlungsschutz<br />

G. Schoof<br />

pCt-patentanmeldung IHp.286.pCt<br />

am 13.11.07, AZ: pCt / ep 2007 / 062257<br />

(27) Messung der Laufzeit von wLAN-Paketen<br />

K. tittelbach-Helmrich<br />

De-patentanmeldung IHp.303.07,<br />

am 29.06.07, AZ: 10 2007 031 129.1<br />

(28) Erweiterter Clock watchdog<br />

F. Vater, St. peter, u. Jagdhold,<br />

p. langendörfer<br />

De-patentanmeldung IHp.306.07,<br />

am 29.06.07, AZ: 10 2007 031 131.3<br />

P A T E N T E – P A T E N T S<br />

(29) Taktüberwachungsschaltung<br />

F. Vater, u. Jagdhold, St. peter,<br />

p. langendörfer<br />

De-patentanmeldung IHp.296.07<br />

am 07.05.07, AZ: 10 2007 022 369.4<br />

(30) Vorrichtungen und Verfahren zur<br />

geschichteten Informationsübertragung<br />

mit differentiell kryptographisch verschlüsselten<br />

Vollständigkeitsstufen<br />

F. Vater, M. Maaser<br />

De-patentanmeldung IHp.311.07,<br />

am 02.11.07, AZ: 10 2007 052 932.7<br />

(31) dreidimensionaler Metall-Isolator-Metall-<br />

Kondensator ohne zusätzlichen Maskenschritt<br />

Ch. Wenger, D. Knoll, D. Wolansky<br />

De-patentanmeldung IHp.300.07,<br />

am 02.10.07, AZ: 10 2007 048 178.2<br />

(32) Selektives wachstum von polykristallinem<br />

siliziumhaltigen Halbleitermaterial auf<br />

siliziumhaltiger Halbleiteroberfläche<br />

Y. Yamamoto, B. tillack, B. Heinemann<br />

De-patentanmeldung IHp.280.06,<br />

am 22.02.07, AZ: 10 2007 010 563.2-43<br />

A n n u A l R e p o R t 2 0 0 7<br />

2


2 A n n u A l R e p o R t 2 0 0 7<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

<strong>Deliverables</strong> <strong>and</strong> <strong>Services</strong>


A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

Multiprojekt Wafer (MPW) und<br />

Prototyping Service<br />

Das <strong>IHP</strong> bietet seinen Forschungspartnern und Kunden<br />

Zugriff auf seine leistungsfähigen SiGe-BiCMOS-Technologien.<br />

Die Technologien sind insbesondere für Anwendungen im<br />

oberen GHz-Bereich geeignet, so z.B. für die drahtlose und<br />

Breitb<strong>and</strong>kommunikation oder Radar. Sie bieten integrierte<br />

HBTs mit Grenzfrequenzen bis zu 300 GHz und integrierte<br />

HF-LDMOS-Bauelemente mit Durchbruchspannungen bis<br />

zu 31 V einschließlich komplementärer Bauelemente.<br />

Das Backend enthält 3 (SG13S: 5) dünne und 2 dicke<br />

Metallebenen (TM1: 2 µm, TM2: 3 µm).<br />

Verfügbar sind folgende SiGe BiCMOS Technologien:<br />

SG25H1: Eine 0,25-µm-BiCMOS-Hochleistungs-<br />

Technologie mit npn-HBTs bis zu<br />

f T / f max = 180 / 220 GHz.<br />

SG25H2: Eine komplementäre 0,25-µm-BiCMOS-<br />

Hochleistungs-Technologie mit npn-HBTs<br />

ähnlich SG25H1 und zusätzlichen pnp-HBTs<br />

mit f T / f max = 90 / 120 GHz.<br />

SG25H3: Eine 0,25-µm-BiCMOS-Technologie mit<br />

mehreren npn-HBTs, deren Parameter von<br />

einer hohen HF-Performance<br />

(f T / f max = 110 / 180 GHz) zu größeren<br />

Durchbruchspannungen bis zu 7 V reichen.<br />

SGB25VD: Eine kostengünstige 0,25-µm-BiCMOS-<br />

Technologie mit mehreren npn-Transistoren<br />

mit Durchbruchspannungen bis zu 7 V.<br />

Eine Besonderheit dieser Technologie sind<br />

zusätzliche integrierte komplementäre<br />

HF-LDMOS-Bauelemente mit<br />

Durchbruchspannungen bis zu 31 V.<br />

SG13S: Eine 0,13-µm-BiCMOS-Hochleistungs-<br />

Technologie mit npn-HBTs bis zu<br />

f T /f max = 250/300 GHz mit 3,3 V I/O-CMOS<br />

und 1,2 V Logik-CMOS.<br />

SG13B: Identisch SG13S, aber ohne die 1,2 V Logik-CMOS.<br />

Multiproject wafer (MPw) <strong>and</strong><br />

Prototyping Service<br />

IHp offers research partners <strong>and</strong> customers access to<br />

its powerful SiGe BiCMoS technologies.<br />

the technologies are especially suited for applications<br />

in the higher GHz b<strong>and</strong>s (e.g. for wireless,<br />

broadb<strong>and</strong>, radar). they provide integrated HBts with<br />

cut-off frequencies of up to 300 GHz <strong>and</strong> integrated<br />

RF lDMoS devices with breakdown voltages of up to<br />

31 V, including complementary devices.<br />

the backend offers 3 (SG13S: 5) thin <strong>and</strong> 2 thick metal<br />

layers (tM1: 2 µm, tM2: 3 µm).<br />

The following SiGe BiCMOS technologies are available:<br />

SG25H1: A high-performance 0.25 µm BiCMoS with<br />

npn-HBts up to f t / f max = 180 / 220 GHz.<br />

SG25H2: A complementary high-performance<br />

0.25 µm BiCMoS with npn-HBts similar to<br />

SG25H1 <strong>and</strong> additional pnp-HBts with<br />

f t / f max = 90 / 120 GHz.<br />

SG25H3: A 0.25 µm BiCMoS with a set of npn-HBts<br />

ranging from a high RF performance<br />

(f t / f max = 110 GHz / 180 GHz) to higher<br />

breakdown voltages up to 7 V.<br />

SGB25VD: A cost-effective 0.25 µm BiCMoS with a set of<br />

npn-HBts up to a breakdown voltage of<br />

7 V. A distinctive feature of this technology<br />

is additional integrated complementary<br />

RF lDMoS devices with breakdown<br />

voltages up to 31 V.<br />

SG13S: A high-performance 0.13 µm BiCMoS with<br />

npn-HBts up to f t / f max = 250 / 300 GHz, with<br />

3.3 V I / o CMoS <strong>and</strong> 1.2 V logic CMoS.<br />

SG13B: Identical to SG13S but without 1.2 V logic<br />

CMoS.<br />

A n n u A l R e p o R t 2 0 0 7<br />

2


26 A n n u A l R e p o R t 2 0 0 7<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

Es finden technologische Durchläufe nach einem festen,<br />

unter www.ihp-microelectronics.com verfügbaren<br />

Zeitplan statt.<br />

Ein Cadence-basiertes Design-Kit für Mischsignale ist<br />

verfügbar. Wiederverwendbare Schaltungsblöcke und<br />

IPs des <strong>IHP</strong> für die drahtlose und Breitb<strong>and</strong>kommunikation<br />

werden zur Unterstützung von Designs angeboten.<br />

In den folgenden Tabellen sind die wesentlichen Parameter<br />

der Technologien dargestellt:<br />

1. High-Performance 0.25 µm SiGe BiCMOS<br />

(SG25H1)<br />

Parameter npn1 npn2<br />

Bipolar Section<br />

A e 0.21 x 0.84 µm 2 0.18 x 0.84 µm 2<br />

peak f max 190 GHz 220 GHz<br />

peak f t 190 GHz 180 GHz<br />

BV Ce0 1.9 V 1.9 V<br />

BV CBo 4.5 V 4.5 V<br />

V A 40 V 40 V<br />

β 200 200<br />

the schedule for MpW & prototyping runs is located<br />

at www.ihp-microelectronics.com.<br />

A cadence-based mixed signal design kit is available.<br />

For high frequency designs an analogue Design<br />

Kit in ADS can be used. IHp’s reusable blocks <strong>and</strong> Ips<br />

for wireless <strong>and</strong> broadb<strong>and</strong> are offered to support<br />

designs.<br />

technical key-parameters of the technologies are:<br />

2. Complementary High-Performance 0.25 µm<br />

SiGe BiCMOS (SG25H2)<br />

Parameter npn pnp<br />

Bipolar Section<br />

A e 0.21 x 0.84 µm 2 0.22 x 0.84 µm 2<br />

peak f max 170 GHz 120 GHz<br />

peak f t 170 GHz 90 GHz<br />

BV Ce0 1.9 V - 2.8 V<br />

BV CBo 4.5 V - 4.0 V<br />

V A 40 V 30 V<br />

β 200 100<br />

3. 0.25 µm SiGe BiCMOS with a set of npn-HBTs, ranging from high RF performance to high breakdown<br />

voltages (SG25H3)<br />

Parameter High High Medium High<br />

Performance1 Performance2 Voltage Voltage<br />

Bipolar Section<br />

A e 0.22 x 0.84 µm 2 0.42 x 0.84 µm 2 0.22 x 2.24 µm 2 0.22 x 2.24 µm 2<br />

peak f max 180 GHz 140 GHz 140 GHz 80 GHz<br />

peak f t 110 GHz 120 GHz 45 GHz 30 GHz<br />

BV Ce0 2.3 V 2.3 V 5 V > 7 V<br />

BV CBo 6.0 V 6.0 V 15.5 V 21.0 V<br />

V A 30 V 30 V 30 V 30 V<br />

β 150 150 150 150


A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

4. 0.25 µm SiGe BiCMOS with High-Voltage devices (SGB25Vd)<br />

Parameter High St<strong>and</strong>ard High<br />

Performance Voltage<br />

Bipolar Section<br />

A e 0.42 x 0.84 µm 2<br />

LdMOS Section<br />

peak f max 95 GHz 90 GHz 70 GHz<br />

peak f t 75 GHz 45 GHz 25 GHz<br />

BV Ceo 2.4 V 4.0 V 7.0 V<br />

BV CBo > 7 V > 15 V > 20 V<br />

V A > 50 V > 80 V > 100 V<br />

β 190 190 190<br />

n-LdMOS 29<br />

n-LdMOS p-LdMOS<br />

n-LdMOS 23 n-LdMOS 13 n-LdMOS p-LdMOS 8 p-LdMOS 12<br />

I10****<br />

BV * DSS 31 V<br />

26 V 15 V 11.5 V -10 V -13.5 V<br />

I ** Dsat 101 µA / µm 140 µA / µm 140 µA / µm 175 µA / µm 85 µA / µm 90 µA / µm<br />

(V = 1.5 V)<br />

GS (V = 1.5 V) GS (V = 1.5 V) GS (V = 1.5 V) (V = -1.5 V) GS GS (V = -1.5 V)<br />

GS<br />

Ileakage < 15 pA / µm < 15 pA / µm < 15 pA / µm < 15 pA / µm < 50 pA / µm < 50 pA / µm<br />

(V = 25 V)<br />

DS (V = 20 V) DS (V = 10 V) DS (V = 8 V) DS (V = -8 V) DS (V = -8 V)<br />

DS<br />

RON 20 Ωmm 11 Ωmm 7 Ωmm 7.5 Ωmm 16 Ωmm 11.5 Ωmm<br />

Peak f *** 32 GHz<br />

max 40 GHz 43 GHz 46 GHz 25 GHz 22 GHz<br />

Peak f *** 14 GHz<br />

T 19 GHz 23 GHz 21 GHz 11 GHz 11 GHz<br />

*:@ 00 pA / µm **:@V = V ***:@V = V (@V = 20 V for n-LDMOS 2 ) ****: substrate isolated<br />

DS DS DS<br />

parameters were extracted after initial drive in, i.e. after a single measurement of the DC transfer characteristic<br />

(|V | = 0...2.5 V; V = 0...0.8*BV )<br />

GS DS DSS<br />

A n n u A l R e p o R t 2 0 0 7<br />

27


28 A n n u A l R e p o R t 2 0 0 7<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

CMOS <strong>and</strong> Passives of 0.25 µm Technologies<br />

Parameter SG25H1 – H3 SGB25Vd<br />

CMOS Section<br />

Core Supply Voltage 2.5 V<br />

nMoS V th 0.6 V<br />

I Dsat 540 µA / µm 570 µA / µm<br />

I off 3 pA / µm<br />

pMoS V th - 0.56 V - 0.51 V<br />

I Dsat 230 µA / µm 290 µA / µm<br />

I off 3 pA / µm<br />

Passives<br />

MIM Capacitor 1 fF / µm 2<br />

n + poly Resistor 210 Ω /<br />

p + poly Resistor 280 Ω / 310 Ω /<br />

High poly Resistor 1600 Ω / 2000 Ω /<br />

Varactor C max / C min 3<br />

Inductor Q@5.8 GHz (with tM2) 20 (1 nH), 15 (1.8 nH)<br />

Inductor Q@10 GHz (with tM2) 23 (0.7 nH), 22 (1 nH)<br />

. 0. µm SiGe BiCMOS (SG S, SG B)<br />

Parameter<br />

npn13P npn13V<br />

Bipolar Section (SG13S, SG13B)<br />

A e 0.12 x 0.48 µm 2 0.18 x 1.00 µm 2<br />

peak f max 300 GHz 120 GHz<br />

peak f t 250 GHz 45 GHz<br />

BV CBo 1.7 V 4 V<br />

BV CBo 5.5 V 16 V<br />

β 500 450<br />

Logic I/O<br />

CMOS Section (SG13S) (SG13S, SG13B)<br />

Supply Voltage 1.2 V 3.3 V<br />

I Dsat NMOS 440 µA /µm 550 µA/µm<br />

I Dsat PMOS 200 µA /µm 240 µA/µm<br />

I off 50 pA /µm 1 pA/µm


Design Kits<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

Die Design Kits unterstützen eine Cadence Mischsignal-<br />

Plattform:<br />

- Design Framework II (Cadence 5.0-5.1 / 6.1<br />

vorgesehen ab 4. Quartal 2008)<br />

- Verhaltens-Beschreibung (Verilog HDL)<br />

- Logische Synthese & Optimierung (VHDL / HDL<br />

Compiler, Design Compiler / Synopsys, Power<br />

Compiler / Synopsys)<br />

- Test Generation / Synthetisierer / Test Compiler<br />

(Synopsys)<br />

- Simulation (RF: SpectreRF, Analog: SpectreS,<br />

Verhaltens-Beschreibung / Digital: Leapfrog / NC-<br />

Affirma / Verilog-XL / ModelSim)<br />

- Platzieren & Verbinden (Silicon Ensemble & Preview)<br />

- Layout (Virtuoso Editor-Cadence)<br />

- Verifizierung (Diva <strong>and</strong> Assura: DRC / LVS / Extract /<br />

Parasitic Extraction)<br />

- ADS-Support über RFDE / RFIC mit dynamischem<br />

Link zu Cadence ist verfügbar<br />

- Ein eigenständiges ADS Kit einschließlich<br />

Momentum Substrate Layer File wird unterstützt,<br />

jedoch ohne Layout-Unterstützung<br />

- Unterstützung von Analog Office und Tanner über<br />

Partner<br />

- ECL-Bibliothek für SGB25VD<br />

- Strahlungsresistente CMOS-Bibliothek<br />

- β Design Kit für 0,13 µm BiCMOS ist ab<br />

2. Quartal 2008 verfügbar<br />

Verfügbare analoge und digitale Blöcke und Designs<br />

für die drahtlose und Breitb<strong>and</strong>kommunikation<br />

Zur Unterstützung von Designs bietet das <strong>IHP</strong> Schaltungsblöcke<br />

und Schaltungen für Lösungen im Bereich<br />

drahtlose und Breitb<strong>and</strong>kommunikation an:<br />

- 77-81 GHz SiGe Radar Frontend und Frontend-<br />

Komponenten (VCO, PA, Mischer, Frequenzteiler)<br />

- Komponenten für 60-GHz-SiGe-HF-Frontends<br />

(LNA, Mischer, vollständig integrierte PLL und PA)<br />

- 60 GHz SiGe Empfänger-Frontend mit vollständig<br />

integrierter PLL<br />

- 60 GHz SiGe Sender-Frontend mit vollständig<br />

integrierter PLL<br />

- SiGe Mischer, VCOs, Prescaler, VCO-Prescaler für 24 GHz<br />

design Kits<br />

the design kits support a Cadence mixed signal platform:<br />

- Design Framework II (Cadence 5.0-5.1 / 6.1<br />

scheduled for Q4 2008)<br />

- Behavioral Modeling (Verilog HDl)<br />

- logic Synthesis & optimization<br />

(VHDl / HDl Compiler, Design Compiler /<br />

Synopsys, power Compiler / Synopsys)<br />

- test Generation / Synthesizer / test Compiler<br />

(Synopsys)<br />

- Simulation (RF: SpectreRF, Analog: SpectreS,<br />

Behavioral / Digital: leapfrog / nC-Affirma /<br />

Verilog-Xl / ModelSim)<br />

- place & Route (Silicon ensemble & preview)<br />

- layout (Virtuoso editor-Cadence)<br />

- Verification (Diva <strong>and</strong> Assura: DRC / lVS / extract /<br />

parasitic extraction)<br />

- ADS-support via RFDe / RFIC dynamic link to<br />

Cadence is available<br />

- A st<strong>and</strong>alone ADS Kit including Momentum<br />

substrate layer file is supported, but without<br />

layout support<br />

- Support of Analog office, Catena, <strong>and</strong> tanner<br />

via partners is available<br />

- eCl library for SGB25VD<br />

- Radiation hard CMoS library<br />

- β Design Kit for 0.13 µm BiCMoS will be available<br />

from Q2 2008<br />

Available Analog <strong>and</strong> digital Blocks <strong>and</strong> designs<br />

for wireless <strong>and</strong> Broadb<strong>and</strong> Communications<br />

to support designs, IHp offers a wide range of blocks<br />

<strong>and</strong> designs for wireless & broadb<strong>and</strong> solutions:<br />

- 77-81 GHz SiGe radar frontend <strong>and</strong> frontend<br />

components (VCo, pA, mixer, frequency divider)<br />

- 60 GHz SiGe RF Frontend Components<br />

(lnA, Mixers, fully-integrated pll <strong>and</strong> pA)<br />

- 60 GHz SiGe RX-Frontend with fully-integrated<br />

pll<br />

- 60 GHz SiGe tX-Frontend with fully-integrated<br />

pll <strong>and</strong> pA<br />

- 24 GHZ SiGe mixers, VCos, prescaler, VCo-prescaler<br />

A n n u A l R e p o R t 2 0 0 7<br />

2


0 A n n u A l R e p o R t 2 0 0 7<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

- SiGe DAC-Komponenten für mittlere und hohe<br />

Geschwindigkeiten bis zu 30 GSps<br />

- SiGe UWB Transceiver-Komponenten wie Mischer-<br />

Korrelator und Breitb<strong>and</strong>-LNA<br />

- Impuls-UWB SiGe-HF-Frontend mit Lokalisierungs-<br />

Schaltung und Komponenten für UWB HF-Transceiver<br />

- Statische und dynamische SiGe Teilerschaltungen<br />

bis zu 90 GHz<br />

- 5 GHz SiGe Breitb<strong>and</strong>-Modem (1 Gbps) für OFDM<br />

- Rauscharme SiGe LC-VCOs im Bereich zwischen<br />

10 und 120 GHz<br />

- SiGe Integer-N PLLs mit integriertem VCO mit<br />

geringem Phasenrauschen (8-11 GHz, 16-19 GHz,<br />

20-24 GHz, 48 GHz, 56 GHz)<br />

- SPI-Interface<br />

- MATLAB-Modelle für einen digitalen Basisb<strong>and</strong>-<br />

Prozessor für ein IEEE 802.11a / g / p-konformes<br />

Modem einschliesslich der Einheiten für Synchronisation<br />

und Kanalschätzung<br />

- Designs für Komponenten zur Basisb<strong>and</strong>-Verarbeitung<br />

(Viterbi Decoder, FFT / IFFT Prozessor,<br />

CORDIC Prozessor)<br />

- Synthetisierbares VHDL-Modell des kompletten<br />

IEEE 802.11a OFDM Basisb<strong>and</strong>- Prozessors einschließlich<br />

der Synchronisation und Kanalschätzung<br />

- Echtzeit-Implementierung des MAC-Layers für ein<br />

IEEE 802.11a-kompatibles Modem<br />

- Design von eingebetteten Anwendungen, bestehend<br />

aus einem auf MIPS- oder LEON-Prozessoren<br />

laufenden C-Programm<br />

- Design eines speziellen Hardware-Beschleunigers<br />

- Ein abstraktes SDL-Modell des MAC-Layer für ein<br />

IEEE 802.11a- und HiperLAN / 2-kompatibles Modem<br />

mit Testbenches für verschiedene Anwendungs-<br />

Szenarien<br />

- Ein abstraktes SDL-Modell für IEEE 802.15.3 und<br />

IEEE 802.15.4<br />

- 5-GHz-Link-Emulator und Entwicklungsumgebung<br />

für WLAN<br />

- TCP / IP-Prozessor einschließlich Hardware-Beschleuniger<br />

für das Protokoll sowie symmetrische und asymmetrische<br />

Verschlüsselung einschließlich MD5<br />

- IP-Cores für flexible ECC- und AES-Kryptoprozessoren<br />

- Basisb<strong>and</strong>-Modelle und Realisierungen für Gigabit-WLAN<br />

- Kontext-sensitive verteilte Middleware-Plattform<br />

- SiGe DAC components for medium <strong>and</strong> high speed up<br />

to 30 GSps<br />

- SiGe uWB transceiver components such as mixer<br />

correlator, broadb<strong>and</strong> lnA<br />

- Impulse uWB SiGe RF Frontend with localization<br />

circuitry <strong>and</strong> uWB RF transceiver components<br />

- Static <strong>and</strong> dynamic SiGe divider circuits for up<br />

to 90 GHz<br />

- 5 GHz SiGe broadb<strong>and</strong> modem (1 Gbps) for oFDM<br />

- low-noise SiGe lC-VCos in the range between<br />

10 <strong>and</strong> 120 GHz<br />

- SiGe Integer-n plls with integrated low<br />

phase-noise VCo (8-11 GHz, 16-19 GHz,<br />

20-24 GHz, 48 GHz, 56 GHz)<br />

- SpI-Interface<br />

- MAtlAB models of a digital baseb<strong>and</strong><br />

processor for an Ieee 802.11a / g / p compliant<br />

modem, including the synchronization <strong>and</strong><br />

channel estimation units<br />

- Designs for baseb<strong>and</strong> processing components<br />

(Viterbi decoder, FFt / IFFt processor,<br />

CoRDIC processor)<br />

- Synthesizable VHDl model of the complete<br />

Ieee 802.11a oFDM baseb<strong>and</strong> processor including<br />

synchronization <strong>and</strong> channel estimation<br />

- Realtime implementation of the MAC layer for an<br />

Ieee 802.11a compliant modem<br />

- Design of embedded applications consisting of<br />

a C-program running on MIpS or leon processors<br />

- Design of dedicated hardware accelerator<br />

- Abstract SDl model of MAC layer for<br />

Ieee 802.11a <strong>and</strong> HiperlAn / 2 compliant<br />

modem with testbenches for various deployment<br />

scenarios<br />

- Abstract SDl model for Ieee 802.15.3 <strong>and</strong><br />

Ieee 802.15.4<br />

- 5 GHz link emulator <strong>and</strong> WlAn design /<br />

debug kit<br />

- tCp / Ip-processor including hardware<br />

accelerators for protocol <strong>and</strong> symmetric<br />

<strong>and</strong> asymmetric encryption including MD5<br />

- Ip-cores for flexible eCC <strong>and</strong> AeS cryptoprocessors<br />

- Baseb<strong>and</strong>-models <strong>and</strong> realisations for Gigabit WlAn<br />

- Context-sensitive distributed Middleware plat-<br />

form (plASMA) for wireless internet applications


A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

(PLASMA) für das drahtlose Internet<br />

- Drahtlose Sensorknoten, basierend auf einer<br />

MSP430-Prozessorarchitektur<br />

- Beratung zu Gigabit WLAN-Systemen<br />

- Beratung zum Design von MAC-Protokollen<br />

- Beratung zu drahtlosen Sensornetzen und deren<br />

Anwendungen<br />

Transfer von Technologien und Technologie-Modulen<br />

Das <strong>IHP</strong> bietet den Transfer seiner 0,25-µm-BiCMOS-<br />

Technologien und Technologiemodule (HBT, LDMOS)<br />

an. Die technologischen Parameter entsprechen weitgehend<br />

den oben für MPW & Prototyping genannten.<br />

Unterstützung bei Prozess-Modulen<br />

Das <strong>IHP</strong> bietet Unterstützung bei der Realisierung spezieller<br />

Prozess-Module für Forschung und Entwicklung<br />

und für Prototyping bei geringen Volumina für St<strong>and</strong>ard-Prozess-Module<br />

und Prozess-Schritte.<br />

Verfügbar sind u.a. folgende Prozess-Module:<br />

- St<strong>and</strong>ard-Prozesse (Implantation, Ätzen, CMP &<br />

Abscheidung von Schichtstapeln wie thermisches<br />

SiO 2 , PSG, Si 3 N 4 , Al, TiN, W)<br />

- Epitaxie (Si, Si:C, SiGe, SiGe:C)<br />

- Optische Lithographie (i-Linie und 248 nm bis<br />

hinab zu 100 nm Strukturgröße)<br />

- Verkürzte Prozessabläufe.<br />

Fehleranalyse und Diagnostik<br />

Das <strong>IHP</strong> bietet Unterstützung bei der Ausbeuteerhöhung<br />

durch Fehleranalyse mit modernen Ausrüstungen<br />

wie z.B. AES, AFM, FIB, LST, REM, SIMS, STM und TEM.<br />

- Wireless sensor nodes based on MSp430<br />

processor architecture<br />

- Consultancy for Gigabit WlAn systems<br />

- Consultancy for MAC protocol design<br />

- Consultancy for wireless sensor networks <strong>and</strong><br />

applications<br />

Transfer of Technologies <strong>and</strong> Technology Modules<br />

IHp offers its 0.25 µm BiCMoS technologies <strong>and</strong> technology<br />

modules (HBt-Modules, lDMoS-Modules) for<br />

transfer. the technological parameters comply to a<br />

large extent with the parameters described above for<br />

MpW & prototyping.<br />

Process Module Support<br />

IHp offers support for advanced process modules for<br />

research <strong>and</strong> development purposes <strong>and</strong> small volume<br />

prototyping.<br />

process modules available include:<br />

- St<strong>and</strong>ard processes (implantation, etching,<br />

CMp & deposition of layer stacks such<br />

as thermal Sio 2 , pSG, Si 3 n 4 , Al, tin, W)<br />

- epitaxy (Si, Si:C, SiGe, SiGe:C)<br />

- optical lithography (i-line <strong>and</strong> 248 nm down<br />

to 100 nm structure size)<br />

- Short-flow processing.<br />

Failure Mode Analysis <strong>and</strong> diagnostics<br />

IHp offers support for yield enhancement through failure<br />

mode analysis with state-of-the-art equipment,<br />

including AeS, AFM, FIB, lSt, SeM, SIMS, StM <strong>and</strong> teM.<br />

Für weitere Informationen wenden Sie sich bitte an: For more information please contact:<br />

Dr. Wolfgang Kissinger (General contact) Dr. René Scholz (MPW & Prototyping contact)<br />

<strong>IHP</strong> <strong>IHP</strong><br />

Im Technologiepark 25 Im Technologiepark 25<br />

15236 Frankfurt (Oder), Germany 15236 Frankfurt (Oder), Germany<br />

Email: kissinger@ihp-microelectronics.com Email : scholz@ihp-microelectronics.com<br />

Tel: +49 335 56 25 410 Tel : +49 335 56 25 647<br />

Fax: +49 335 56 25 222 Fax +49 335 56 25 327<br />

A n n u A l R e p o R t 2 0 0 7


Wegbeschreibung zum <strong>IHP</strong><br />

2 A n n u A l R e p o R t 2 0 0 7<br />

w E G B E S C H R E I B U N G Z U M I H P – d I R E C T I O N S T O I H P<br />

per Flugzeug<br />

- Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis<br />

Bahnhof Berlin-Zoologischer Garten (19 Minuten);<br />

dann mit dem RegionalExpress RE 1 bis Frankfurt<br />

(Oder) Hauptbahnhof (ca. 1 Stunde 20 Minuten).<br />

- Vom Flughafen Berlin-Schönefeld mit dem Airport-<br />

Express oder der S-Bahnlinie S 9 bis Bahnhof Berlin-Ostbahnhof<br />

(19 bzw. 32 Minuten); dann mit dem<br />

RegionalExpress RE 1 bis Frankfurt (Oder) Hauptbahnhof<br />

(ca. 1 Stunde).<br />

- Vom Flughafen Berlin-Tempelhof mit der U-Bahnlinie<br />

U 6 Richtung Alt-Tegel bis zur Haltestelle Friedrichstraße<br />

(11 Minuten); umsteigen in den Regional-<br />

Express RE 1 bis Frankfurt (Oder) Hauptbahnhof<br />

(ca. 1 Stunde 15 Minuten).<br />

per Bahn<br />

- Von den Berliner Bahnhöfen Zoologischer Garten,<br />

Hauptbahnhof, Friedrichstraße, Alex<strong>and</strong>erplatz<br />

oder Ostbahnhof mit dem RegionalExpress RE 1 bis<br />

Frankfurt ( Oder) Hauptbahnhof.<br />

per Auto<br />

- Über den Berliner Ring auf die Autobahn A 12 in Richtung<br />

Frankfurt (Oder) / Warschau; Abfahrt Frankfurt<br />

(Oder)-West, an der Ampel links in Richtung Beeskow<br />

und dem Wegweiser „Technologiepark Ostbr<strong>and</strong>enburg“<br />

folgen.<br />

per Straßenbahn in Frankfurt (Oder)<br />

- Ab Frankfurt (Oder) Hauptbahnhof mit der Linie 3<br />

oder 4 in Richtung Markendorf Ort bis Haltestelle<br />

Technologiepark (14 Minuten).<br />

directions to <strong>IHP</strong><br />

by plane<br />

- From Berlin-tegel Airport take the bus X9 to the<br />

railway station Berlin-Zoologischer Garten (19 minutes);<br />

then take the Regionalexpress Re 1 to Frankfurt<br />

(oder) Hauptbahnhof (appr. 1 hour 20 minutes).<br />

- From Berlin-Schönefeld Airport take the Airport-<br />

express or the S-Bahn line S 9 to the railway<br />

station Berlin ostbahnhof (19 resp. 32 minutes);<br />

then take the Regionalexpress Re 1 to<br />

Frankfurt (oder) Hauptbahnhof (appr. 1 hour).<br />

- From Berlin-tempelhof Airport take the subway<br />

line u 6 in the direction Alt-tegel to the station<br />

Friedrichstraße (11 minutes); there transfer to<br />

the Regionalexpress Re 1 to Frankfurt (oder)<br />

Hauptbahnhof (appr. 1 hour 15 minutes).<br />

by train<br />

- take the train Regionalexpress Re 1 from the<br />

Berlin railway stations Zoologischer Garten,<br />

Hauptbahnhof, Friedrichstraße, Alex<strong>and</strong>erplatz<br />

or ostbahnhof to Frankfurt (oder) Hauptbahnhof.<br />

by car<br />

- take the highway A 12 from Berlin in the direction<br />

Frankfurt (oder) / Warschau (Warsaw); take<br />

exit Frankfurt (oder)-West, at the traffic lights<br />

turn left in the direction Beeskow <strong>and</strong> follow<br />

the signs to “technologiepark ostbr<strong>and</strong>enburg”.<br />

by tram in Frankfurt (Oder)<br />

- take the tram 3 or 4 from railway station Frankfurt<br />

(oder) Hauptbahnhof in the direction Markendorf<br />

ort to technologiepark (14 minutes).


Herausgeber / Publisher<br />

IHp GmbH – Innovations for High performance <strong>Microelectronics</strong><br />

/ Institut für innovative Mikroelektronik<br />

Postadresse / Postbox<br />

postfach 1466 / postbox 1466<br />

15204 Frankfurt (oder)<br />

Deutschl<strong>and</strong> / Germany<br />

Besucheradresse / Address for Visitors<br />

Im technologiepark 25<br />

15236 Frankfurt (oder)<br />

Deutschl<strong>and</strong> / Germany<br />

Telefon / Fon +49 335 56 25 0<br />

Telefax / Fax +49 335 56 25 300<br />

e-Mail ihp@ihp-microelectronics.com<br />

Internet www.ihp-microelectronics.com<br />

I M P R E S S U M – I M P R I N T<br />

Redaktion / Editors<br />

Dr. Wolfgang Kissinger / Heidrun Förster<br />

Gesamtherstellung / Production in design <strong>and</strong> layout<br />

GIRAFFe Werbeagentur<br />

leipziger Straße 187<br />

15232 Frankfurt (oder)<br />

Telefon / Fon +49 335 50 46 46<br />

Telefax / Fax +49 335 50 46 45<br />

e-Mail kontakt@giraffe.de<br />

Internet www.giraffe.de<br />

Bildnachweise / Photocredits<br />

Agentur GIRAFFe, IHp, Winfried Mausolf,<br />

Rainer Weißflog<br />

A n n u A l R e p o R t 2 0 0 7


A n n u A l R e p o R t 2 0 0 7<br />

N O T I Z E N – N O T E S

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!