07.12.2012 Views

IHP Annual Report 2006 - IHP Microelectronics

IHP Annual Report 2006 - IHP Microelectronics

IHP Annual Report 2006 - IHP Microelectronics

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

J a h r e s b e r i c h t 2 0 0 6 – a n n u a l r e p o r t 2 0 0 6<br />

<strong>Annual</strong> <strong>Report</strong> <strong>2006</strong><br />

A n n u A l R e p o R t 2 0 0 6


prof. Dr. Wolfgang Mehr<br />

<strong>2006</strong> war für das <strong>IHP</strong> und seine Partner ein sehr erfolgreiches<br />

Jahr. Die erreichten Ergebnisse zeugen von der<br />

Fähigkeit des Institutes, durch anspruchsvolle, langfristige<br />

Forschungsarbeiten komplexe Zielstellungen<br />

zu erreichen. Ein Beispiel dafür ist die experimentelle<br />

Demonstration eines Systems für die drahtlose Kommunikation<br />

mit Datenraten bis 1 Gbps. Weitere wichtige<br />

Ergebnisse sind die erreichte Modernisierung der<br />

technologischen Ausrüstungen, der Höchstfrequenz-<br />

Messtechnik und der Design-Software für das technologische<br />

Strukturniveau 0,13 µm sowie die Weiterentwicklung<br />

der SiGe-BiCMOS-Technologie. Damit wurden<br />

die Voraussetzungen für eine weitere Erhöhung der Geschwindigkeit<br />

und Komplexität von Schaltkreisen und<br />

Systemen geschaffen.<br />

Eines der nächsten Ziele des <strong>IHP</strong> ist es, mit SiGe-Heterobipolartransistoren<br />

Lösungen für den Terahertz-Bereich<br />

zu demonstrieren. Das betrifft insbesondere Systeme<br />

mit deutlich erhöhten Datenraten und bildgebende<br />

Verfahren. Längerfristig orientiert sich das Institut<br />

darüber hinaus auf die System-Integration von Nanobauelementen<br />

mit noch höheren Arbeitsfrequenzen<br />

für Kommunikationssysteme sowie auf in Silizium integrierte<br />

Lösungen für die optische Datenübertragung.<br />

Grundlegende Arbeiten dazu werden seit mehreren Jahren<br />

im Gemeinsamen Labor mit der BTU Cottbus durchgeführt.<br />

2 A n n u A l R e p o R t 2 0 0 6<br />

V o r w o r t – F o r e w o r d<br />

<strong>2006</strong> was a very successful year for the IHp and its<br />

partners. the obtained results demonstrate the<br />

ability of the institute to achieve complex goals by<br />

performing challenging, long-term research work.<br />

Indicative of this is the experimental demonstration<br />

of a system for wireless communication with data rates<br />

up to 1 Gbps. Further important results are the<br />

realized upgrade of the technological equipment,<br />

the measuring technique for very high frequencies<br />

and the design software for the technological level<br />

of 0.13 µm as well as the progress of the SiGe BiCMoS<br />

technology development. In this way the foundations<br />

for a further increase of the speed and complexity of<br />

circuits and systems were laid.<br />

one of the next goals of the IHp is to demonstrate<br />

solutions for the tHz range using SiGe heterobipolar<br />

transistors. this development will apply in particular<br />

to systems with considerably increased data rates and<br />

to imaging systems. In the longer term the institute<br />

additionally orientates itself towards the system integration<br />

of nanoscale devices operating at still higher<br />

frequencies for communication systems as well as<br />

towards integrated silicon solutions for optical data<br />

communication. Fundamental work on this topic has<br />

been accomplished for several years in the Joint lab<br />

with the Btu Cottbus.


Die Forschungskooperationen des <strong>IHP</strong> haben sich kontinuierlich<br />

weiterentwickelt, wovon unter anderem die<br />

deutliche Erhöhung der eingeworbenen Drittmittel<br />

im Jahr <strong>2006</strong> zeugt. Der vom <strong>IHP</strong> angebotene Multi-<br />

Projekt-Wafer-Service wird weltweit durch eine steigende<br />

Zahl von Universitäten und Forschungseinrichtungen<br />

genutzt.<br />

Durch Mitarbeiter des <strong>IHP</strong> wurde die Firma „Silicon Radar“<br />

ausgegründet, die 2007 ihre operative Tätigkeit<br />

auf dem Gebiet siliziumbasierter Schaltkreise im GHz-<br />

Bereich aufnehmen wird.<br />

In Frankfurt (Oder) etablierten sich im Jahr <strong>2006</strong> drei<br />

Solarfabriken. Das <strong>IHP</strong> ist mit diesen Firmen und weiteren<br />

wissenschaftlichen Einrichtungen in einem Netzwerk<br />

zur Vorbereitung gemeinsamer Forschungs- und<br />

Ausbildungsprojekte aktiv.<br />

Der Belegschaft des <strong>IHP</strong> möchten wir an dieser Stelle<br />

für ihre sehr engagierte Arbeit danken. Der Brandenburgischen<br />

Landesregierung und der Bundesregierung<br />

gilt unser Dank für die außerordentliche Unterstützung<br />

unserer Forschungsarbeiten.<br />

Wolfgang Mehr Manfred Stöcker<br />

Wiss.-Techn. Geschäftsführer Adm. Geschäftsführer<br />

V o r w o r t – F o r e w o r d<br />

the research cooperation of the IHp has developed<br />

continuously, as is demonstrated by the significant<br />

increase of acquired third party funding in the year<br />

<strong>2006</strong>. the multi-project wafer service offered by the<br />

IHp is used throughout the world by an increasing<br />

number of universities and research institutions.<br />

the spin-off company “Silicon Radar” was founded<br />

by scientists of the IHp and will start its operational<br />

work in the area of silicon-based GHz circuits in<br />

2007.<br />

three solar factories were established in Frankfurt<br />

(oder) in <strong>2006</strong>. the IHp is working actively with these<br />

companies and other scientific institutions in a network<br />

for the preparation of joint projects for research<br />

and education in this context.<br />

At this point we would like to thank the IHp staff for<br />

their dedicated work. We are also grateful to the regional<br />

government of Brandenburg and the federal<br />

government for the extraordinary support of our research<br />

activities.<br />

A n n u A l R e p o R t 2 0 0 6


A n n u A l R e p o R t 2 0 0 6<br />

i n h a l t s V e r Z e i c h n i s – c o n t e n t s<br />

Contents


i n h a l t – c o n t e n t s<br />

Vorwort<br />

Aufsichtsrat<br />

Wissenschaftlicher Beirat<br />

Das <strong>IHP</strong> auf einen Blick<br />

Forschung des <strong>IHP</strong><br />

Das Jahr <strong>2006</strong><br />

Ausgewählte Projekte<br />

Gemeinsame Labore<br />

Konferenzen und Workshops<br />

Zusammenarbeit und Partner<br />

Gastwissenschaftler und Seminare<br />

Publikationen<br />

Angebote und Leistungen<br />

Wegbeschreibung zum <strong>IHP</strong><br />

2<br />

6<br />

7<br />

8<br />

0<br />

26<br />

66<br />

72<br />

76<br />

80<br />

8<br />

72<br />

80<br />

Foreword<br />

Supervisory Board<br />

Scientific Advisory Board<br />

IHp in a nutshell<br />

IHp‘s Research<br />

update <strong>2006</strong><br />

Selected projects<br />

Joint labs<br />

Conferences and Workshops<br />

Collaboration and partners<br />

Guest Scientists and Seminars<br />

publications<br />

Deliverables and Services<br />

Directions to IHp<br />

A n n u A l R e p o R t 2 0 0 6


Aufsichtsrat<br />

Konstanze Pistor<br />

Vorsitzende<br />

Ministerium für Wissenschaft, Forschung und Kultur<br />

Land Brandenburg<br />

MinR Thomas Sondermann<br />

Stellvertretender Vorsitzender (bis 01. Nov. <strong>2006</strong>)<br />

Bundesministerium für Bildung und Forschung<br />

Dr. Volkmar Dietz<br />

Stellvertretender Vorsitzender (seit 01. Nov. <strong>2006</strong>)<br />

Bundesministerium für Bildung und Forschung<br />

Dr.-Ing. Peter Draheim<br />

Silicon Manufacturing Itzehoe SMI GmbH<br />

Prof. Dr. Helmut Gabriel<br />

Freie Universität Berlin<br />

Dr. Eckhard Grass<br />

<strong>IHP</strong> GmbH<br />

Norbert Quinkert<br />

Motorola GmbH, Taunusstein<br />

Dr. Harald Richter<br />

<strong>IHP</strong> GmbH<br />

Prof. Dr. Ernst Sigmund<br />

Brandenburgische Technische Universität Cottbus<br />

MinR Gerhard Wittmer<br />

Ministerium der Finanzen<br />

Land Brandenburg<br />

6 A n n u A l R e p o R t 2 0 0 6<br />

a u F s i c h t s r a t – s u p e r V i s o r y b o a r d<br />

supervisory board<br />

Konstanze pistor<br />

Chair<br />

Ministry of Science, Research and Culture<br />

State of Brandenburg<br />

Minr thomas sondermann<br />

Deputy Chair (until november 1, <strong>2006</strong>)<br />

Federal Ministry of education and Research<br />

dr. Volkmar dietz<br />

Deputy Chair (since november 1, <strong>2006</strong>)<br />

Federal Ministry of education and Research<br />

dr.-ing. peter draheim<br />

Silicon Manufacturing Itzehoe SMI GmbH<br />

prof. helmut Gabriel<br />

Freie universität Berlin<br />

dr. eckhard Grass<br />

IHp GmbH<br />

norbert Quinkert<br />

Motorola GmbH, taunusstein<br />

dr. harald richter<br />

IHp GmbH<br />

prof. ernst sigmund<br />

Brandenburg technical university, Cottbus<br />

Minr Gerhard wittmer<br />

Ministry of Finance<br />

State of Brandenburg


Wissenschaftlicher Beirat<br />

w i s s e n s c h a F t l i c h e r b e i r a t – s c i e n t i F i c a d V i s o r y b o a r d<br />

Prof. Dr. Hermann G. Grimmeiss<br />

Vorsitzender<br />

Department of Solid State Physics<br />

University of Lund, Schweden<br />

Dr. Jürgen Arndt<br />

Stellvertretender Vorsitzender<br />

ATMEL Germany GmbH, Heilbronn<br />

Prof. Dr. Ignaz Eisele<br />

Fakultät für Elektrotechnik und<br />

Informationstechnik<br />

Universität der Bundeswehr München<br />

Prof. Dr. Christian Enz<br />

CSEM SA, Neuchatel, Schweiz<br />

Prof. Dr. Michael Hoffmann<br />

Institut für Mikrowellentechnik<br />

Universität Ulm<br />

Prof. Dr. Ulrich Rohde<br />

Synergy Microwave Corporation, USA<br />

Dr. Josef Winnerl<br />

Infineon Technologies AG, München<br />

Leitung<br />

Prof. Dr. Wolfgang Mehr<br />

Wissenschaftlich-Technischer Geschäftsführer<br />

Manfred Stöcker<br />

Administrativer Geschäftsführer<br />

scientific advisory board<br />

prof. hermann G. Grimmeiss<br />

Chair<br />

Department of Solid State physics<br />

university of lund, Sweden<br />

dr. Jürgen arndt<br />

Deputy<br />

AtMel Germany GmbH, Heilbronn<br />

prof. ignaz eisele<br />

Department of electrical engineering and<br />

Information technology<br />

university of the Bundeswehr Munich<br />

prof. christian enz<br />

CSeM SA, neuchatel, Switzerland<br />

prof. Michael hoffmann<br />

Institute of Microwave techniques<br />

university of ulm<br />

prof. ulrich rohde<br />

Synergy Microwave Corporation, uSA<br />

dr. Josef winnerl<br />

Infineon technologies AG, Munich<br />

Management<br />

prof. wolfgang Mehr<br />

Director<br />

Manfred stöcker<br />

Administrative Director<br />

A n n u A l R e p o R t 2 0 0 6<br />

7


8 A n n u A l R e p o R t 2 0 0 6<br />

d a s i h p a u F e i n e n b l i c K – i h p i n a n u t s h e l l<br />

<strong>IHP</strong> in a Nutshell


Das Institut<br />

d a s i h p a u F e i n e n b l i c K – i h p i n a n u t s h e l l<br />

- Gegründet 1983; 1991 Neugründung aus einem<br />

früheren Akademieinstitut mit langjähriger<br />

Erfahrung in der Mikroelektronik auf Silizium-<br />

Basis<br />

- 210 Mitarbeiter aus 16 Ländern<br />

- Mitglied der Leibniz-Gemeinschaft<br />

aufgabe<br />

- Wirkung als Europäisches Forschungs- und<br />

Innovationszentrum für drahtlose Kommunikationstechnologien<br />

- Stärkung der Wettbewerbsfähigkeit der deutschen<br />

und europäischen Mikroelektronik- und Kommunikationsforschung<br />

- Erhöhung der Attraktivität der Region als<br />

Hochtechnologiestandort<br />

strategie<br />

- Konzentration auf drahtlose und Breitbandkommunikation<br />

- Erarbeitung zukunftsorientierter Technologien,<br />

Schaltkreise und Systeme bis zu Prototypen<br />

- Wertschöpfung durch Innovation<br />

Infrastruktur<br />

- Vollständige Innovations-Kette vom Material<br />

bis zu Systemen, einschließlich Pilotlinie mit<br />

0,25 (0,13) µm-BiCMOS-Technologien<br />

Kompetenzen<br />

- Systeme für die drahtlose Kommunikation<br />

- HF-Schaltkreisentwurf<br />

- Erweiterung von Silizium-CMOS-Technologien<br />

für neue Funktionen<br />

- Materialien für die Mikro- und Nanoelektronik<br />

the institute<br />

- Founded in 1983; re-established in 1991 as a<br />

successor institution to the former institute of<br />

the east German Academy with extensive<br />

experience in silicon microelectronics<br />

- 210 employees from 16 countries<br />

- Member of the leibniz Association<br />

Mission<br />

- to act as a european Research and Innovation<br />

Center for wireless communication<br />

technologies<br />

- to strengthen the competitive position of the<br />

German and european microelectronic and<br />

communication research<br />

- to enhance the attractiveness of the region<br />

as a location for high technology<br />

strategy<br />

- to focus on solutions for wireless and broadband<br />

communications<br />

- Development of future-oriented technologies,<br />

circuits and systems up to prototypes<br />

- to create value through innovation<br />

Facilities<br />

- Complete innovation chain from materials to<br />

systems, including a pilot line with<br />

0.25 (0.13) µm BiCMoS technologies<br />

competencies<br />

- Systems for wireless communication<br />

- RF circuit design<br />

- extension of silicon CMoS technologies for new<br />

functionalities<br />

- Materials for micro- and nanoelectronics<br />

A n n u A l R e p o R t 2 0 0 6


0 A n n u A l R e p o R t 2 0 0 6<br />

F o r s c h u n G d e s i h p – i h p ‘ s r e s e a r c h<br />

<strong>IHP</strong>‘s Research


Das <strong>IHP</strong> arbeitet an den folgenden drei eng miteinander<br />

verbundenen Forschungsprogrammen:<br />

1. Drahtloses Internet: Systeme und Anwendungen,<br />

2. Technologieplattform für drahtlose und<br />

Breitbandkommunikation,<br />

3. Materialien für die Mikro- und Nanoelektronik.<br />

Gemeinsames Ziel ist die Schaffung innovativer Lösungen<br />

für Anwendungen in den Bereichen drahtlose<br />

und Breitbandkommunikation.<br />

Die Forschungsprogramme nutzen die besonderen<br />

Möglichkeiten des <strong>IHP</strong>. So verfügt das <strong>IHP</strong> über eine<br />

Pilotlinie für technologische Forschungen und Entwicklungen.<br />

Eine weitere Besonderheit ist das vertikale<br />

Forschungskonzept des <strong>IHP</strong> unter Nutzung der<br />

zusammenhängenden und aufeinander abgestimmten<br />

Kompetenzen des Instituts auf den Gebieten Systementwicklung,<br />

Schaltungsentwurf, Technologie und Materialforschung.<br />

Die Forschung des <strong>IHP</strong> setzt auf die typischen Stärken<br />

eines Leibniz-Instituts: Sie ist charakterisiert durch eine<br />

langfristige, komplexe Arbeit, die Grundlagenforschung<br />

mit anwendungsorientierter Forschung verbindet.<br />

Die Realisierung der Forschungsprogramme erfolgt<br />

mit Hilfe eines regelmäßig aktualisierten Portfolios<br />

von Projekten. Die Aktualisierung geschieht aufgrund<br />

inhaltlicher Erfordernisse sowie der Möglichkeiten für<br />

Kooperationen und Finanzierung. Drittmittelprojekte<br />

werden im Einklang mit den strategischen Zielen des<br />

<strong>IHP</strong> eingeworben.<br />

Im Folgenden werden wesentliche Zielstellungen der<br />

Forschungsprogramme des <strong>IHP</strong> beschrieben.<br />

Drahtloses Internet: Systeme und Anwendungen<br />

In diesem Programm werden komplexe Systeme für<br />

die drahtlose Kommunikation in Form von Prototypen<br />

und Anwendungen untersucht und entwickelt. Ziel sind<br />

Hardware / Software-Systemlösungen auf hochintegrierten<br />

Single-Chips. Der vertikale Forschungsansatz<br />

zeigt sich auch in der Architektur der erarbeiteten Systeme.<br />

Im Wesentlichen wird die Wechselwirkung zwischen<br />

verschiedenen Schichten optimiert und eine vertikale<br />

Migration semantischer Elemente realisiert.<br />

Die drei Hauptforschungsrichtungen sind Systeme mit<br />

hoher Performance, Systeme mit geringem Energiever-<br />

F o r s c h u n G d e s i h p – i h p ‘ s r e s e a r c h<br />

IHp is working on the following three closely connected<br />

research programs:<br />

1. Wireless Internet: Systems and Applications,<br />

2. technology platform for Wireless and<br />

Broadband Communication,<br />

3. Materials for Micro- and nanoelectronics.<br />

the joint objective is the creation of innovative solutions<br />

for wireless and broadband applications.<br />

the research programs make use of the special opportunities<br />

provided by the IHp. In this way the institute<br />

has a pilot line for research and technological developments.<br />

An additional feature is the IHp vertical<br />

research concept employing the associated and harmonized<br />

competencies of the institute in the fields of<br />

system development, circuit design, technology and<br />

materials research.<br />

the research of the IHp is based on the typical<br />

strengths of a leibniz Institute; it is dominated by<br />

long-term, complex efforts which connect basic research<br />

with application-oriented research.<br />

the realization of the research programs is accomplished<br />

through a project portfolio which is regularly<br />

updated according to the content requirements as<br />

well as through opportunities for cooperations and<br />

outside funding. Grant projects are acquired in accordance<br />

with the strategic goals of IHp.<br />

Significant goals of IHp’s research programs are specified<br />

below.<br />

wireless internet: systems and applications<br />

this program investigates and develops complex systems<br />

for wireless communication as prototypes and<br />

applications with the objective to find solutions for<br />

Hardware / Software systems on highly integrated<br />

single chips. the vertical research approach is also<br />

reflected in the architecture of the addressed systems.<br />

Basically inter-layer interaction is optimized<br />

and a vertical migration of semantic elements is performed.<br />

the three major directions of research are systems<br />

with high performance, systems with low power con-<br />

A n n u A l R e p o R t 2 0 0 6


auch und Middleware für kontextabhängige drahtlose<br />

Internetanwendungen.<br />

Für drahtlose Systeme mit hoher Performance ist es das<br />

Ziel, alle Funktionen eines drahtlosen PDA auf einem Chip<br />

zu integrieren. Dabei sollen Datenraten bis über 2 Gbps<br />

bei Trägerfrequenzen bis zu 60 GHz erreicht werden.<br />

Weiterführende Arbeiten hin zu Datenraten bis 100 Gbps<br />

werden im Grundlagenbereich dieses Forschungsprogramms<br />

vorbereitet.<br />

Die Forschung zu Systemen mit geringem Energieverbrauch<br />

hat zum Ziel, Sensornetze auf Basis hochintegrierter<br />

Chips zu realisieren. Typische Anwendungen dafür<br />

sind Body-Area-Netze für medizinische Anwendungen<br />

oder im Wellness-Bereich. In diesem Zusammenhang<br />

werden neue Netzarchitekturen, verteilte, ressorcenarme<br />

Middlewareansätze, neue energieeffiziente Medienzugriffsprotokolle<br />

sowie energieeffiziente Transceiver erforscht<br />

und realisiert. UWB-Transceiver sind für Anwendungen<br />

im Nahbereich und Anwendungen mit hohen<br />

Ortsauflösungseigenschaften besonders geeignet.<br />

Die Forschung zu kontextabhängigen Middleware-Systemen<br />

betrifft insbesondere auch die Erhaltung der<br />

Privatsphäre und die Sicherheit bei der Nutzung mobiler<br />

Endgeräte. Darüber hinaus wird die symmetrische bzw.<br />

asymmetrische Verteilung von Ressourcen zwischen Endgeräten<br />

und Servern im Gesamtsystem untersucht.<br />

Technologieplattform für drahtlose und<br />

Breitbandkommunikation<br />

In diesem Programm werden Technologien (insbesondere<br />

BiCMOS-Technologien) mit zusätzlichen Funktionen<br />

durch die modulare Erweiterung industrieller CMOS-<br />

Technologien entwickelt. Die Schwerpunkte in diesem<br />

Programm sind Technologien mit hoher Performance,<br />

kostengünstige Technologien für System-on-Chip, sowie<br />

die Sicherung des Zugriffs interner und externer Designer<br />

auf die Technologien des <strong>IHP</strong>.<br />

Die Forschung in Richtung Technologien hoher Performance<br />

zielt auf extrem schnelle SiGe HBTs, einschließlich komplementärer<br />

Bauelemente und neuer Bauelementekonzepte<br />

für Anwendungen bei Frequenzen bis > 100 GHz.<br />

Zielstellung der Forschung für kostengünstige Technologien<br />

ist es, BiCMOS-Technologien mit ausreichender<br />

Performance und geringen Fertigungskosten zu entwickeln<br />

sowie darin zusätzliche Module wie HF-LDMOS,<br />

2 A n n u A l R e p o R t 2 0 0 6<br />

F o r s c h u n G d e s i h p – i h p ‘ s r e s e a r c h<br />

sumption and middleware for context sensitive wireless<br />

internet applications.<br />

the goal for high-performance wireless systems is to<br />

integrate all functionalities of a wireless pDA on a<br />

single chip. the targets are to achieve a data rate exceeding<br />

2 Gbps at carrier frequencies of up to 60 GHz.<br />

Continuing activities towards data rates up to 100 Gbps<br />

will be made in the basic area of this research program.<br />

the research on systems with low energy consumption<br />

is directed towards sensor networks based on highly<br />

integrated chips. typical applications are body-area<br />

networks for health care or wellness. In this context<br />

new network architectures, distributed low resource<br />

middleware concepts, new energy efficient protocols<br />

for medium access as well as energy efficient transceivers<br />

are investigated and realised. uWB transceivers<br />

are particularly well suited for short range<br />

applications and applications requiring high spatial<br />

resolution.<br />

Research in context-sensitive middleware systems<br />

addresses especially privacy and security questions<br />

in using mobile devices. Moreover the symmetrical<br />

and asymmetrical resource distribution between client<br />

and server parts of the overall system is investigated.<br />

technology platform for wireless and<br />

broadband communication<br />

the goal of this program is to develop value-added<br />

technologies, preferably BiCMoS technologies, by<br />

the modular extension of industrial CMoS. the focal<br />

points in this program are technologies with a high<br />

performance, low-cost technologies for system-onchip,<br />

and the provision of technology access for internal<br />

and external designers.<br />

the research towards high-performance technologies<br />

targets ultrafast SiGe HBts, including complementary<br />

devices and new device concepts for applications at<br />

frequencies of up to > 100 GHz.<br />

the aim of the research for low-cost technologies is<br />

to develop BiCMoS technologies with ample performance<br />

and low manufacturing costs and to integrate<br />

additional modules such as RF lDMoS, Flash and passive<br />

devices.


Flash und passive Bauelemente zu integrieren.<br />

Die 0,25-µm-BiCMOS-Technologien des <strong>IHP</strong> sind europa-<br />

und weltweit für Designer nutzbar. Ein Zeitplan für die<br />

entsprechenden technologischen Durchläufe in der Pilotlinie<br />

in Frankfurt (Oder) ist über die Internetadresse<br />

des <strong>IHP</strong> verfügbar. Eine neue 0,13-µm-BiCMOS-Technologie<br />

wird entwickelt.<br />

Materialien für die Mikro- und Nanoelektronik<br />

Die Materialforschung am <strong>IHP</strong> hat die Integration neuer Materialien<br />

in gegenwärtige und zukünftige Technologien zum<br />

Ziel, um so verbesserte, zusätzliche oder neuartige Funktionalitäten<br />

zu erreichen. Darüber hinaus werden Grundlagen<br />

für neue Forschungsgebiete am <strong>IHP</strong> geschaffen.<br />

Gegenstand der Arbeiten sind neue Hoch-k-Dielektrika<br />

sowie die Erforschung neuer Prinzipien für Hochleistungs-<br />

Schaltkreise unter Nutzung von Nanostrukturen bzw. optischer<br />

Datenübertragung. An den letztgenannten Zielen<br />

wird in einem gemeinsamen Labor mit der BTU Cottbus<br />

gearbeitet.<br />

Aktuelle Schwerpunkte der Arbeiten zu neuen Hoch-k-Dielektrika<br />

sind praseodymhaltige ternäre Legierungen für<br />

zukünftige Anwendungen in MIM-Kondensatoren, Speichern<br />

und Transistoren sowie als Epitaxievermittler für<br />

hochwertige heteroepitaktische Halbleiterschichten (SIS-<br />

Schichtstapel). Weiterhin werden neue Materialien für<br />

SAW-Filter und NVM-Speicher bewertet.<br />

Gegenstand der Arbeiten im Gemeinsamen Labor mit der<br />

BTU Cottbus ist die Si-Materialforschung. Dabei sollen die<br />

Eigenschaften des Si-Materials maßgeschneidert werden,<br />

um neue Anwendungen zu ermöglichen und um bestehende<br />

Anwendungen zu verbessern.<br />

Schwerpunkte sind die grundlagenorientierte Vorlaufforschung<br />

zu Si-basierten Lichtemittern für die optische Datenübertragung,<br />

zum Defect Engineering für zukünftige<br />

Si-Wafer sowie für eine selbstorganisierte Anlagerung von<br />

Biomolekülen an der Si-Oberfläche, zum Bandstrukturdesign<br />

und Ladungsträgertransport in Si-basierten Quantenstrukturen<br />

und zur Beherrschung der elektrischen Eigenschaften<br />

von Kristalldefekten in Solar-Si.<br />

F o r s c h u n G d e s i h p – i h p ‘ s r e s e a r c h<br />

IHp’s 0.25 µm BiCMoS technologies are available<br />

in europe and throughout the world for designers.<br />

A schedule for technological runs in<br />

the pilot line in Frankfurt (oder) is available<br />

via IHp`s internet address. A new 0.13 µm SiGe<br />

BiCMoS technology is under development.<br />

Materials for Micro- and nanoelectronics<br />

Materials research at IHp targets the integration of<br />

new materials into the technology to achieve additional,<br />

better or innovative functionalities. It also<br />

gears towards the preparation of new research fields<br />

at the institute.<br />

Subject of the research are new high-k dielectrics<br />

and the research of new concepts for high-performance<br />

circuits using nanostructures or optical data<br />

transmission. the latter work is done at the Joint lab<br />

IHp / Btu Cottbus.<br />

Current focal points of the activities with high-k<br />

dielectrics are ternary alloys with praseodymium for<br />

future applications in MIM capacitors, memories and<br />

transistors as well as for epitaxy mediation for high<br />

quality epitactical semiconductor layers (silicon-<br />

insulator-silicon stacks). Additionally, new materials<br />

for SAW filters and non-volatile memories are evaluated.<br />

Silicon materials research is the subject matter of the<br />

Joint lab IHp / Btu. Silicon properties are tailored<br />

to enable new applications and to improve existing<br />

ones.<br />

Focuses are initial basic research for Si-based light<br />

emitters for optical data transmission, defect engineering<br />

for future silicon wafers, self organized adsorption<br />

of biomolecules on the silicon surface, band<br />

structure design and charge carrier transport in Sibased<br />

quantum structures, and the control of electrical<br />

properties of crystal defects in solar silicon.<br />

A n n u A l R e p o R t 2 0 0 6


A n n u A l R e p o R t 2 0 0 6<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

Update <strong>2006</strong>


Das Jahr <strong>2006</strong><br />

Im Jahr <strong>2006</strong> gab es zahlreiche Initiativen zur weiteren<br />

Vernetzung der Forschung des <strong>IHP</strong> und zur Vorbereitung<br />

neuer Forschungsprojekte.<br />

Wissenschaftler des <strong>IHP</strong> waren tätig als Initiatoren, Organisatoren<br />

oder Vortragende wissenschaftlicher Konferenzen<br />

und Workshops auf allen Forschungsgebieten<br />

des <strong>IHP</strong>. Beispiele für maßgeblich durch <strong>IHP</strong>-Mitarbeiter<br />

organisierte internationale Veranstaltungen sind<br />

drei Symposien beim E-MRS Spring Meeting in Nizza<br />

(Symposium A „Current Trends in Nanoscience“, Symposium<br />

L „Characterisation of High-k Dielectric Materials“<br />

und Symposium V „Advanced Silicon for the 21 th<br />

Century“), die internationale Konferenz „Extended<br />

Defects in Semiconductors“ in Halle, der Workshop<br />

„SiGe:C HBT: Device Technology and Applications“ bei<br />

der European Microwave Week in Manchester sowie der<br />

Workshop „From Research to Innovation“ in Szczecin.<br />

<strong>IHP</strong>-Mitarbeiter waren beteiligt bei der Organisation<br />

des „Workshops on Dielectrics in <strong>Microelectronics</strong>“<br />

(WoDiM) in Catania, eines Symposiums „Silicon Materials<br />

Science and Technology“ in Denver und eines Symposiums<br />

„SiGe: Materials, Processing and Devices“ in<br />

Cancun.<br />

In Frankfurt (Oder) wurden durch das <strong>IHP</strong> mehrere internationale<br />

Veranstaltungen organisiert, so ein Workshop<br />

mit dem National NanoFab Center (NNFC) Korea,<br />

das Symposium „Halbleiter und Nanostrukturen“, der<br />

5. Workshop zu „High-Performance SiGe BiCMOS for<br />

Wireless and Broadband Communication“ mit anschließendem<br />

Tutorial zu „<strong>IHP</strong> Design Kits“ sowie der internationale<br />

Sommerstudiengang Mikroelektronik mit<br />

Studenten aus Osteuropa.<br />

Die Kooperationen des <strong>IHP</strong> mit regionalen Universitäten<br />

und Fachhochschulen konnten weiter ausgebaut<br />

werden. Die Forschungsarbeiten des Gemeinsamen Labors<br />

mit der BTU Cottbus fanden internationale Anerkennung.<br />

Sie sind ein wichtiger Bestandteil der langfristigen<br />

Vorlaufforschung des <strong>IHP</strong>. Ein gemeinsames<br />

Forschungs- und Ausbildungszentrum des <strong>IHP</strong> und der<br />

TFH Wildau wurde eröffnet. Mit der TU Berlin wurde ein<br />

Kooperationsvertrag unterzeichnet. Das <strong>IHP</strong> bewarb<br />

sich zusammen mit der TU Berlin und weiteren Berliner<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

update <strong>2006</strong><br />

the year <strong>2006</strong> produced numerous initiatives for the<br />

further networking of the institute’s research and for<br />

the preparation of new research projects.<br />

Scientists of the IHp were active as initiators, organizers<br />

or speakers at scientific conferences and workshops<br />

on all major spheres of IHp’s activities. examples<br />

of international meetings significantly organized<br />

by IHp staff are three symposia at the e-MRS Spring<br />

Meeting in nice (symposium A “Current trends in nanoscience”,<br />

symposium l “Characterisation of High-k<br />

Dielectric Materials” and symposium V “Advanced Silicon<br />

for the 21th Century”), the international conference<br />

“extended Defects in Semiconductors“ in<br />

Halle, the workshop “SiGe:C HBt: Device technology<br />

and Applications” at the european Microwave Week in<br />

Manchester as well as the workshop “From Research<br />

to Innovation“ in Szczecin.<br />

IHp co-workers were actively involved in the organization<br />

of the “Workshops on Dielectrics in <strong>Microelectronics</strong>”<br />

(WoDiM) in Catania, a symposium “Silicon<br />

Materials Science and technology” in Denver and a<br />

symposium “SiGe: Materials, processing and Devices”<br />

in Cancun.<br />

Several international meetings in Frankfurt (oder)<br />

were organized by the IHp, such as a workshop with<br />

the national nanoFab Center (nnFC) Korea, the symposium<br />

“Semiconductors and nanostructures”, the<br />

5th workshop “High performance SiGe BiCMoS for<br />

Wireless and Broadband Communication” followed by<br />

a tutorial about “IHp Design Kits” as well as the “International<br />

Summer School on <strong>Microelectronics</strong>” with<br />

students from eastern europe.<br />

Cooperations of the IHp with regional universities<br />

and universities of applied sciences were further developed.<br />

Research at the Joint lab with the Btu Cottbus<br />

gained international recognition. they are an essential<br />

part of the long-term research at the IHp.<br />

the common research and education centre of the<br />

IHp and the university of Applied Sciences Wildau<br />

(tFHW) was inaugurated in February. this Joint lab<br />

unites important competencies in research and education<br />

of both partners. A cooperation contract<br />

A n n u A l R e p o R t 2 0 0 6


Forschungseinrichtungen als Exzellenzcluster „Human-<br />

Centric Communication“. Die Europa-Universität Viadrina<br />

und das <strong>IHP</strong> bieten ihr Know-how den Unternehmen<br />

der Region an. Dazu wurde im Juli <strong>2006</strong> das gemeinsame<br />

Transferzentrum Ostbrandenburg gegründet.<br />

Die internationale Kooperation des Institutes konnte<br />

im Jahr <strong>2006</strong> weiter ausgebaut werden. Das dokumentiert<br />

sich unter anderem in den neuen Kooperationsvereinbarungen<br />

mit der Tohoku Universität Sendai in Japan,<br />

mit der TU Szczecin, MOUs mit dem NNFC und dem<br />

ETRI in Korea sowie in dem Beginn der Zusammenarbeit<br />

mit der National-Taiwan-University in Taipeh.<br />

Die Designerin Li Wang wurde <strong>2006</strong> auf zwei IEEE-Konferenzen<br />

mit einem „Best Paper Award“ ausgezeichnet.<br />

Sie erhielt die Ehrungen für einen Micromixer für 77 GHz<br />

Radar (International Microwave Symposium, San Francisco)<br />

und für einen Frequenzteiler (6 th Topical Meeting<br />

on Silicon Monolithic Integrated Circuits in RF Systems,<br />

San Diego). Der Mitarbeiter des Gemeinsamen Labors<br />

mit der BTU Cottbus, Tzanimir Arguirov, erhielt für seine<br />

Präsentation den „Young Scientist Award“ eines EMRS-<br />

Symposiums in Nizza.<br />

Durch ein hochrangiges Gutachtergremium des BMBF<br />

wurde im Rahmen des Programms InnoProfile das<br />

<strong>IHP</strong>-Projekt „TANDEM“ ausgewählt, in dem extrem<br />

verbrauchsarme Funksysteme für sensorische Anwendungen<br />

entwickelt werden.<br />

6 A n n u A l R e p o R t 2 0 0 6<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

with the Humboldt university of Berlin was signed.<br />

together with the technical university of Berlin and<br />

other Berlin research institutions the IHp applied<br />

for becoming a cluster of excellence “Human Centric<br />

Communication”. the european university Viadrina<br />

and the IHp jointly offer their know-how to regional<br />

companies. For this purpose the “transfer Center east<br />

Brandenburg” was founded in July <strong>2006</strong>.<br />

the international cooperation of the institute was further<br />

developed in <strong>2006</strong>. this is documented amongst<br />

others by new cooperation agreements with the tohoku<br />

university Sendai in Japan, with the Szczecin university<br />

of technology, by Mous with the nnFC and the<br />

etRI in Korea as well as by the beginning of cooperation<br />

with the national taiwan university in taipeh.<br />

the RF designer li Wang was presented with the “Best<br />

paper Award” at two Ieee-conferences in <strong>2006</strong>. She<br />

received the awards for a 77 GHz radar micromixer<br />

(International Microwave Symposium, San Francisco)<br />

and for a frequency divider (6th topical Meeting on<br />

Silicon Monolithic Integrated Circuits in RF Systems,<br />

San Diego). the scientist of the Joint lab IHp / Btu<br />

Cottbus,tzanimir Arguirov, received the “Young Scientist<br />

Award“ for his presentation of an eMRS-symposium<br />

in nice.<br />

In the framework of the BMBF program Innoprofile<br />

the IHp-project tAnDeM was selected by a high-ranking<br />

referee team. tAnDeM will develop radio systems<br />

for sensor applications with very low power consumption.


Wissenschaftliche Ergebnisse<br />

Drahtloses Internet: Systeme und Anwendungen<br />

Die Ergebnisse dieses Forschungsprogramms wurden<br />

insbesondere durch die Abteilungen System Design und<br />

Circuit Design unter Nutzung der Ergebnisse anderer<br />

Abteilungen erarbeitet. Schwerpunkte sind Si-basierte<br />

Schaltungen und Systeme mit extrem hohen Leistungsparametern<br />

als Schlüssel für neue Anwendungsfelder.<br />

Beispiele für Ergebnisse im Jahr <strong>2006</strong> sind:<br />

1. Integrierte Lösungen für Systeme zur drahtlosen<br />

Kommunikation mit sehr hohen Datenraten<br />

Die Schaltkreise für 60-GHz-Receiver und -Transmitter<br />

wurden optimiert. Im Juni <strong>2006</strong> wurde mit sehr<br />

großem Erfolg ein vollständiges 60-GHz-Übertragungssystem<br />

realisiert und auf dem Statusseminar<br />

des BMBF in Erlangen demonstriert. Sowohl die 60-<br />

GHz-Schaltungen als auch die 5-GHz-Schaltungen<br />

für die Zwischenfrequenz-Bearbeitungen arbeiteten<br />

wie spezifiziert. Das Basisband wurde vollständig<br />

realisiert und auf FPGA-Boards mit dem HF-Frontend<br />

integriert. Datenraten bis zu 780 Mbps konnten<br />

übertragen werden. Damit positioniert sich das<br />

<strong>IHP</strong> gleichauf mit IBM im internationalen Vergleich<br />

von drahtloser Gigabit-Kommunikation bei 60 GHz.<br />

Zusätzlich wurde ein Vorschlag des <strong>IHP</strong> zur Standardisierung<br />

bei der TG 15.3c der IEEE 802 Initiative<br />

eingereicht. Hier gibt es inzwischen eine enge Kooperation<br />

mit France Telecom und anderen großen<br />

Firmen.<br />

2. Radarsensoren bei 24 GHz und bei 77 GHz<br />

Nach erfolgreichen Arbeiten zu Radarsensoren bei 24<br />

GHz wurde im August <strong>2006</strong> die Firma „Silicon Radar“<br />

aus dem <strong>IHP</strong> ausgegründet. Die Firma wird in Kooperation<br />

mit einem Industriepartner eine finale Version<br />

für die Fertigung von spannungsgesteuerten Oszilatoren<br />

(VCOs) für kommerzielle Radarmodule sowie<br />

LNAs und Mischer erarbeiten. Am <strong>IHP</strong> wird in einem<br />

Projekt an grundlegenden Lösungen für ein Single-<br />

Chip Radar-Frontend bei 24 GHz gearbeitet.<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

scientific results<br />

wireless internet: systems and applications<br />

the results of this research program were obtained<br />

in particular by the departments System Design and<br />

Circuit Design using also the results from other departments.<br />

emphasis here is on circuits and systems<br />

with extremely high performance parameters as keys<br />

for new application fields.<br />

examples of results in <strong>2006</strong> are:<br />

1. Integrated solutions for wireless communication<br />

systems with very high data rates<br />

the 60 GHz receiver and transmitter circuits were<br />

optimized. In June <strong>2006</strong> a complete data transmission<br />

system for 60 GHz was very successfully<br />

realized and presented at the BMBF status seminar<br />

in erlangen. Both the circuits at 60 GHz and<br />

at 5 GHz for the intermediate frequency worked as<br />

specified. the base band was completely realized<br />

and integrated on FpGA boards together with the<br />

RF frontend. Data rates up to 780 Mbps could be<br />

transferred. thus the IHp positions itself on the<br />

same level with IBM in wireless Gigabit communication<br />

at 60 GHz. In addition, IHp submitted a<br />

suggestion for the standardisation to tG 15.3c of<br />

the Ieee 802 initiative. In the meantime a close<br />

collaboration with France telecom and other big<br />

companies was established.<br />

2. Radar sensors at 24 GHz and at 77 GHz<br />

After successful work on radar detectors at 24 GHz<br />

the IHp spin-off “Silicon Radar” was founded in<br />

August <strong>2006</strong>. In cooperation with an industrial<br />

partner the company will develop a final version<br />

for the manufacturing of voltage-controlled oscillators<br />

(VCos) for commercial radar modules as well<br />

as lnAs and mixers. At the IHp a project is under<br />

way on fundamental solutions for a single chip radar<br />

frontend at 24 GHz.<br />

A n n u A l R e p o R t 2 0 0 6<br />

7


Die 77-GHz-Komponenten für das FMCW-Radar wurden<br />

weiter optimiert. Ein Breitband-VCO mit hoher<br />

Ausgangsleistung konnte realisiert werden.<br />

3. Schnelle A / D- und D / A-Umsetzer<br />

In einem internen Projekt wurde ein schneller A / D-<br />

Wandler mit 12 GSps und 4 Bit Auflösung (3,2 ENOB)<br />

realisiert. Ein Drittmittelprojekt zur Realisierung von<br />

A / D- und D / A-Wandlern für Ultra-Breitband-Funksysteme<br />

konnte eingeworben werden. Als wichtige<br />

Komponenten für schnelle A / D-Wandler wurden ein<br />

Komparator mit 20 GHz Taktrate, 4 mV Auflösung und<br />

40 mW Verlustleistung sowie ein schneller Track-And-<br />

Hold-Verstärker mit 10 GSps, 7,8 Bit (ENOB) Auflösung<br />

realisiert und bei der ESSCIRC präsentiert.<br />

4. Single-Chip PLL-Frequenzsynthesizer<br />

Zwei Projekte zur Realisierung von kostengünstigen<br />

vollintegrierten Frequenzsynthesizern bei 10 und<br />

19 GHz für die breitbandige Satellitenkommunikation<br />

wurden in Zusammenarbeit mit der ESA begonnen.<br />

In diesem Rahmen wurden als kritischste<br />

Bauelemente zwei VCOs mit hervorragenden Phasenrauschwerten<br />

sowie ein kompletter Frequenzsynthesizer<br />

in SiGe BiCMOS mit Fractional-N PLL<br />

und Delta-Sigma-Modulator realisiert. Die Funktionalität<br />

konnte mit zwei Einzelchips (CMOS und<br />

BiCMOS) gezeigt werden. Die Realisierung eines<br />

Single-Chip Synthesizers wird folgen.<br />

Zudem wurden theoretische Untersuchungen zum<br />

Phasenrauschen in Fractional-N PLLs durchgeführt,<br />

sowie ein Simulationswerkzeug in Matlab<br />

implementiert, um die anspruchsvollen Phasenrauschanforderungen<br />

erreichen zu können.<br />

8 A n n u A l R e p o R t 2 0 0 6<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

the 77 GHz components for the FMCW radar were<br />

further optimized. A broadband VCo with high<br />

output power was realized.<br />

3. Fast A / D- and D / A-converters<br />

A fast A / D-converter with 12 GSps and 4 bit resolution<br />

(3.2 enoB) was realised in an internal project.<br />

A third-party funded project for the development<br />

of A / D- and D / A-converters for uWB radio<br />

systems was acquired. As important components<br />

for fast A / D-converters a comparator with 20 GHz<br />

clock rate, 4 mV resolution and 40 mW power dissipation<br />

as well as a fast track-and-hold amplifier<br />

with 10 GSps, 7.8 bits (enoB) resolution was realized<br />

and presented at the conference eSSCIRC.<br />

4. Single chip pll frequency synthesizer<br />

In collaboration with the european Space Agency<br />

(eSA) two projects were started for the realization<br />

of cost-effective fully integrated frequency synthesizers<br />

at 10 GHz and 19 GHz. As most critical<br />

devices also two VCos with excellent phase noise<br />

as well as a complete frequency synthesizer with<br />

fractional-n pll and Delta-Sigma-Modulator were<br />

realized in SiGe BiCMoS. the functionality was<br />

demonstrated with two single chips (CMoS and<br />

BiCMoS). the next step will be a single chip synthesizer.<br />

Additionally, theoretical investigations concerning<br />

the phase noise in fractional-n plls were<br />

performed. A simulation tool was implemented<br />

in MAtlAB to fulfil the challenging phase noise<br />

requirements.


5. Integriertes Wireless-Bus-System für medizinische<br />

Anwendungen<br />

Die Arbeiten fanden im Rahmen des Projektes BA-<br />

SUMA statt. Die Knotenarchitektur des Body-Area-<br />

Netzes wurde realisiert und erfolgreich getestet. Das<br />

generische, ereignisgesteuerte Betriebssystem RE-<br />

FLEX wurde portiert und zusammen mit einer innovativen<br />

SDL-Laufzeitumgebung integriert. Dadurch<br />

werden die Entwicklungszeiten für MAC- und Netzwerkprotokolle<br />

deutlich verkürzt. Das IEEE802.15.3<br />

MAC-Protokoll wurde auf dieser Plattform realisiert<br />

und zusammen mit einem MAC-Hardwarebeschleuniger<br />

integriert.<br />

Ausgehend von diesen Ergebnissen wurden verschiedene<br />

weiterführende Projekte zu Sensornetzwerken<br />

initiiert. So wurde zu diesem Thema das Projekt<br />

„TANDEM“ im Rahmen der InnoProfile-Ausschreibung<br />

des BMBF mit einer Förderung von 3 Mio. Euro<br />

eingeworben.<br />

6. Ultra-Wide-Band Schaltkreise<br />

Das EU-Projekt „PULSERS 2“ (Nachfolger des Projektes<br />

PULSERS) begann am 1. Januar <strong>2006</strong>. Innerhalb<br />

dieses Projektes wurden Arbeiten zum Systemkonzept<br />

durchgeführt. Zusätzlich wurden in einem<br />

internen <strong>IHP</strong>-Projekt verschiedene Komponenten<br />

für ein impulsbasiertes UWB-Frontend entwickelt<br />

und Ergebnisse auf internationalen Konferenzen<br />

publiziert.<br />

7. Modulare Prozessor-Bibliothek<br />

Der LEON-Prozessor ermöglicht in seiner strahlungssicheren<br />

Variante die Anwendung der Sensorknoten<br />

des <strong>IHP</strong> im Weltraum. Dazu wird eine eigene Bibliothek<br />

entwickelt und es werden schaltungstechnische<br />

Varianten untersucht. Das <strong>IHP</strong> hat einen LEON-3 FT<br />

Prozessor realisiert, der nach den Vorgaben der ESA<br />

als strahlungsfest eingesetzt werden kann. Ziel dieser<br />

Arbeiten ist es, das <strong>IHP</strong> als einen zugelassenen<br />

Komponentenlieferanten der ESA zu etablieren. Mit<br />

den schnellen SiGe-Technologien des <strong>IHP</strong> ist sowohl<br />

eine hervorragende Hochfrequenz-Performance als<br />

auch eine hohe Integration erreichbar.<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

5. Integrated wireless bus system for medical<br />

applications<br />

the research was performed in the framework of<br />

the project BASuMA. the node architecture of the<br />

body-area-network was realized and successfully<br />

tested. the generic, event-controlled operating<br />

system ReFleX was ported and integrated together<br />

with an innovative SDl cycle time environment.<br />

In this manner, the development periods<br />

for MAC and network protocols are significantly<br />

shortened. the Ieee 802.15.3 MAC protocol was<br />

realized on this platform and integrated together<br />

with the MAC hardware accelerator.<br />

Based on these results, new projects on sensor<br />

networks were initiated. As an example, the project<br />

tAnDeM in the framework of the BMBF initiative<br />

Innoprofile was won with euro 3 million<br />

funding.<br />

6. ultra-wideband circuits<br />

the european project pulSeRS 2 (successor of the<br />

project pulSeRS) started January 1, <strong>2006</strong>. In this<br />

project a system concept was drafted. Additionally,<br />

various components for an impulse-based uWB<br />

frontend were developed in an IHp-project and<br />

published at international conferences.<br />

7. Modular processor library<br />

the radiation hard version of the leon processor<br />

enables the use of IHp’s sensor nodes in outer<br />

space. For this purpose a new library is under development<br />

and special circuit versions are tested.<br />

the IHp has developed a leon-3 Ft processor for<br />

radiation hard applications according to the eSA<br />

requirements. the intention of this work is the establishment<br />

of the IHp as a listed component supplier<br />

for the eSA. IHp’s high frequency SiGe technologies<br />

allow for an excellent RF performance as<br />

well as for a high level of integration.<br />

A n n u A l R e p o R t 2 0 0 6


8. Spezifische Lösungen bei 5 GHz<br />

Die Arbeiten im EU-Projekt WINDECT wurden erfolgreich<br />

beendet. Das Ergebnis ist ein neuartiger<br />

MAC-Prozessor, der als weltweit erster Prozessor die<br />

HCCA Mode der 802.11e Spezifikation erfüllt. Der<br />

HCCA Mode dient im Wesentlichen der Unterstützung<br />

einer garantierten Übertragungsqualität in<br />

drahtlosen Netzen. Die Ergebnisse sind notwendige<br />

Voraussetzung für das Projekt HOMEPLANE, ein im<br />

BMWi Wettbewerb NGM eingeworbenes Projekt zu<br />

drahtlosen Heimnetzen. Dieses Projekt liefert auch<br />

die Basis für die Arbeiten des <strong>IHP</strong> im Bereich der<br />

Car-2-Car Kommunikation, die in zukünftigen Fahrzeugen<br />

die Verkehrssicherheit erhöhen soll.<br />

9. Ein neues Konzept für Kryptoprozessoren<br />

Im Bereich der kontextsensitiven drahtlosen Internetanwendungen<br />

wurden Erfolge bei Kryptoprozessoren<br />

erzielt. Die Prozessoren des <strong>IHP</strong> unterstützen<br />

durch den neuartigen modularen Aufbau verschiedene<br />

elliptische Kurven und unterschiedliche AES<br />

Sicherheitsstufen. Zusätzlich konnte der Datendurchsatz<br />

gesteigert werden. Zum Thema Kryptoprozessoren<br />

wurden zahlreiche Masterarbeiten geschrieben,<br />

von denen eine als beste Masterarbeit<br />

der Fakultät 1 der BTU Cottbus ausgezeichnet wurde.<br />

Zwei Patente wurden im Rahmen dieser Arbeit<br />

angemeldet.<br />

Technologieplattform für drahtlose und Breitbandkommunikation<br />

Im Jahr <strong>2006</strong> wurde sowohl an der Sicherung der Stabilität<br />

der existierenden 0,25-µm-BiCMOS-Technologien<br />

und in ausgewählten Punkten an deren Weiterentwicklung<br />

als auch an einem neuen 0,13-µm-BiCMOS-<br />

Prozess gearbeitet. Die 0,13-µm-Technologie dient<br />

der Erforschung neuer Bauelemente-, Schaltungs- und<br />

Systemkonzepte und soll zusätzlich zu den 0,25-µm-<br />

Technologien im MPW- und Prototyping Service des <strong>IHP</strong><br />

angeboten werden.<br />

20 A n n u A l R e p o R t 2 0 0 6<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

8. Specific wireless solutions at 5 GHz<br />

the eu-project WInDeCt was finished successfully.<br />

the result of the project is the first MAC processor<br />

worldwide that realizes the HCCA mode of the<br />

802.11e specification. the HCCA mode basically<br />

supports a data transmission with guaranteed<br />

quality of service in wireless networks. these results<br />

are a necessary prerequisite for HoMeplAne,<br />

a project on wireless home networking, won in the<br />

competition nGI of the BMWi. the WInDeCt results<br />

are also the basis for IHp’s activities in the<br />

area of car-2-car communication, which will increase<br />

the traffic safety for future cars.<br />

9. A new concept for crypto processors<br />

Successes were achieved with crypto processors<br />

for wireless internet applications. With their novel<br />

modular setup the processors developed at the<br />

IHp support different elliptic curves and different<br />

AeS security levels. Additionally the throughput<br />

was increased. numerous master theses were<br />

written about crypto processors, with one of them<br />

awarded as the best of the faculty 1 of the Btu<br />

Cottbus. IHp applied for two crypto processor patents.<br />

technology platform for wireless and broadband<br />

communication<br />

In <strong>2006</strong> there were activities both on the stability<br />

of the existing 0.25 µm BiCMoS technologies and on<br />

selected topics for their development as well as for a<br />

new 0.13 µm BiCMoS process. the 0.13 µm technology<br />

is necessary for studying new concepts for devices,<br />

circuits and systems. In addition to the 0.25<br />

µm technologies it will be offered in the MpW and<br />

prototyping Service of the IHp.


Beispiele für Ergebnisse <strong>2006</strong> sind:<br />

1. Weiterentwicklung der 0,25-µm- und Entwicklung<br />

der 0,13-µm-BiCMOS-Technologie<br />

Für eine komplementäre BiCMOS-Technologie wurde<br />

ein neues Bipolar-Integrationskonzept entwickelt,<br />

das speziell auf niedrige Prozesskosten abzielt.<br />

Mit dem neuen Kozept können drei npn-HBTs mit<br />

f T / BV CEO -Werten von 40 GHz / 5 V , 63 GHz / 3,5 V , und<br />

120 GHz / 2,1 V zusammen mit einem 32 GHz / 4,4 V<br />

pnp SiGe HBT mit nur drei zusätzlichen Maskenschritten<br />

im Vergleich zum unterliegenden CMOS-<br />

Prozess hergestellt werden. Diese Ergebnisse wurden<br />

im Dezember <strong>2006</strong> auf der IEDM in San Francisco<br />

vorgestellt.<br />

Es konnten deutliche Fortschritte bei der Entwicklung<br />

der 0,13-µm-SiGe-BiCMOS-Technologie erzielt<br />

werden. So wurde der Prozess der Maskendatengenerierung<br />

für 0,13-µm-Masken etabliert und erfolgreich<br />

mit der Erstellung des ersten vollständigen<br />

Maskensatzes demonstriert. Im Juli wurden die Silizium-Wafer<br />

für die Erstellung der MOS-Spicemodelle<br />

fertig gestellt und die Daten für die Entwicklung der<br />

0,13-µm-Bibliothek geliefert. Erste funktionierende<br />

Testchips (4 Mbit SRAM) demonstrieren die Richtigkeit<br />

des Technologiekonzeptes. Die Entwicklung der<br />

Technologiemodule ist zum großen Teil abgeschlossen.<br />

An einzelnen Modulen sind noch Optimierungsarbeiten<br />

notwendig (z.B. Trenchfill, Metallisierung).<br />

Für die zwei Technologievarianten SG13B<br />

(High Performance HBT mit minimalem CMOS) und<br />

SG13S (vollständige 0,13-µm-BiCMOS) ist „Early<br />

Access“ für Ende 2007 vorgesehen.<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

examples of results in <strong>2006</strong> are:<br />

1. Further development of the 0.25 µm and develop-<br />

ment of the 0.13 µm BiCMoS technology<br />

A new low-cost, bipolar integration concept for a<br />

complementary 0.25 µm BiCMoS technology was<br />

developed. three npn HBts with f t / BV Ceo values of<br />

40 GHz / 5 V, 63 GHz / 3.5 V and 120 GHz / 2.1 V<br />

together with a 32 GHz / 4.4 V pnp HBt can be fabricated<br />

with only three mask steps in addition to<br />

the underlying CMoS core process. In December<br />

<strong>2006</strong> these results were presented at the IeDM in<br />

San Francisco.<br />

Considerable progress was made in the development<br />

of the 0.13 µm SiGe BiCMoS technology. the<br />

process of generating mask data for the 0.13 µm<br />

level was developed and successfully demonstrated<br />

by realizing a first complete mask set. In July<br />

the silicon wafer for the extraction of the spice<br />

models were completed and the data for the development<br />

of the 0.13 µm library were delivered.<br />

First working 4 Mbit SRAM test chips proved the<br />

technology concept. the development of the<br />

technology modules is close to completion. Some<br />

modules such as trench fill and metallization<br />

still need optimisation. early access for the two<br />

technology versions SG13B (high performance<br />

HBts with minimum CMoS) and SG13S (complete<br />

0.13 µm BiCMoS) is planned for the end of 2007.<br />

A n n u A l R e p o R t 2 0 0 6<br />

2


2. Flash Speicher<br />

Die Technologie für integrierte Flash Speicher konnte<br />

stabilisiert und weiter optimiert werden. Um<br />

weitere Verbesserungen der Parameter (z.B. Read<br />

Access) des 65 kB Stacked-Gate Flash-Speichers zu<br />

erreichen, wurde eine zusätzliche Design-Iteration<br />

durchgeführt und es konnte eine Lesezugriffszeit<br />

von 50 ns demonstriert werden. Für die Speicher<br />

auf Basis der Single-Poly-Zellen (Speichertechnologie<br />

für eine besonders kostengünstige Integration<br />

kleiner Speicher) sind erste IP-Speicherbausteine<br />

entworfen und erfolgreich getestet worden: ein 1kBit-Flash-Speicher<br />

und ein 8 Bit nichtflüchtiges<br />

Register. Zuverlässigkeitsuntersuchungen für beide<br />

Technologievarianten werden 2007 durchgeführt<br />

und abgeschlossen. Der Leiter des Projektes,<br />

Alexander Fox, konnte die Ergebnisse in seiner Promotionsarbeit<br />

mit Auszeichnung verteidigen.<br />

3. Neue LDMOS<br />

Durch ein neues Bauelementekonzept mit verändertem<br />

Driftgebiet konnte die Zuverlässigkeit der<br />

LDMOS-Transistoren entscheidend verbessert werden.<br />

Dieses Konzept ist die Basis für die Integration<br />

in die 0,13-µm-BiCMOS-Technologie.<br />

4. Weltweite Nutzung der <strong>IHP</strong>-Technologien durch<br />

MPW & Prototyping Service<br />

Die regelmäßigen Technologie-Shuttles am <strong>IHP</strong><br />

ermöglichen auch Hochschulen, Forschungseinrichtungen<br />

und Industriepartnern die Präparation<br />

innovativer Entwicklungsmuster und Prototypen.<br />

Durch Verbesserung der Infrastruktur konnte die<br />

Betreuung der Partnerprojekte und deren Zugriff auf<br />

wichtige Informationen weiter qualifiziert werden.<br />

Durch Einführung einer neuen Software gelang es,<br />

die Generierung der Maskendaten zu verbessern und<br />

Fehlerquellen zu reduzieren. <strong>2006</strong> konnten die erzielten<br />

Drittmitteleinnahmen erhöht werden. Eine<br />

wesentliche Ursache für das sehr gute Ergebnis ist<br />

die Attraktivität der angebotenen BiCMOS-Technologien.<br />

22 A n n u A l R e p o R t 2 0 0 6<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

2. Flash memory<br />

the technology for integrated flash memory was<br />

stabilized and further optimized. Additional design<br />

iteration was made to improve the parameters<br />

(e.g. read access) of the 65 kB stacked gate<br />

flash memory. A read access time of 50 ns was demonstrated.<br />

First Ip memory blocks (a 1 kbit flash<br />

memory and an 8 bit non-volatile register) were<br />

designed and tested successfully for the memories<br />

based on single poly cells, which is a technology<br />

for the cost-effective integration of small memories.<br />

Reliability tests for both technology versions<br />

will be made and completed in 2007. the project<br />

leader, Alexander Fox, defended the results of his<br />

graduation work with honour.<br />

3. new lDMoS devices<br />

the reliability of the lDMoS transistors was improved<br />

essentially by a new device concept with<br />

a changed drift area. the lDMoS integration into<br />

the 0.13 µm BiCMoS technology will be based on<br />

this concept.<br />

4. Worldwide use of the IHp technologies by the<br />

MpW and prototyping Service<br />

the regular IHp technology shuttles also allow<br />

universities, research institutions and industrial<br />

partners to prepare innovative development samples<br />

and prototypes. the support of partner projects<br />

and their access to important information<br />

was further qualified by an improved infrastructure.<br />

the generation of mask data was improved<br />

and the sources of error were reduced by using<br />

new software. the third-party income was increased<br />

in <strong>2006</strong>. the major reason for this excellent<br />

result is the attractiveness of the BiCMoS technologies<br />

offered.


5. Projekt KOKON<br />

In diesem BMBF-Verbundprojekt testen deutsche<br />

Automobilhersteller und die Halbleiterindustrie<br />

gemeinsam die Integration und Zuverlässigkeit von<br />

Silizium-Millimeterwellen-Schaltkreisen (MMIC) für<br />

die Anwendung als Radar-Sende / Empfangseinheit<br />

(Anti-Kollisions-Radar, Nahbereichs-Radar) im Frequenzbereich<br />

76 - 81 GHz. Wesentlich ist dabei die<br />

Entwicklung von VCOs (Oszillatoren) mit Leistungsverstärkern<br />

in SiGe-Technologien. Zusätzlich zu den<br />

ursprünglich geplanten Aufgaben wurden von der<br />

Universität Ulm designte VCOs mit <strong>IHP</strong>-Technologie<br />

gefertigt.<br />

Materialien für die Mikro- und Nanoelektronik<br />

Ein Schwerpunkt der Materialforschung waren Hoch-k-<br />

Dielektrika auf Basis von Praseodym und deren spezifische<br />

Anwendungen. Am gemeinsamen Labor mit der<br />

BTU Cottbus wurde auf neuen Gebieten wie Si-basierte<br />

Quantenbauelemente und Lichtemitter gearbeitet.<br />

Beispiele für Ergebnisse <strong>2006</strong> sind:<br />

1. Globale Heteroepitaxie edler Schichten<br />

Struktur, Defekte und die dielektrischen Eigenschaften<br />

des kubischen Pr 2 O 3 (111) / Si(111)-Heteroepitaxie-Systems<br />

wurden untersucht und bewertet.<br />

Es konnte weiter gezeigt werden, dass auf<br />

diesem System beim Vorhandensein vorteilhafter<br />

Defektenergien ein einkristallines heteroepitaktisches<br />

Schichtwachstum sowohl von Si(111) als<br />

auch von Ge(111) mit besserer Qualität möglich<br />

ist als auf dem Si(001)-Substrat. Dieser Effekt<br />

kann durch eine geeignete Wärmebehandlung des<br />

Schichtstapels noch verstärkt werden. Die Epitaxiebedingungen<br />

für Si(111) auf Pr 2 O 3 (111) lassen<br />

sich weiter dadurch verbessern, indem durch eine zusätzliche<br />

dünne Y 2 O 3 -Schicht zwischen Pr 2 O 3 (111)<br />

und Si(111) die Bildung eines amorphen Silikats<br />

verhindert wird.<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

5. project KoKon<br />

In this BMBF funded cooperation project German<br />

automobile producers and the semiconductor industry<br />

are jointly testing the integration and reliability<br />

of Si-millimeter-wave integrated circuits<br />

(MMIC) for application as radar transmitter / receiver<br />

units (anti-collision-radar, short-range-radar)<br />

in the frequency range 76 - 81 GHz. In this<br />

context the development of VCos (voltage controlled<br />

oscillators) with power amplifiers in SiGe<br />

technologies is essential. In addition to the originally<br />

planned tasks, VCos were designed by the<br />

university of ulm in IHp technology.<br />

Materials for Micro- and nanoelectronics<br />

the main focus of the materials research was High-k-<br />

Isolators on the basis of praseodymium and specific applications<br />

for them. At the Joint lab IHp / Btu Cottbus<br />

the research focus was on new areas such as integrated<br />

silicon-based quantum devices and light emitters.<br />

examples of results in <strong>2006</strong> are:<br />

1. Global hetero-epitaxy of functional layers<br />

Structure, defects and dielectric properties of the<br />

cubic pr 2 o 3 (111) / Si(111)-hetero-epitaxial system<br />

were investigated and evaluated. It was demonstrated<br />

that in presence of advantageous defect<br />

energies a single crystalline hetero-epitaxial<br />

layer growth of Si(111) as well as of Ge(111) is<br />

possilble with a higher quality than on an Si(001)<br />

substrate. this effect can be enhanced by a suitable<br />

heat treatment of the layer stack. the conditions<br />

for epitaxy of Si(111) on pr 2 o 3 (111) can be<br />

improved further by preventing the growth of an<br />

amorphous silicate using an additional Y 2 o 3 -layer<br />

between pr 2 o 3 (111) and Si(111).<br />

A n n u A l R e p o R t 2 0 0 6<br />

2


2. PrAlO 3 auf TiN-beschichteten Si(001)-Wafern<br />

Die Untersuchungen an diesen Schichtstapeln<br />

wurden im Hinblick auf den Einfluss von Defekten<br />

auf das Leckstromverhalten in Speicherbauelementen<br />

der nächsten Generation durchgeführt.<br />

Wechselwirkungen zwischen dem Substrat und der<br />

dielektrischen Schicht sowie Oberflächenkontaminationen<br />

sind maßgebend für die Entstehung von<br />

Defekten. Infolge chemischer Reaktionen an der<br />

Interface ist ein defektkontrollierter Anstieg des<br />

Leckstromes durch die Schicht mit wachsender Temperatur<br />

zu beobachten.<br />

3. Hoch-k-MIM-Kondensatoren<br />

Der Einsatz von Hoch-k-Materialien in MIM-Kondensatoren<br />

für Analoganwendungen dient der Flächenreduzierung<br />

und erfordert eine ausreichende<br />

Kapazitäts-Spannungs-Unabhängigkeit. Auf der<br />

Grundlage eines physikalischen Modells für die<br />

Spannungsabhängigkeit der Kapazität ist es möglich,<br />

kritische Schichtdicken für dielektrische Materialien<br />

zu bestimmen, für die die quadratische<br />

Spannungsabhängigkeit einen akzeptablen Grenzwert<br />

nicht überschreitet. Bei Kenntnis der kritischen<br />

Schichtdicken ist auch die Berechnung der maximalen<br />

Kapazitätsdichten möglich. Planare MIM-Kondensatoren<br />

mit HfO 2 als Dielekrikum weisen eine<br />

dreifach höhere Kapazitätsdichte als SiO 2 -basierte<br />

MIM-Kondensatoren bei vergleichbarer Spannungslinearität<br />

auf.<br />

4. Silizium-basierte Lichtemitter<br />

Direktes Silizium-Waferbonden (realisiert in Zusammenarbeit<br />

mit dem MPI für Mikrostrukturphysik<br />

Halle) erlaubt die reproduzierbare Erzeugung von<br />

regelmäßigen Versetzungsnetzwerken. Dabei kann<br />

für eine bestimmte Fehlorientierung ein Netzwerk<br />

erzeugt werden, bei dem die D1-Linie dominiert.<br />

Das ist die erforderliche Wellenlänge des Emitters<br />

von 1,5 µm (Vortrag des <strong>IHP</strong> auf der IEDM 2005).<br />

2 A n n u A l R e p o R t 2 0 0 6<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

2. prAlo 3 on tin-coated Si(001) wafers<br />

the research on these layer stacks was performed<br />

in view of the influence of defects on the leakage<br />

current in next generation memories. Interactions<br />

between the substrate and the dielectric layer as<br />

well as surface contaminations determine the defect<br />

generation. As a result of chemical reactions<br />

at the interface a defect-controlled increase of<br />

the leakage current with higher temperature is<br />

observed.<br />

3. High-k MIM capacitances<br />

the use of high-k materials in MIM capacitances<br />

for analog applications serves the reduction of silicon<br />

area and requires a sufficient independence<br />

of the capacitance from the applied voltage. Based<br />

on a physical model for the voltage dependence<br />

of the capacitance, critical thicknesses for<br />

dielectric layers can be determined for which the<br />

quadratic voltage dependence of the capacitance<br />

remains below a critical value. If the critical layer<br />

thicknesses are known, the maximum area capacitance<br />

can be calculated. planar MIM capacitances<br />

with Hfo 2 -dielectric have a three times higher<br />

area capacitance compared to Sio 2 -based ones,<br />

with comparable voltage linearity.<br />

4. Silicon-based light emitters<br />

Direct bonding of silicon wafers (realised together<br />

with the MpI for Microstructure physics in Halle)<br />

enables the reproducible formation of dislocation<br />

networks. In this process a network can be formed<br />

with light emission dominated by the D1-line for a<br />

defined misorientation. this is the required emitter<br />

wavelength of 1.5 µm (IHp’s IeDM-presentation<br />

in 2005).


Im Jahr <strong>2006</strong> wurde dieses Konzept erstmals für<br />

eine MOS-LED genutzt, bei der sich das Versetzungsnetzwerk<br />

ca. 45 nm unterhalb des etwa 1,8 nm dicken<br />

Tunneloxides befindet. Dieses Ergebnis wurde<br />

in einem gemeinsamen Vortrag (<strong>IHP</strong> und MPI Halle)<br />

auf der IEDM <strong>2006</strong> mit Erfolg präsentiert.<br />

5. Silizium-basierte Nanostrukturen<br />

Begonnen wurde mit Arbeiten zu Silizium-Nanodrähten<br />

für die Mikroelektronik (in Kooperation<br />

mit der Zhejiang Universität, China) sowie zu<br />

Schichtstapeln aus dünnen Silizium-Schichten, die<br />

in dünne Silizium-Oxid-Schichten eingebettet sind,<br />

für die Photovoltaik der 3. Generation (in Zusammenarbeit<br />

mit der RWTH Aachen).<br />

Die Schichtstapel mit den dünnen Silizium-Schichten<br />

lassen ein Bandgap-Engineering zu. Ab ca. 5 nm<br />

Schichtdicke nimmt mit sinkender Dicke des Siliziums<br />

die Breite der Energie-Bandlücke deutlich zu.<br />

Dieser Effekt soll in Tandemstrukturen mit variierter<br />

Bandlücke für zukünftige Si-basierte Höchsteffizienz-Solarzellen<br />

ausgenutzt werden.<br />

6. Silizium für die Mikroelektronik<br />

Auf diesem Arbeitsgebiet wurde in Kooperation<br />

mit der deutschen Industrie gearbeitet, um deren<br />

Wettbewerbsposition zu stärken. In der Zusammenarbeit<br />

mit der Siltronic AG für zukünftige Si-Wafer<br />

wurden u.a. experimentelle und theoretische Arbeiten<br />

zur Sauerstoffpräzipitation durchgeführt. Für<br />

die Centrotherm GmbH + Co. KG wurde der Einfluss<br />

der Hochtemperatur-Prozessierung auf die Vergleitung<br />

in horizontal und vertikal gelagerten Si-<br />

Wafern großen Durchmessers untersucht und eine<br />

sehr gute Übereinstimmung mit Modellrechnungen<br />

gefunden.<br />

d a s J a h r 2 0 0 6 – u p d a t e 2 0 0 6<br />

In <strong>2006</strong> this concept was applied for a MoS-leD for<br />

the first time. In this device the dislocation network<br />

is about 45 nm below the tunnel oxide with a<br />

thickness of about 1.8 nm. this achievement was<br />

successfully presented in a joint presentation of<br />

IHp and the MpI Halle at the <strong>2006</strong> IeDM.<br />

5. Silicon-based nano-structures<br />

In cooperation with the Zhejiang university in<br />

China we have started research on silicon nanowires<br />

for microelectronics. We have also started<br />

working together with the RWtH Aachen on stacks<br />

of thin silicon layers embedded in thin layers of<br />

silicon oxide, for application in third generation<br />

photovoltaics.<br />

using stacks of thin silicon layers allows Bandgap<br />

engineering. Beginning at about 5 nm, the energy<br />

of the band gap significantly rises with decreasing<br />

layer thickness. this effect can be utilized in<br />

tandem structures with varying band gap for future<br />

solar cells of high efficiency.<br />

6. Silicon for microelectronics<br />

In this area we collaborated with the German industry<br />

in order to strengthen their competitive<br />

position. In cooperation with the Siltronic AG for<br />

future silicon wafers we performed e.g. experimental<br />

and theoretical work on the precipitation<br />

of oxygen. For Centrotherm GmbH & Co. KG the<br />

influence of high-temperature processing for gliding<br />

processes in horizontal and vertical wafers of<br />

high diameters was investigated. A very good correlation<br />

with model calculations was found.<br />

A n n u A l R e p o R t 2 0 0 6<br />

2


26 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Selected Projects


Drahtloses Internet<br />

WIGWAM - Wireless Gigabit with Advanced<br />

Multimedia Support<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Ziel des <strong>IHP</strong>-Beitrages dieses vom BMBF geförderten<br />

Projektes war es, ein Höchstgeschwindigkeits-Kommunikationssystem<br />

mit Datenraten von 1 Gbps zu entwerfen<br />

und prototypisch zu implementieren. Um den ständig<br />

wachsenden Bedarf an Datenrate zu befriedigen,<br />

gewinnt das 60-GHz-Band zunehmend an Bedeutung.<br />

Viele Firmen und Forschungseinrichtungen beschäftigen<br />

sich daher mit entsprechenden Systemen und<br />

Schaltungen.<br />

Die wesentlichen Beiträge des <strong>IHP</strong> am WIGWAM Projekt<br />

sind prototypische Realisierung eines kompletten 60-<br />

GHz-Kommunikationssystems, mit den Basiskomponenten<br />

analog Frontend (AFE), Basisbandprozessor (BB)<br />

und Medium Access Control Prozessor (MAC).<br />

Die 60-GHz-Sender- und Empfänger-Chips konvertieren<br />

das übertragene Signal auf eine Zwischenfrequenz von<br />

5 GHz. Ein lokaler Oszillator mit geringem Phasenrauschen<br />

ist für die OFDM Übertragung notwendig. Sowohl<br />

für den Sender als auch für den Empfänger werden eine<br />

56-GHz-PLL verwendet. Nach dem Hochmischen des Sig-<br />

nals wird eine Pufferstufe mit großer Verstärkung eingesetzt.<br />

Um die Gefahr des Schwingens zu verringern,<br />

wurde dafür ein differentielles Design verwendet. Der<br />

60-GHz-Sender wurde in einen Chip integriert, wie in<br />

Abb. 1 ersichtlich. Dieser enthält drei wesentliche Blöcke:<br />

die 56-GHz-PLL, einen Mischer sowie einen 60-GHz-<br />

Ausgangspuffer. Der Mischer beruht auf einer Gilbert<br />

Topologie. Für den zweistufigen Ausgangpuffer kam<br />

eine Kaskode-Topologie zum Einsatz, die eine Verstärkung<br />

von 20 dB erreicht und eine bessere Linearität<br />

als eine Common-Emitter-Schaltung aufweist. Zwei<br />

Leiterplatten für Sender und Empfänger wurden entworfen.<br />

Diese bestehen aus dem, im Vergleich zu Keramiksubstraten,<br />

kostengünstigen Rogers 3003 Material.<br />

Die nackten Chips wurden auf das Substrat in einer<br />

Silicon-on-Board Technologie (SOB) montiert. Zur Verbindung<br />

kommen kurze Bonddrähte zum Einsatz. Abb.<br />

2 zeigt die Empfänger-Platine. Der Sender wurde auf<br />

die gleiche Weise hergestellt. Teure und komplizierte<br />

Packaging Technologien werden damit nicht benötigt.<br />

wireless internet<br />

wiGwaM - wireless Gigabit with advanced<br />

Multimedia support<br />

the IHp contribution to this BMBF funded cooperative<br />

project was focused on the development and prototype<br />

implementation of an ultra-high-speed communication<br />

system with data rates of 1 Gbps. to satisfy<br />

the rapidly growing demand for communication<br />

bandwidth, the 60 GHz band has become more and<br />

more attractive to many companies and research institutions.<br />

We have recently completed and presented<br />

the first version of a system demonstrator, operating<br />

in this frequency band. this demonstrator comprises<br />

three main blocks: the 60 GHz analog frontend (AFe),<br />

the baseband processor (BB) and a high-throughput<br />

medium access control processor (MAC). three departments<br />

of IHp are involved in the project.<br />

the 60 GHz receiver and transmitter chips convert the<br />

60 GHz signal to an intermediate frequency (IF) of<br />

about 5 GHz or vice versa, respectively. A low phase<br />

noise local oscillator is required for oFDM application.<br />

We used a pll working at 56 GHz for both the<br />

receiver and the transmitter. After up conversion,<br />

the signal is weak. A high gain buffer is needed to<br />

amplify it. to reduce the risk of oscillations, we used<br />

a differential design approach. A 60 GHz transmitter<br />

frontend was integrated into a single chip as shown<br />

in Fig. 1. three building blocks were integrated: A<br />

56 GHz pll, an up converter mixer and a 60 GHz output<br />

buffer. the up converter mixer utilizes a Gilbert mixer<br />

topology as the core. A two stage cascode topology<br />

was used as the 60 GHz output buffer which provides<br />

a gain as high as 20 dB and better linearity compared<br />

to a common emitter configuration. two boards<br />

were designed for transmitter and receiver, respectively.<br />

Rogers 3003 is used as the substrate instead<br />

of ceramic because of the low cost. the bare chips<br />

are mounted directly onto the boards in a silicon on<br />

board (SoB) technology. they are connected to the<br />

board by bond wires. Fig. 2 shows the receiver board.<br />

the transmitter board was realized in the same way.<br />

Complicated and expensive packaging techniques are<br />

not required in this solution. A Vivaldi antenna with a<br />

A n n u A l R e p o R t 2 0 0 6<br />

27


Abb. 1: Chip Photo des 60-GHz-Senders.<br />

Fig. 1: transmitter chip photo.<br />

28 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Direkt auf der Leiterplatte ist auch eine Vivaldi Antenne<br />

mit einem Öffnungswinkel von 30 Grad untergebracht.<br />

Diese Antenne wurde von unserem Partner, dem IHE<br />

der Universität Karlsruhe entwickelt.<br />

Die ZF Modulator- und Demodulator-Chips wurden ebenfalls<br />

am <strong>IHP</strong> entwickelt (Abb. 3). Der ZF-Empfänger<br />

demoduliert das 5-GHz-Signal vom 60-GHz-Frontend<br />

und generiert das komplexe Basisband I / Q Signal. Eine<br />

programmierbare 10-GHz-PLL mit einem Frequenzteiler<br />

erzeugt das I / Q Signal für den Sender und auch für<br />

den Empfänger. Auf der Sendeseite wird das komplexe<br />

I / Q Basisbandsignal mit einem I / Q Modulator auf die<br />

Zwischenfrequenz von 5 GHz gemischt und dann an das<br />

60-GHz-Frontend weitergeleitet.<br />

Bislang wurde kein 60-GHz-Transceiver in Silizium Technologie<br />

mit einem integrierten 60 GHz HF-Filter veröffentlicht.<br />

Dieses Filter ist notwendig, um Interferenzen<br />

mit benachbarten Kanälen zu vermeiden. Dazu wurde<br />

ein LNA mit einem Bandpassfilter dritter Ordnung entworfen.<br />

Der gemessene und simulierte Frequenzgang<br />

dieses Filters ist in Abb. 4 dargestellt. Ein kompletter<br />

Empfänger kann durch direkte Verbindung des LNA mit<br />

dem Mischer ohne weitere HF-Filter implementiert werden.<br />

Der Basisbandprozessor (BB) des WIGWAM Demonstrators<br />

arbeitet mit der OFDM Übertragungstechnik.<br />

Es werden acht verschiedene Datenraten unterstützt.<br />

Diese reichen von 120 Mbps bis zu 1,08 Gbps. Eine neuere<br />

Breitbandversion unterstützt auch Datenraten bis<br />

radiation angle of about 30 degree is also integrated<br />

into the boards. this antenna was developed by our<br />

partner, the IHe at the university of Karlsruhe.<br />

the IF modulator and demodulator chips were also<br />

designed at IHp. the IF receiver demodulates the<br />

5 GHz signal from the 60 GHz frontend output and<br />

generates a complex baseband I / Q signal. A 10 GHz<br />

programmable pll with a divide-by-two stage was<br />

used to generate the quadrature lo signal for both<br />

the receiver and the transmitter. the IF transmitter<br />

modulates the baseband I / Q signal to 5 GHz IF signal<br />

which feeds to the 60 GHz transmitter IF input.<br />

Abb. 2: Leiterplatte mit Empfängerchip.<br />

Fig. 2: Receiver board.<br />

up to now, all published 60 GHz transceiver systems in<br />

silicon technologies do not have an on chip RF filter,<br />

which is necessary to avoid interference with other<br />

systems working in a close frequency band. For this<br />

purpose, a new lnA with a 3rd order bandpass filter<br />

response was successfully designed and tested. the<br />

measured and simulated lnA frequency response is<br />

shown in Fig. 4. A complete receiver frontend can be<br />

realized by directly connecting the lnA and the mixer<br />

without any passive RF filter.<br />

the Baseband processor (BB) of the WIGWAM demonstrator<br />

is based on oFDM transmission and supports<br />

eight different data rates ranging from 120 Mbps up<br />

to 1,08 Gbps. A newer wideband version supports<br />

higher rates up to 2 Gbps. the simulated frame-error


Abb. 3: LNA und Mischer Chip Photos.<br />

Fig. 3: lnA and mixer chip photo.<br />

Abb. 5: Paketfehlerraten bei einer Datenrate von 1920 Mbps<br />

für verschiedene Kanäle.<br />

Fig. 5: System performance of the high data rate mode<br />

(1920 Mbps) for different channels.<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

zu 2 Gbps. Die Paketfehlerkurven in Abbildung 5 entstammen<br />

Systemsimulationen für die Datenrate 1920<br />

Mbps für vier verschiedene Kanalszenarien unter der<br />

Annahme der perfekten Kanalschätzung. Die Paketgröße<br />

betrug 2048 Datenbytes. Die vier Szenarien entsprechen<br />

typischen Ausbreitungsbedingungen im Büro<br />

für Sichtkontakt (CM 3.2) und ohne Sichtkontakt (CM<br />

4) sowie entsprechenden Modellen in einer Bibliothek<br />

(CM 5 und CM 6). Ungerichtete Antennen liegen den<br />

Kanalmodellen zugrunde. Fehlerhafte Pakete müssen<br />

in der Regel neu gesendet werden. Um den Einfluss von<br />

solchen Paketen auf die effektive Datenrate vernachlässigen<br />

zu können, ist eine Paketfehlerrate von unter<br />

1% erstrebenswert. Von Interesse sind daher diejenigen<br />

Punkte, wo die Fehlerkurven unter die 1%-Marke fallen.<br />

Anhand der Charakteristiken des analogen Front-Ends<br />

(Sendeleistung, Antennengewinn, Rauschzahl) lässt<br />

Abb. 4: Gemessene und simulierte Verstärkung des LNA in<br />

Abhängigkeit von der Frequenz.<br />

Fig. 4: Measured and simulated lnA gain versus frequency.<br />

curves shown in Fig. 5 represent the physical layer<br />

system performance for the high data rate mode of<br />

1920 Mbps for four different channel scenarios under<br />

the assumption of perfect channel estimation. 2048<br />

data bytes were used per frame. the four channel<br />

scenarios are the office line-of-sight (loS) link (CM<br />

3.2) and non-line-of-sight (nloS) link (CM 4) and<br />

the library loS and nloS link scenarios (CM 5 and CM<br />

6). omnidirectional antennas were assumed in the<br />

simulations. A desirable packet error rate is below<br />

1%, in which case the real throughput is hardly diminished<br />

by erroneous frames, which usually have to<br />

be retransmitted. the critical points are determined<br />

by the 1%-crossings of the FeR curves. together with<br />

the characteristics of the analogue frontend (trans-<br />

Abb. 6: Analog Frontend Komponenten des <strong>IHP</strong> 60-GHz-<br />

Demonstrators.<br />

Fig. 6: Analog frontend components of the <strong>IHP</strong> 60 GHz<br />

demonstrator.<br />

A n n u A l R e p o R t 2 0 0 6<br />

2


0 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

sich die erzielbare Reichweite für eine zuverlässige<br />

Übertragung abschätzen.<br />

Als Medium Access Control (MAC) Protokoll wurde eine<br />

modifizierte Version des IEEE 802.15.3 MAC Standards<br />

verwendet. Es läuft auf einer Hardware-Plattform, die aus<br />

einem in <strong>IHP</strong>-Technologie gefertigten LEON Prozessor Chip<br />

und einem FPGA besteht. In letzterem sind die externen<br />

Interface und ein Hardware-Accelerator realisiert, der zeitkritische<br />

Operationen wie die Berechnung der CRC Prüfsummen<br />

mit der erforderlichen Performance ausführt.<br />

Ein kompletter 60-GHz-Demonstrator für Datenraten bis<br />

1 Gbps wurde entwickelt und ist in Abb. 6 zu sehen. Das<br />

Analog Frontend basiert auf Schaltungen in der <strong>IHP</strong>eigenen<br />

0,25-µm-SiGe-BiCMOS-Technologie. Die Basisbandverarbeitung<br />

ist auf einer FPGA Plattform implementiert.<br />

Dies erlaubt einen „Hardware-in-the-Loop”<br />

Ansatz zur schnellen Verifikation des Analog Frontend.<br />

Abb. 7: OFDM 16-QAM Konstellationsdiagramm mit kommerziell<br />

verfügbarem Basisband Signal Generator.<br />

Fig. 7: oFDM 16 QAM constellation diagram using commercial<br />

baseband equipment.<br />

Die maximale Datenrate, die wir mit diesem Demonstrator<br />

bislang gezeigt haben, beträgt 720 Mbps. Dies wurde<br />

auf dem BMBF Statusseminar in Erlangen im Juni <strong>2006</strong><br />

vorgeführt. Ein 16-QAM Konstellationsdiagramm sowie<br />

das Leistungsspektrum des OFDM-Signals sind in den<br />

Abb. 7 bzw. 8 dargestellt. Die letztendliche Version des<br />

Demonstrators wird eine Datenrate von > 1 Gbps übertragen<br />

können. Gemeinsam mit internationalen Partnern<br />

setzen wir unsere Arbeiten fort, die Ergebnisse dieses<br />

Projektes in den IEEE802.15.3c Standard einzubringen.<br />

mit power, antenna gain, noise figure), the maximum<br />

range of reliable transmission can be estimated.<br />

An adapted version of the Ieee 802.15.3 MAC standard<br />

was utilized as Medium Access Control (MAC)<br />

protocol. It runs on a hardware platform consisting<br />

of a 32 bit leon processor fabricated in IHp 0.25 µm<br />

CMoS technology and an FpGA implementing external<br />

interfaces as well as a hardware accelerator. this component<br />

allows implementing time critical functions<br />

such as the CRC check with the performance needed.<br />

A complete 60 GHz oFDM demonstrator for data rates<br />

up to 1 Gbps was developed and implemented as shown<br />

in Fig. 6. the analog frontend is based on circuits implemented<br />

in IHp‘s 0.25 µm SiGe BiCMoS technology.<br />

the baseband processor is implemented on an FpGA<br />

platform. this allows a hardware-in-the-loop approach<br />

for fast verification of the analog frontend.<br />

Abb. 8: Leistungsspektrum der OFDM Unterträger mit SNR.<br />

Fig. 8: Subcarrier power spectrum and SnR.<br />

the maximum data rate achieved by our demonstrator<br />

so far is 720 Mbps. It was shown at the BMBF Status<br />

Seminar in erlangen in June <strong>2006</strong>. We will continue<br />

our work to further optimize the performance of the<br />

demonstrator. the QAM constellation diagram and<br />

subcarrier power spectra measured at the receiver are<br />

shown in Figs. 7 and 8.<br />

the final version will show the full data rate of >1<br />

Gbps. In parallel, we will continue our efforts to contribute<br />

our results to the Ieee802.15.3c standard.


HOMEPLANE<br />

Der heutige Heimvernetzungsmarkt ist geprägt durch<br />

die Heterogenität der Endgeräte und Anwendungen<br />

ohne Interoperabilität. HOMEPLANE (Home Media<br />

Platform and Networks) ist ein vom BMWi finanziertes<br />

Projekt mit dem Ziel, bestehende Hemmnisse bei der<br />

Einführung von Heimnetzen zu beseitigen und eine homogene,<br />

benutzerfreundliche Plattform zu schaffen.<br />

In einem Konsortium von fünf Partnern (Universität<br />

Dortmund, Siemens, Microsoft, Lintec, <strong>IHP</strong>) werden Szenarien<br />

und Geschäftsmodelle, Middleware, Sicherheit,<br />

Benutzerschnittstellen und Medienübertragung behandelt.<br />

Ein spezieller Schwerpunkt ist die drahtlose Übertragung<br />

mit der benötigten Dienstgüte in einem WLAN<br />

nach IEEE 802.11. Neben der Konsortialführung und<br />

Untersuchungen zur Sicherheit ist dieses die wichtigste<br />

Aufgabe des <strong>IHP</strong> im Projekt.<br />

Ein funkbasiertes System hat deutliche Vorteile gegenüber<br />

einer drahtgebundenen Lösung (Abb. 9). Der<br />

Benutzer kann in einfacher Weise sein Netz zusammensetzen,<br />

ohne eine komplizierte Verkabelung durchzuführen.<br />

Die Funklösung bietet höhere Flexibilität, da<br />

die Geräte ohne Aufwand positioniert werden können.<br />

Darüber hinaus wird der Trend zu mobilen Endgeräten<br />

unterstützt, der Anwender kann seine Dienste dort in<br />

Anspruch nehmen, wo er es gerade bevorzugt.<br />

Abb. 9: Skizze eines Heimnetzes auf WLAN Basis.<br />

Fig. 9: Sketch of a home network based on WlAn.<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

hoMeplane<br />

Currently, home networking is characterized by a mixture<br />

of devices and applications without interoperability.<br />

the project HoMeplAne (Home Media platform<br />

and networks) is financed by the German Federal Ministry<br />

of economics and technology with the aim to<br />

eliminate obstacles in the introduction of home networks<br />

by developing a homogeneous and user-friendly<br />

platform.<br />

A consortium of five partners (university of Dortmund,<br />

Siemens, Microsoft, lintec, IHp) is investigating user<br />

scenarios and business models, middleware, security,<br />

user interfaces, and media data transmission. A special<br />

focus is on wireless transmission with the required<br />

quality of service in an Ieee 802.11 WlAn. Apart from<br />

the consortium leadership and security issues this is<br />

the main effort of the IHp in the project.<br />

A wireless system offers major advantages compared<br />

to wired solutions in a home network (Fig. 9). typical<br />

users want to build up their system without the effort<br />

for cabling. Wireless transmission offers higher flexibility,<br />

since devices can be repositioned easily. Also,<br />

the trend to mobile devices is supported; each user<br />

can invoke his favorite applications wherever he finds<br />

it convenient.<br />

legend<br />

dVb-t Digital Video Broadcast terrestrial<br />

wlan Wireless local Area networks<br />

bs Base Station<br />

Gw Gateway<br />

haG Home Automation Gateway<br />

rc Remote Control<br />

Vr Video Recorder<br />

tV television<br />

stb Set-top Box<br />

dsl Digital Subscriber line<br />

pc personal Computer<br />

A n n u A l R e p o R t 2 0 0 6


Bei der Verwendung von WLAN als Basis der Heimvernetzung<br />

gibt es einige Probleme zu lösen. Diese werden<br />

in Zusammenarbeit mit dem Lehrstuhl für Kommunikationstechnik<br />

der Universität Dortmund untersucht.<br />

Erstens ist der Funkkanal anfällig für Störungen. Daher<br />

sind besondere Maßnahmen nötig, um die Dienstgüte<br />

für Medienübertragung wie z.B. Video zu garantieren.<br />

Zweitens teilen sich alle Benutzer die limitierte Bandbreite.<br />

Es ist insbesondere in Mehrfamilienhäusern eine<br />

Herausforderung, allen Benutzern die erwartete Performance<br />

zu liefern.<br />

Der IEEE 802.11 Standard wurde für die Übertragung<br />

gewählt, weil dieser zum de-facto WLAN-Standard geworden<br />

ist. Dieses Protokoll wurde jedoch für die Computernvernetzung<br />

entworfen und ist wenig geeignet für<br />

Daten mit Echtzeit-Anforderungen. Die Arbeiten hierzu<br />

setzen auf dem vorangegangenen EU-Projekt WINDECT<br />

auf. Abb. 10 zeigt, wie dort die Dienstgüte für Audiodaten<br />

in einem hybriden Telefonie / Daten WLAN Netz<br />

bereitgestellt wurde. Den Audiodaten werden von der<br />

Baisstation im „contention-free period“ Zeitintervalle<br />

zugewiesen, in welchen mit garantierter Priorität übertragen<br />

wird. Im darauf folgenden „contention period“<br />

werden Daten übertragen, welche nach den üblichen<br />

Algorithmen ihre Prioritäten aushandeln. Diese Lösung<br />

setzt eine zentrale Netzstruktur voraus. Für das Heimnetz<br />

wird eine Ad-hoc-Struktur bevorzugt. Die zu entwickelnden<br />

Lösungen sind prinzipiell ähnlich, sind aber<br />

erheblich aufwändiger um den Anforderungen mehrerer<br />

Wohnungen und diverser Dienstgüteklassen gerecht zu<br />

werden. Von zentraler Bedeutung ist, dass der vom <strong>IHP</strong><br />

entwickelte WLAN-Chipset an die untersuchten Algorithmen<br />

angepasst werden kann.<br />

2 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

When the home network uses wireless data transmission,<br />

some challenges arise. the IHp is investigating<br />

these in close cooperation with the Communication<br />

technology Institute of the university of Dortmund.<br />

First, the wireless channel is error-prone, requiring<br />

special measures to guarantee the quality of service<br />

needed for, e.g., streaming video. Secondly, all users<br />

share the limited bandwidth. In houses occupied by<br />

several families, specially designed procedures must<br />

be used to supply the required performance to all<br />

users.<br />

the Ieee 802.11 standard was chosen because it has<br />

become the de-facto WlAn standard. However, it was<br />

developed for data transfer between computers and<br />

not for streaming video with real-time requirements.<br />

the project work builds on the previous eu-financed<br />

WInDeCt project. Fig. 10 shows how the quality of<br />

service for audio data was guaranteed in a hybrid telephone<br />

/ data WlAn system. Base stations assign time<br />

slots for audio data in the “contention-free period”.<br />

In the “contention period” other data is transmitted<br />

according to the usual algorithms for channel access.<br />

this solution is based on a centralized structure. For a<br />

home network, a decentralized configuration is preferable.<br />

the newly developed procedures will be similar<br />

in principle, but will be more complicated in order to<br />

handle the requirements of neighboring users and varying<br />

quality of service needs. An important feature<br />

is that the IHp WlAn chipset can be adapted to the<br />

investigated algorithms.


a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Abb. 10: Unterstützung der Dienstgüte für Audiodaten im WLAN.<br />

Fig. 10: Support of quality of service for audio data in a WlAn.<br />

Als weiterer Schwerpunkt bearbeitet das <strong>IHP</strong> die Sicherheitsaspekte.<br />

Hierbei soll die Privatsphäre sowohl innerhalb<br />

als auch zwischen den Wohneinheiten gewährleistet<br />

sein, der Benutzer soll sicher von extern auf sein<br />

Heimnetz zugreifen können. Eine sichere Fernwartung<br />

des Netzes soll unterstützt werden, und es müssen die<br />

Medieninhalte geschützt werden. Die entwickelten Verfahren<br />

zur WLAN-Optimierung und Sicherheit werden in<br />

einem Demonstrator vorgeführt.<br />

the IHp is also working on the security aspects in<br />

home networks. the goals are that privacy is protected<br />

between neighbors as well as within a family,<br />

that remote servicing can be done safely, that users<br />

can access their network externally without security<br />

risks, and that media content is protected adequately.<br />

the developed methods for WlAn optimization<br />

as well as security support will be presented in a demonstrator.<br />

A n n u A l R e p o R t 2 0 0 6


Sichere Kommunikation mit Endgeräten<br />

beschränkter Ressourcen<br />

Der Einsatz mobiler Endgeräte sowie die Verwendung<br />

von Sensornetzen stellen neue Herausforderungen an<br />

die Realisierung einer sicheren Kommunikation. Die<br />

auszutauschenden persönlichen und geschäftlichen<br />

Daten müssen gegen unerlaubtes Mithören und Verfälschen<br />

geschützt werden. Die hierfür verwendeten<br />

kryptographischen Verfahren sind jedoch sehr rechenintensiv<br />

und verbrauchen somit relativ viel Energie. Um<br />

lange Nutzungszeiten bei sicherer Kommunikation zu<br />

realisieren werden in diesem Projekt energieeffiziente<br />

Hardwarebeschleuniger untersucht. Neben dem Energieverbrauch<br />

sind auch die Kosten eines Hardwarebeschleunigers<br />

von großer Bedeutung, da die Kosten für<br />

einen Sensorknoten durch die zusätzliche Hardware nur<br />

minimal erhöht werden dürfen.<br />

Die Elliptische Kurven Kryptographie (ECC) ist ein<br />

asymmetrisches Verschlüsselungsverfahren, das eine<br />

herausragende Sicherheit bei relativ kurzen Schlüssellängen<br />

aufweist. Die aufwändigste Operation hierbei<br />

ist die Multiplikation von zwei jeweils mehrere hundert<br />

Bit langen Polynomen. Diese kann bis zum Tausendfachen<br />

beschleunigt werden, wenn geeignete Hardwarebeschleuniger<br />

eingesetzt werden. Im Rahmen dieses<br />

Projektes wurde eine Lösung entwickelt, die sich mit<br />

geringem Designaufwand an die Anforderungen der jeweiligen<br />

Einsatzumgebung anpassen lässt (Abb. 11).<br />

A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

secure communication for resource<br />

constraint devices<br />

the use of mobile devices as well as the advent of<br />

sensor networks raises new challenges for ensuring<br />

a secure communication. personal and business data<br />

have to be protected against eavesdropping and falsification.<br />

this can be achieved by applying cryptographic<br />

means. the problem is that they require a<br />

lot of computing and significantly consume battery<br />

power. In order to ensure long up times while still<br />

communicating securely this project investigates energy<br />

efficient hardware accelerators. For this class of<br />

devices, cost is also an important issue since material<br />

costs of e.g. sensor nodes should only increase by a<br />

few euro cents.<br />

elliptic Curve Cryptography (eCC) is a public key approach<br />

that ensures a high level of security with relatively<br />

short keys. the most complex operation is the<br />

multiplication of two polynomials of several hundred<br />

bits length. this operation can be sped up by three<br />

orders of magnitude if appropriate hardware accelerators<br />

are used. In this project we developed a solution<br />

which can easily be adapted to current design goals<br />

such as extremely small area consumption or low power<br />

consumption (Fig. 11).<br />

Abb. 11: Struktur einer 4-Segment 233 Bit Multiplikationseinheit.<br />

Fig. 11: Structure of the 4-segment 233 bit multiplication unit.


Tabelle 1: Größe der Selektions- und Akkumulationseinheit<br />

verschiedener Konfigurationen im Vergleich zu der<br />

bisherigen fest-verdrahteten Lösung.<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Diese Flexibilität wird dabei durch den Einsatz der im<br />

Rahmen des Projektes entwickelten Iterativen Karatsuba<br />

Multiplikation ermöglicht. Anstelle eines monolithischen<br />

Multiplikationsblockes ist die Multiplikation<br />

dreigeteilt. Zuerst wird in einem Selektionsblock die<br />

Multiplikation in eine Anzahl kleinerer Teil-Multiplikationen<br />

aufgeteilt. Die Anzahl und Größe dieser partiellen<br />

Multiplikationen bestimmt, wie schnell und groß<br />

das Design am Ende wird. Wir haben drei bevorzugte<br />

Designs: Ein 2-Segment-Setup, das 3 Clockzyklen<br />

benötigt, das 4-Segment-Setup (9 Zyklen) und das<br />

8-Segment-Setup (27 Zyklen). Im zweiten Schritt wird<br />

in jedem Clockzyklus eine partielle Multiplikation in<br />

einem kombinatorischen Multiplizierer berechnet. Die<br />

Ergebnisse werden im dritten Schritt in der so genannten<br />

Akkumulationseinheit zum Endergebnis zusammengefügt.<br />

Die Selektions- und Akkumulationseinheiten sind dabei<br />

hochregulär gestaltet. Das ermöglicht nicht nur ein hohes<br />

Maß an Flexibilität, sondern benötigt auch weniger<br />

Siliziumfläche. Im Vergleich zu einer ersten am <strong>IHP</strong> realisierten<br />

fest verdrahteten Lösung können bis zu 70%<br />

Fläche für das 8-Segment-Setup eingespart werden<br />

(siehe Tabelle 1).<br />

Flexibility was achieved by exploiting the Iterative<br />

Karatsuba approach which was developed earlier in<br />

this project. our multiplier does not apply one monolithic<br />

multiplication block but three smaller pieces.<br />

First, the large multiplication is broken down into a<br />

set of smaller partial multiplications. the number<br />

and the size of these partial multiplications determine<br />

the performance and the size of the whole design.<br />

We normally use the following three designs: a<br />

2-segment design that needs only three clock cycles<br />

for the complete multiplication, the 4-segment and<br />

8-segment design which need 9 and 27 clock cycles,<br />

respectively. the second step is the actual execution<br />

of the partial multiplications in a combinatorial<br />

multiplier. Its results will be finally aggregated in an<br />

accumulation unit.<br />

Both the selection and the accumulation units were<br />

designed as a highly regular structure. the regularity<br />

in the design is not only cornerstone of the flexibility,<br />

but also implies benefits regarding silicon area.<br />

A comparison of our current solution with its hardwired<br />

predecessor shows that we can save up to 70%<br />

of the silicon area (table 1).<br />

selection accumulation summation original<br />

sel. + acc. Method<br />

2 segment 0.05 0.08 0.13 0.15<br />

4 segment 0.05 0.09 0.14 0.39<br />

8 segment 0.06 0.10 0.16 0.59<br />

table 1: Silicon area of selection and accumulation unit of<br />

different configurations compared to their predecessor<br />

version.<br />

A n n u A l R e p o R t 2 0 0 6


6 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Die benötigte Siliziumfläche und Energie pro Multiplikation<br />

für eine Auswahl von Multiplizierern mit unterschiedlichen<br />

Operanden und damit auch Schlüssellängen<br />

sind in Abb. 12 dargestellt. Für fünf verschiedene<br />

Operandengrößen sind je drei Setups aufgeführt, wobei<br />

die Anzahl der Segmente angibt, in wie viele Teile die<br />

Operanden zerlegt werden. Anhand der Parameter kann<br />

man dann eine für die Anwendung optimale Konfiguration<br />

aus Sicherheit (Schlüssellänge), Siliziumfläche<br />

und Energieverbrauch wählen.<br />

Mit den hier entwickelten Hardwarebeschleunigern wird<br />

der Einsatz asymmetrischer Verschlüsselungsverfahren<br />

sogar für den Bereich der ubiquitären Systeme ermöglicht.<br />

Sie verbrauchen einerseits zur Realisierung ihrer<br />

Aufgaben kaum Energie und andererseits kosten sie<br />

nur wenige Euro-Cent wegen ihres geringen Flächenbedarfes.<br />

the required silicon area and the energy per multiplication<br />

for a set of multiplication units supporting<br />

diverse operand sizes (i.e. key lengths) is depicted in<br />

Fig. 12. For each operand size three setups are shown.<br />

the number of segments represents the proportion of<br />

the partial multiplication to the full size. Based on<br />

the presented results one can find the optimal configuration<br />

for each application – with the parameters<br />

security (key length), silicon area and energy consumption.<br />

the hardware accelerators developed in this project<br />

allow the use of public key cryptography even for<br />

ubiquitous systems. this is due to the fact that our<br />

solution requires almost no energy and its production<br />

cost is only a few euro cents due to its small size.<br />

Abb. 12: Siliziumfläche (Blöcke) und Energieverbrauch (Linien)<br />

verschiedener am <strong>IHP</strong> verwendeter Multiplizierer für<br />

Schlüssellängen von 163 bis 571 Bit. Fläche und Energie-<br />

verbrauch pro Multiplikation wurden für die 0,25-µm-<br />

CMOS-Technologie des <strong>IHP</strong> gemessen.<br />

Fig. 12: Silicon area (bars) and energy consumption (lines) of<br />

diverse IHp multipliers and key length from 163 to 571 bit.<br />

Area and energy per multiplication have been measured<br />

for the IHp 0.25 µm CMoS technology.


Strahlungsresistente Chips<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Ziel des Projektes ist es, mit vorwiegend schaltungs-<br />

technischen Mitteln, d.h. mit fehlertolerantem (FT) Design,<br />

eine höhere Resistenz integrierter Schaltungen gegen<br />

Fehlfunktionen zu erreichen, die insbesondere durch<br />

hochenergetische Strahlung hervorgerufen werden.<br />

Es existieren viele verschiedene Strahlungsarten sowohl<br />

im Weltraum als auch in Kernreaktoren oder in den Teilchenbeschleunigern<br />

der Hochenergiephysik. Elektronische<br />

Bauteile sind dort erhöhter Belastung z.B. durch<br />

Strahlung energiereicher Protonen oder schwerer Ionen<br />

sowie Alpha-, Röntgen- oder Gammastrahlung ausgesetzt.<br />

Neben den unterschiedlichen Langzeiteffekten im Halbleitermaterial,<br />

die vorwiegend mit technologischen oder<br />

Layout-technischen Mitteln reduziert werden müssen,<br />

treten auch sogenannte Single-Event-Effekte auf, die<br />

mit spezieller Schaltungstechnik unterdrückt werden<br />

können. Der häufigste Effekt ist der Single-Event-Upset<br />

(SEU), bei dem z.B. eine durch ein einzelnes Proton<br />

hervorgerufene Ionisierung des Halbleitermaterials<br />

zur fehlerhaften Änderung eines gespeicherten Bit-Zustandes<br />

führt.<br />

radiation-hardened chips<br />

the objective of the project is to increase the resistance<br />

of CMoS integrated circuits against functional<br />

failures caused by the high-energy radiation using<br />

predominantly circuit-design techniques, i.e. faulttolerant<br />

(Ft) design techniques.<br />

Many different types of radiation exist in space, in nuclear<br />

reactors and in particle accelerators. electronic<br />

components in these environments are subjected to<br />

enhanced stress due to radiation (for example, beams<br />

of energetic protons or heavy ions, alpha-, gamma- or<br />

x-radiation, etc.).<br />

long-term radiation effects in semiconductor materials<br />

are usually reduced by the use of technological or<br />

layout techniques, while short-term radiation effects<br />

like the so-called Single-event-upset (Seu is one of<br />

the most frequent radiation effects in semiconductor<br />

materials) can also be minimized by the use of special<br />

circuit-design techniques. Failures caused by Seu<br />

are the change of a bit-state in a circuit sequential<br />

element caused by a single particle (proton) induced<br />

ionization of the semiconductor material.<br />

Abb. 13: Für Strahlungstests ausgewähltes LEON3-FT-Chip.<br />

Fig. 13: leon3 Ft chip selected for radiation tests.<br />

A n n u A l R e p o R t 2 0 0 6<br />

7


Für die Implementierung von FT-Schaltungstechniken<br />

wurde ein 150 MHz LEON3-FT-Prozessorkern mit jeweils<br />

2 kByte Befehls- und Daten-Cache, einem AMBA-Systembus<br />

sowie daran angeschlossenen Systemkomponenten<br />

ausgewählt. Zur Erweiterung der Testmöglichkeiten<br />

wurde eine Scan-Chain sowie ein JTAG-Interface<br />

eingebaut. In Abb. 13 ist das Blockschaltbild dargestellt<br />

Im ersten Schritt wurden fehlertolerante Schaltungen<br />

eingebaut, die sowohl sämtliche Flipflops als auch alle<br />

internen Speicherblöcke vor SEUs schützen sollen. Die<br />

Flipflops wurden mit dreifacher Redundanz und anschließender<br />

Auswahlschaltung versehen. Die Speicher<br />

erhielten zusätzliche Parity-Bits, die mit einer entsprechenden<br />

Error-Detection-and-Correction (EDAC) Logik<br />

verwaltet wurden. Wegen der etwas komplizierteren<br />

Technik wurde das Registerfile in den SEU-Schutz noch<br />

nicht mit einbezogen.<br />

Die Strahlungstests fanden bei der ESA (Nordwijk, Niederlande)<br />

statt und wurden über unsere Partnerfirma<br />

Gaisler Research (Göteborg, Schweden) organisiert und<br />

vorgenommen. Abb. 14 zeigt den Versuchsaufbau und<br />

Abb. 15 den für die Strahlungstests geöffneten LEON3-<br />

FT Chip.<br />

Abb. 14: SEU-Test Vakuumkammer mit Zielsystem.<br />

Fig. 14: Seu test vacuum chamber and target system.<br />

8 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

As the fault-tolerant (Ft) test-chip for investigating<br />

the short-term radiation effects (specially, the Seu),<br />

a 150 MHz leon3-Ft processor core with instruction<br />

and data caches (2 kByte each), AMBA system-bus<br />

and attached system components has been selected.<br />

For improved test purpose, a scan-chain and a JtAG<br />

interface has been implemented. Fig. 13 shows the<br />

block-diagram of the test-chip.<br />

In the first step of building, fault-tolerant integrated<br />

circuits were introduced to protect all flip-flops<br />

and internal memory blocks against the Seus. In<br />

this case, the flip-flops are protected by the use of<br />

triple-module redundancy (tMR) and corresponding<br />

voter circuitry. the memory blocks included additional<br />

parity-bits that were controlled by corresponding<br />

error Detection and Correction (eDAC) logic. In this<br />

first step the register file is not protected against the<br />

Seus due to complex correction technique and limited<br />

core area.<br />

the radiation test was organized and performed at<br />

eSA (noordwijk, the netherlands) by our partner<br />

company Gaisler Research (Göteborg, Sweden). Fig.<br />

14 shows the test chamber and target system, while<br />

Fig. 15 shows the de-lidded leon3-Ft chip.<br />

Abb. 15: Geöffneter LEON3-FT Chip.<br />

Fig. 15: De-lidded leon3-Ft chip.


a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Obwohl weder Technologie- noch Layout-Anpassungen<br />

vorgenommen wurden und das Registerfile noch nicht<br />

geschützt war, wurden in einem 3-stündigem Test<br />

(Strahlungsquelle Cf-252) nur 281 SEUs registriert, von<br />

denen 99% korrigiert wurden. Allerdings traten etwa<br />

halbstündlich Latchup-Effekte auf, die jedoch nicht zur<br />

Zerstörung des Chips führten.<br />

In einem weiteren Zwischenschritt wurden kleinere Design-Änderungen<br />

durchgeführt, u.a. wurden schnellere<br />

statische Speicher (SRAMs) eingesetzt. Die anschließend<br />

durchgeführten Strahlungstests führten zu ähnlichem<br />

SEU-Verhalten (99,5% korrigiert), doch es traten<br />

keine Latchup-Effekte mehr auf.<br />

Diese sehr schnell und ohne aufwändige Technologieänderung<br />

erreichten Ergebnisse werden in der dritten<br />

Phase sowohl durch weitere schaltungstechnische Maßnahmen<br />

als auch durch die Entwicklung einer speziellen<br />

Bibliothek für strahlungsresistente Schaltungen<br />

ergänzt. Das Ziel ist die Registrierung des <strong>IHP</strong> als zugelassener<br />

Hersteller von strahlungsresistenten Schaltungen<br />

und Baugruppen durch die ESA.<br />

the test-chip was subjected to heavy-ion error injection<br />

using Californium (Cf-252). the tests were carried<br />

out for 3 hours and although no changes were made<br />

neither in technology nor layout only 281 effective<br />

Seus were reported and 99% of these were corrected.<br />

one latch-up event was registered approximately every<br />

30 minutes with the given ion flux.<br />

In the meantime, an improved version of the testchip<br />

with faster static memories (SRAMs) was implemented.<br />

the results of the performed radiation tests<br />

showed similar Seu behaviour with 99.5% of the Seus<br />

corrected but no latch-up effect occurred during the<br />

whole test.<br />

these preliminary results (achieved in a short time<br />

and without expensive technological changes) will<br />

be improved in a third phase of the project using a<br />

new circuit design technique as well as developing<br />

a special radiation-hardened cell library. the main<br />

goal is to qualify and register IHp for european Space<br />

Agency (eSA) as an approved provider of radiationhardened<br />

CMoS integrated circuits and systems.<br />

A n n u A l R e p o R t 2 0 0 6


0 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Hochfrequenz-SiGe-MMICs für einen Umsetzer<br />

und einen Lokaloszillator (SiMs)<br />

Das Ziel des Projektes SiMs ist es, Lokaloszillatoren als<br />

monolithische Mikrowellenbauteile (MMICs) zu entwerfen<br />

und zu testen, jeweils eins für 18,3 GHz und für den<br />

10-GHz-Bereich. Diese Frequenzen sind für die Verwendung<br />

von Hardware im Weltraum üblich.<br />

Die Projektkoordination erfolgt durch die Kayser-<br />

Threde GmbH, München als Prime Contractor zur ESA als<br />

Kunde, mit dem <strong>IHP</strong>, Frankfurt (Oder) und dem IMST,<br />

Kamp-Lintfort als Subauftragnehmer.<br />

Der Kommunikationsmarkt benötigt Breitband-Übertragungen,<br />

High Definition Television (HDTV) und Interaktivität,<br />

wobei HDTV die führende Rolle übernehmen<br />

wird. Alles zusammen erfordert eine große Anzahl von<br />

individuellen Übertragungskanälen, was zu einer großen<br />

Anzahl von Auf- und Abwärtskonvertern führt. Diese<br />

hohen Anforderungen können optimal durch hochintegrierte<br />

SiGe MMICs bedient werden. Als Demonstrator<br />

wird deshalb in diesem Projekt ein Lokaloszillator (LO)<br />

Synthesizer entwickelt, da diese HF-Schaltung sehr gut<br />

geeignet ist, die Vorteile der SiGe-Technologie nachzuweisen.<br />

Die beiden kritischsten Bausteine des LO sind<br />

der Voltage-Controlled-Oscillator (VCO) mit der zu erreichenden<br />

Phasenrauschspezifikation, und der Fraktional-N<br />

Teiler mit seinem starken Einfluss auf das Phasenrauschen<br />

und die Spurs.<br />

Es wurde ein differentieller Colpitts-Oszillator mit einem<br />

speziellem Varaktor-Design entwickelt, um das Phasenrauschen<br />

zu verbessern. Abb. 16 zeigt das Layout des VCO. Für<br />

den 10-GHz-VCO wurden sehr gute Phasenrauschwerte mit<br />

-119 dBc für 1 MHz Offset erreicht, und die Ausgangsleistung<br />

erreicht 6 dBm. Für den 18-GHz-VCO wurde ein Phasenrauschen<br />

von -112 dBc für 1 MHz Offset erhalten.<br />

Das aktuelle Design ist ein Fraktional-N Frequenzsynthesizer,<br />

für den sowohl die ausgezeichneten HF-Eigenschaften<br />

der SiGe-Transistoren als auch das hohe<br />

Integrationsniveau durch Verwendung von CMOS-Transistoren<br />

genutzt werden. Der IC des Fractional-N Frequenzsynthesizers<br />

enthält eine vollständige Phase-<br />

Locked-Loop (PLL) zusammen mit einem digitalen<br />

high Frequency siGe MMics for converter<br />

and local oscillator (siMs)<br />

the goal of the SiMs project is to design and test two<br />

local oscillators as monolithic microwave integrated<br />

circuits (MMICs), one for 18.3 GHz and one for 10 GHz<br />

range. these frequencies are common for hardware<br />

applications in space.<br />

the project is conducted by Kayser-threde GmbH,<br />

Munich, as the prime Contractor to the customer eSA,<br />

with IHp, Frankfurt (oder) and IMSt, Kamp- lintfort as<br />

sub-contractors.<br />

the communication market demands broadband<br />

transmission, high density television (HDtV) and interactivity,<br />

whereby HDtV will become the leading<br />

application. All applications require a high number<br />

of individual channels, leading to a large number of<br />

up- and down-converters. these high demands can be<br />

served best with highly integrated SiGe MMICs. therefore,<br />

in this project a local oscillator (lo) synthesizer<br />

was developed and chosen as a demonstrator, because<br />

this RF-element is particularly well suited to prove<br />

the advantages of SiGe technology. the most critical<br />

building blocks of the lo are the voltage controlled<br />

oscillator (VCo) which is challenging in its phase noise<br />

behavior, and the fractional-n divider with its large<br />

influence on phase noise and spurs.<br />

A differential Colpitts oscillator with special varactor-design<br />

was developed to improve the phase noise<br />

performance. the layout is presented in Fig.16. the<br />

phase noise is very good for the 10 GHz VCo with<br />

-119 dBc at 1 MHz offset, and the output power is<br />

about 6 dBm. For the 18 GHz VCo a phase noise of<br />

-112 dBc at 1 MHz offset is obtained.<br />

the current design is a fractional-n frequency synthesizer<br />

taking advantage of the excellent high-frequency<br />

performance of SiGe as well as the integration<br />

potential when using silicon CMoS processing. the<br />

fractional-n frequency synthesizer IC contains the<br />

entire phase-locked-loop (pll) along with the digital<br />

fractional SDM (Sigma Delta Modulator) and a serial<br />

processor interface (SpI). the pll has been designed


a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

fraktionalen SDM (Sigma Delta Modulator) und einem<br />

Serial Processor Interface (SPI). Die PLL wurde als<br />

Dual-Loop PLL entworfen, die eine Coarse und eine Fine<br />

Loop besitzt, die mit den entsprechenden Varaktor-Eingängen<br />

des VCO verbunden sind. Abb. 17 zeigt die Architektur<br />

des Lokaloszillators als Blockschaltbild.<br />

Abb. 16: Layout des 10-GHz-VCO mit Umschaltung von Varaktoren.<br />

Fig. 16: layout of the 10 GHz VCo with varactor switching.<br />

In der ersten Version besteht der LO aus zwei MMIC-Chips.<br />

Für den HF-Chip des Lokaloszillators (VCO, Prescaler,<br />

Dual Modulus Divider, und PFD) wurden vorwiegend die<br />

Bipolarelemente der <strong>IHP</strong> SGB25VD SiGe- Technologie verwendet,<br />

wobei für den anderen Chip der PLL (Reference<br />

Divider, Main Divider und Fractional-N Controller) die<br />

digitale Bibliothek der 0,25-µm-CMOS-Technologie des<br />

<strong>IHP</strong> genutzt wurde. Diese Trennung berücksichtigte, dass<br />

der Fractional-N Divider und der Phasen-Detektor voneinander<br />

ausreichend isoliert werden müssen, um Spurs zu<br />

vermeiden. Die gemessenen Phasenrauschwerte des LO<br />

sind nahe der Spezifikation (< 10 dB Unterschied).<br />

Obwohl das vorliegende Synthesizer-Design bereits gute<br />

Werte liefert, wurden die beiden MMIC- Bausteine für<br />

eine zweite LO-Version durch einige Änderungen verbessert.<br />

Insbesondere ist zu nennen, dass der BiCMOS- und<br />

der CMOS-Teil nun in einem Single-Chip-LO integriert<br />

wurden, wobei die <strong>IHP</strong> SGB25VD Technologie verwendet<br />

wurde. Außerdem wird nun eine höhere Referenzfrequenz<br />

(100 MHz statt 33 MHz) verwendet, um mittels einer<br />

größeren Loop-Bandwidth bessere Phasenrauschwerte<br />

zu ermöglichen. Dies erforderte jedoch ein neues Design<br />

für den programmierbaren Main Counter, da nun<br />

die maximale Eingangsfrequenz statt 400 MHz größer<br />

als 1 GHz ist. Der Programm- und Swallow-Counter wurden<br />

deshalb in BiCMOS-ECL entworfen.<br />

as a dual-loop pll featuring a coarse and a fine loop,<br />

which connect to the coarse and fine tuning varactor<br />

inputs of the VCo. the architecture of the local oscillator<br />

is depicted as a block diagram in Fig. 17.<br />

Abb. 17: Blockschaltbild des Lokaloszillators für 18,0-18,5 GHz.<br />

Fig. 17: Block diagram of the 18.0 to 18.5 GHz local oscillator.<br />

In the first version, the lo consists of two MMICs. the<br />

high frequency section of the lo (VCo, prescaler, dual<br />

modulus divider, and pFD) was realized using mainly<br />

the bipolar components of the IHp SGB25VD SiGe<br />

technology, the other part of the pll (reference divider,<br />

main divider, and fractional n controller) has<br />

been realized using the digital library of the 0.25 µm<br />

IHp CMoS technology. this separation takes care of<br />

the critical isolation between the fractional n divider<br />

and the phase detector to avoid spurs. the measured<br />

phase noise values of the lo are close to the specification<br />

(< 10 dB difference).<br />

Although the current synthesizer design is working<br />

quite well, the MMICs are improved in several ways for<br />

the second version of the lo. First of all, the BiCMoS<br />

and the CMoS part are now integrated into a singlechip<br />

lo using the IHp SGB25VD technology. Furthermore,<br />

a higher reference frequency (100 MHz instead<br />

of 33 MHz) is used in order to achieve better phase<br />

noise values by increasing the loop bandwidth. this<br />

however required a new design of the programmable<br />

main counter as the maximum input frequency is now<br />

> 1 GHz instead of 400 MHz. therefore, program and<br />

swallow counter are designed in BiCMoS eCl logic.<br />

A n n u A l R e p o R t 2 0 0 6


Rauscharme Frequenzsynthesizer für OFDM:<br />

Von der Theorie zu Systemen<br />

Integrierte Frequenzsynthesizer für drahtlose Transceiver<br />

stellen eine große Herausforderung dar, weil ihre<br />

Performance oft die Datenrate begrenzt. Der Abstimmbereich<br />

muss relativ groß sein, um Schwankungen der<br />

Bauelementeparameter zu kompensieren. Ein großer Abstimmbereich<br />

erhöht wiederum das Rauschniveau. Des<br />

Weiteren müssen Spulen und Kondensatoren normalerweise<br />

integriert werden, um geringe Kosten zu erreichen.<br />

Das begrenzt den Q-Faktor in spannungsgesteuerten Oszillatoren<br />

und die Größe der Filterkondensatoren in Phase-locked<br />

Loops (PLL). Infolge des relativ starken Phasenrauschens<br />

kann die Bitfehlerrate in OFDM-basierten<br />

drahtlosen Systemen stark beeinträchtigt sein.<br />

In den letzten drei Jahren wurde viel Arbeit geleistet,<br />

um den Einfluss von Phasenrauschen auf OFDM-<br />

Systeme zu modellieren und zu optimieren. In die Beschreibung<br />

von PLL- und VCO-Rauschen im Frequenzbereich<br />

wurden weißes Rauschen, Funkelrauschen und<br />

Substratrauschen einbezogen. Die Standardabweichung<br />

des PLL-Phasenfehlers wurde auf Bauelemente- und<br />

Systemparameter zurückgeführt. Mit Hilfe eines Zeitbereichsmodels<br />

des PLL Phasenrauschens können realistische<br />

Bitfehlerraten mit einem Systemsimulator<br />

simuliert werden. Das verwendete stochastische Modell<br />

basiert auf dem Wienerprozess und dem Ornstein-Uhlenbeck-Prozess,<br />

welche aus der Theorie der optischen<br />

Spektroskopie gut bekannt sind.<br />

2 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

low-noise Frequency synthesizers for<br />

oFdM: From theory to systems<br />

Integrated frequency synthesizers for wireless transceivers<br />

are challenging since their performance often<br />

limits the achievable data rate. the tuning range<br />

has to be relatively large to compensate variations in<br />

the device parameters. A large tuning range, in turn,<br />

increases the noise level. Furthermore, inductances<br />

and capacitors must generally be integrated for low<br />

cost. this limits the quality factor in the voltagecontrolled<br />

oscillator (VCo) and the capacitor size in<br />

the filters of the phase-locked loops (pll). As a result<br />

of the relatively high phase noise, the bit-error rate<br />

(BeR) in oFDM-based wireless systems may be strongly<br />

affected.<br />

During the past three years, a lot of work was carried<br />

out in modeling and optimizing the effect of phase<br />

noise on the performance of oFDM systems. White<br />

noise, flicker noise and noise in the substrate were<br />

included in the frequency-domain description of<br />

pll and VCo noise. the rms phase error (jitter) of a<br />

pll was attributed to device and system parameters.<br />

A time-domain model for pll phase noise was used<br />

to allow realistic bit-error-rate simulations with a<br />

system simulator. the stochastic model used is based<br />

on the Wiener process and the ornstein-uhlenbeck<br />

process, which are well known from theory in optical<br />

spectroscopy.


a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Auf der Basis unseres Phasenrauschmodells haben wir<br />

Frequenzsynthesizer für das 60-GHz- und das 24-GHz-<br />

Frequenzband entwickelt. Diese stellen Weltrekorde<br />

bezüglich Geschwindigkeit und Phasenrauschen unter<br />

den siliziumbasierten Synthesizern dar. Abb. 18 zeigt<br />

ein Chip-Photo einer experimentellen PLL für drahtlose<br />

Anwendungen im 60-GHz- und im 24-GHz-Band.<br />

Das Phasenrauschen in einem integrierten 60-GHz-Transceiver<br />

ist extrem wichtig in OFDM-Systemen, da die Bitfehlerrate<br />

stark mit dem Phasenrauschen korreliert ist.<br />

Systemparameter für ein 60-GHz-OFDM-System wurden<br />

vorgeschlagen, die zum Teil auf den BER-Simulationen<br />

beruhen (Abb. 19). Dabei wurde die Rauschfilterung<br />

im Basisband mittels moderner Signalverarbeitung in<br />

dem verwendeten Model berücksichtigt. Unser OFDM-<br />

Systemkonzept wird gegenwärtig zur Aufnahme in einen<br />

IEEE-Standard vorgeschlagen.<br />

Abb. 18: Chipfoto eines integrierten Frequenzsynthesizers für<br />

drahtlose Anwendungen im 60-GHz- und 24-GHz-Band.<br />

Fig. 18: Chip photo of integrated frequency synthesizer for<br />

wireless applications in the 60 GHz and the 24 GHz band.<br />

Based on our phase noise model, frequency synthesizers<br />

for the 60 GHz and the 24 GHz ISM bands have<br />

been developed. they represent world records in<br />

terms of operating frequency and phase noise for silicon-based<br />

synthesizers. Fig. 18 shows a chip photo of<br />

an experimental pll for wireless applications in the<br />

60 GHz and the 24 GHz ISM band.<br />

phase noise in a 60 GHz integrated transceiver is crucial<br />

for oFDM systems, since the BeR is strongly correlated<br />

with the pll phase noise. System parameters<br />

for a 60 GHz oFDM system have been proposed, which<br />

are partly based on BeR simulations as illustrated in<br />

Fig. 19. Here we have included the baseband noise<br />

filtering by state-of-the-art signal processing. our<br />

oFDM system concept is currently actively proposed<br />

for adoption as an Ieee standard.<br />

Abb. 19: Berechnete Standardabweichung des Phasenfehlers der<br />

PLL als Funktion der Loop-Bandbreite für drei OFDM-<br />

Symbollängen.<br />

Fig. 19: Calculated pll jitter as a function of the loop bandwidth<br />

for three oFDM symbol lengths.<br />

A n n u A l R e p o R t 2 0 0 6


Technologieplattform<br />

SiGe-BiCMOS-Technologie für 77 /79 GHz<br />

Auto-Radar (Projekt KOKON)<br />

Im Verbundprojekt KOKON untersuchen deutsche Automobilhersteller<br />

(DaimlerChrysler), Zulieferer, Mikroelektronikfirmen<br />

(Infineon, Atmel) und Forschungsinstitute<br />

gemeinsam die Einsatzfähigkeit von Schaltungen<br />

in SiGe-Technologie für Radarsensoren bei 77 GHz bzw.<br />

79 GHz. Am <strong>IHP</strong> werden dafür als Demonstratorschaltung<br />

für einen Radarsignalgenerator spannungsgesteuerte<br />

Oszillatoren (VCO) mit Leistungsverstärkern<br />

entworfen und hergestellt.<br />

Radarsysteme in modernen Autos erhöhen sowohl<br />

die Sicherheit (Stop-and-go-Funktion, Kollisionswarnung),<br />

als auch den Fahrkomfort (Parkhilfe). Leider<br />

sind heutige Systeme entweder sehr teuer oder wie das<br />

kürzlich eingeführte 24-GHz-System aus frequenz-regulatorischen<br />

Gründen nur Interimslösungen (in der EU<br />

zu ersetzen ab 2013). Eine sinnvolle Alternative sind<br />

Schaltungen in SiGe-Technologie für die zwei Radarvarianten:<br />

• 77 GHz Weitbereichsradar,<br />

• 79 + / - 2 GHz Ultra-Weitband Nahbereichsradar.<br />

Neben den zu erfüllenden technischen Spezifikationen<br />

(möglichst hohe Ausgangsleistung bei niedrigem Phasenrauschen),<br />

werden an die Schaltungen auch hohe Anforderungen<br />

bezüglich Stabilität und Zuverlässigkeit bei<br />

Temperaturen zwischen –40°C und +125°C gestellt.<br />

A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

technology platform<br />

siGe bicMos technology for 77 / 79 Ghz<br />

automotive radar (KoKon project)<br />

Within the BMBF funded project KoKon German automobile<br />

manufacturers (DaimlerChrysler), suppliers,<br />

microelectronics companies (Infineon, Atmel), and<br />

research institutes jointly investigate the capability<br />

of 77 / 79 GHz radar sensors in SiGe technology. At<br />

IHp voltage controlled oscillators (VCo) with output<br />

buffers are to be designed and manufactured as demonstrator<br />

circuits for a radar signal generator.<br />

Radar sensors in modern cars enhance safety (“stopand-go”<br />

function, collision warning) and comfort<br />

(parking aid). unfortunately, today’s systems are either<br />

very expensive or like the 24 GHz system just interim<br />

solutions (to be replaced in the eu from 2013).<br />

promising alternative solutions are circuits in SiGe<br />

technology for two radar variants:<br />

• 77 GHz long range radar,<br />

• 79 + / - 2 GHz short range ultra-wideband radar.<br />

Besides meeting the technical specifications (high<br />

output power but low phase noise) high demands are<br />

also made on temperature stability and system reliability<br />

between –40°C and +125°C.


Abb. 20: Kennlinien zweier VCO mit zwei unterschiedlichen<br />

SiGe HBTs und Ausgangsleistungen bis +15 dBm.<br />

Fig. 20: Characteristics of two VCos with two different<br />

SiGe HBt types showing output power up to +15 dBm.<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Für das <strong>IHP</strong> ergeben sich innerhalb des Projekts folgende<br />

Arbeitsschwerpunkte:<br />

- Entwurf des Oszillators und Optimierung seiner<br />

Kernparameter (anhand der Spezifikationen der<br />

Automobilzulieferer),<br />

- Optimierung der Temperaturstabilität (beispielsweise<br />

möglichst geringe Änderung der Oszillatorschwingfrequenz),<br />

- Untersuchung der Zuverlässigkeit von Halbleiterbauelementen<br />

und der Oszillatorschaltung.<br />

Dabei sollen die Ergebnisse mit denen einer alternativen<br />

SiGe-Bipolartechnologie verglichen werden.<br />

Letztere unterscheidet sich von der des <strong>IHP</strong> in den HBT<br />

Spezifikationen (z.B. andere Grenzfrequenzen), dem<br />

Backend (Al-Metallisierung (<strong>IHP</strong>) zu Kupfer-Metallisierung)<br />

und den passiven Bauelementen (NMOS-Akkumulationsvaraktoren<br />

(<strong>IHP</strong>) als variable Kapazitäten zu<br />

reinen Bipolar-Varaktoren).<br />

there are three priorities for the IHp within the project:<br />

- design of the oscillator and optimization of its<br />

core parameters (according to the specifications<br />

of automotive suppliers),<br />

- optimization of temperature stability (e.g. lowest<br />

possible change of oscillator frequency),<br />

- investigation of device and circuit reliability.<br />

Additionally, these results will be compared with results<br />

of an alternative SiGe bipolar process. this process<br />

differs from the IHp technology by HBt specifications<br />

(e.g. different transit frequencies), backend (Al<br />

(IHp) to Cu metallization), and passive components<br />

(nMoS accumulation (IHp) to bipolar varactors).<br />

0.93 mm<br />

0.85 mm<br />

Abb. 21: Spannungsgesteuerter Oszillator mit zweistufigem<br />

Leistungsverstärker für 77 / 79 GHz Radarsignalgeneratoren.<br />

Fig. 21: Voltage controlled oscillator with two-stage output buffer<br />

for 77 / 79 GHz radar signal generators.<br />

A n n u A l R e p o R t 2 0 0 6


6 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Folgende Resultate konnten im Rahmen des Projektes<br />

bisher erreicht werden:<br />

Für die <strong>IHP</strong> SG25H1 Technologie wurden spannungsgesteuerte<br />

Oszillatoren in differentieller Colpitts-Topologie<br />

entworfen. Die bisherigen Schaltungen liefern mit<br />

einem einstufigen Leistungsverstärker Ausgangsleistungen<br />

je nach gewähltem SiGe-Transistortyp bis zu<br />

+15 dBm [32 mW] (Abb. 20) und ein Phasenrauschen<br />

bei 77 GHz von –90 dBc / Hz @ 1 MHz Offset. In Verbindung<br />

mit einem zweistufigen Leistungsverstärker<br />

(Abb. 21) werden bis zu +18 dBm [63 mW] erwartet.<br />

Damit wird dann die Spezifikation von +16 dBm übertroffen.<br />

Die Anforderungen der Automobilindustrie bezüglich<br />

Temperaturstabilität und Zuverlässigkeit verlangen einen<br />

großen Aussteuerbereich des VCO bzw. eine möglichst<br />

geringe Änderung der Oszillatorfrequenz und<br />

Ausgangsleistung bis 125°C. Der Oszillator in Abb. 20<br />

zeigt einen Aussteuerbereich von 7 GHz. Die Drift<br />

der Oszillatorfrequenz beträgt deutlich weniger als<br />

-2 GHz / 100 K. Diese Werte können durch die Kombination<br />

von SiGe HBT mit den NMOS-Varaktoren des<br />

CMOS-Moduls erreicht werden; es müssen dafür keine<br />

speziellen Bipolarvaraktoren entwickelt und integriert<br />

werden.<br />

Bei Zuverlässigkeitsuntersuchungen an den HBT bei<br />

125°C und sehr hohen Strömen (bis zu 35 mA / µm 2 )<br />

konnte nachgewiesen werden, dass die Hochfrequenzparameter<br />

stabil bleiben. Das weist darauf hin, dass im<br />

Vergleich zur Kupfer-Metallisierung auch die Aluminium-Metallisierung<br />

diesen Anforderungen gewachsen<br />

ist.<br />

the following results were achieved within the project<br />

until now.<br />

Differential voltage controlled oscillators in Colpitts<br />

topology were designed for IHp’s SG25H1 technology.<br />

present differential circuits with single-stage output<br />

buffers deliver output powers up to +15 dBm [32 mW]<br />

(Fig. 20) – depending on the transistor type - and<br />

phase noise levels at 77 GHz of about –90 dBc / Hz<br />

@ 1 MHz offset. For a VCo with a double stage buffer<br />

(Fig. 21) up to +18 dBm [63 mW] are expected. this is<br />

well above the specification of +16 dBm.<br />

the automotive industry‘s demand for sufficient temperature<br />

stability and reliability requires a large tuning<br />

range combined with small changes of oscillator<br />

frequency and output power up to 125°C. the oscillator<br />

in Fig. 20 shows a tuning range of 7 GHz and additionally<br />

an oscillator frequency drift of significantly<br />

less than –2 GHz per 100 K has been measured. these<br />

results were obtained by the combination of SiGe HBt<br />

and the nMoS varactors of the CMoS module; no special<br />

bipolar varactors had to be introduced for this.<br />

With reliability stress tests of the HBts at 125°C and<br />

very high current densities (up to 35 mA / µm 2 ) it was<br />

shown that the high frequency parameters remained<br />

unchanged.<br />

this indicates that like the copper metallization the<br />

aluminium backend copes with these standards.


130-nm-BiCMOS-Technologie<br />

Ziel des Projektes ist die Entwicklung einer Technologie<br />

für integrierte Kommunikationssysteme auf einem Siliziumchip<br />

(System-on-Chip) mit höchsten Datenraten und<br />

Übertragungsfrequenzen. Die 130-nm-BiCMOS-Technologie<br />

wird Basis für die zukünftige technologische Forschung<br />

und für die Untersuchung neuer Schaltungs- und<br />

Systemkonzepte sein. Darüber hinaus wird die Technologie<br />

Forschungs- und Entwicklungspartnern über den<br />

Prototyping-Service des <strong>IHP</strong> zur Verfügung gestellt.<br />

SiGe-BiCMOS-Technologien der nächsten Generation<br />

stellen durch die Integration von HF-Schaltungen im<br />

mm-Wellenbereich mit schnellen Digitalschaltungen<br />

hoher Packungsdichte einen Schlüssel für die kostengünstige<br />

Realisierung integrierter Kommunikationssysteme<br />

mit großer Bandbreite dar. Durch HBTs mit<br />

Grenzfrequenzen oberhalb von 200 GHz werden Anwendungen<br />

wie drahtlose Kommunikation im 60-GHz-Band,<br />

lichtleiterbasierte Kommunikationssysteme mit Datenraten<br />

oberhalb 40 Gbps und automobile Radarsysteme<br />

bei 77 GHz ermöglicht.<br />

Abb. 22: HF-Charakteristik von high-performance HBTs.<br />

Fig. 22: RF characteristics of high-performance HBts.<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

130 nm bicMos technology<br />

the objective of the project is the development of a<br />

technology suitable for system-on-a-chip (SoC) solutions<br />

for wireless and broadband communication at<br />

highest data rates and highest transmission frequencies.<br />

the 130 nm BiCMoS process will be the platform<br />

for future technology research and for the investigation<br />

of new circuit and system concepts. Moreover,<br />

the technology will be available to research and development<br />

partners via the IHp prototyping service.<br />

the integration of mm-wave RF circuits with highspeed,<br />

high-density digital blocks in next generation<br />

SiGe BiCMoS technologies is a key for cost-effective<br />

realizations of high-bandwidth communication systems.<br />

HBts with cut-off frequencies above 200 GHz<br />

facilitate new applications such as wireless links in<br />

the 60 GHz band, fiber optics communication systems<br />

with data rates above 40 Gbps, and automotive<br />

radar at 77 GHz.<br />

Abb. 23: Transferkennlinien von 130 nm NMOS- und<br />

PMOS-Transistoren.<br />

Fig. 23: Transfer characteristics of 130 nm NMOS and<br />

pMoS transistors.<br />

A n n u A l R e p o R t 2 0 0 6<br />

7


8 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Im Jahr <strong>2006</strong> wurde erstmals der vollständige technologische<br />

Ablauf des 130-nm-BiCMOS-Prozesses realisiert.<br />

In der Technologie werden zwei Typen von SiGe-HBTs,<br />

die für hohe Frequenzen bzw. hohe Durchbruchspannungen<br />

optimiert wurden, sowie CMOS-Transistoren mit<br />

zwei Gateoxiddicken für Betriebsspannungen von 1,2 V<br />

und 3,3 V bereitgestellt.<br />

Die HBTs zeichnen sich gegenüber vorangegangenen<br />

Technologiegenerationen u.a. durch selbstjustierte Basis-<br />

und Emittergebiete und durch eine Reduzierung der<br />

Emitterweite auf 120 nm aus. Für die high-performance<br />

HBTs wurden Grenzfrequenzen f T und f max im Bereich von<br />

250-300 GHz realisiert (Abb. 22). Der CMOS-Prozess wurde<br />

für Anwendungen mit geringem Leistungsverbrauch<br />

optimiert. Die 1,2-V-NMOS- und PMOS-Transistoren zeigen<br />

Leckströme von 50 pA / µm und Betriebsströme von<br />

440 bzw. 200 µA / µm (Abb. 23).<br />

Eine Aluminium-Metallisierung mit bis zu sieben Metallebenen<br />

wurde entwickelt. Darunter sind zwei für<br />

HF-Anwendungen optimierte 2 µm bzw. 3 µm dicke<br />

Metallebenen, die die Integration von passiven Komponenten<br />

wie Spulen, Transformatoren und Übertragungsleitungen<br />

hoher Güte ermöglichen.<br />

Die erste Version des Designkit für die 130-nm-BiCMOS-<br />

Technologie wurde <strong>2006</strong> erarbeitet und wird z.Z. für die<br />

Entwicklung von Schaltungen für die Bewertung der<br />

HF-Eigenschaften der Technologie und für die Erstellung<br />

von Bibliotheken für digitale CMOS-Schaltungen<br />

eingesetzt. Die Nutzbarkeit der Technologie für hochintegrierte<br />

Schaltungen wurde durch erste funktionsfähige<br />

4-Mbit-SRAM-Chips demonstriert (Abb. 24).<br />

In <strong>2006</strong>, the full-flow 130 nm BiCMoS process was<br />

realized for the first time. the technology provides<br />

two types of SiGe HBts optimized for high frequencies<br />

and high breakdown voltages, respectively, and CMoS<br />

transistors with two gate oxide thicknesses for operating<br />

voltages of 1.2 V and 3.3 V.<br />

new features of the HBt devices compared to previous<br />

generations are self-aligned base and emitter regions<br />

and minimal emitter widths of 120 nm. Cut-off<br />

frequencies f t and maximum oscillation frequencies<br />

f max in the 250 to 300 GHz range were realized for<br />

the high-performance HBts (Fig. 22). the CMoS process<br />

was optimized for low-power applications. the<br />

1.2 V nMoS and pMoS transistors exhibit off-currents<br />

of 50 pA / µm and on-currents of 440 µA / µm and<br />

200 µA / µm, respectively (Fig. 23).<br />

An aluminum back-end-of-line with up to seven metal<br />

layers was developed. these include two metal layers<br />

with thicknesses of 2 µm and 3 µm facilitating the<br />

integration of passive components such as inductors,<br />

transformers, and transmission lines with high quality<br />

factors.<br />

A first revision of the design kit for the 130 nm BiCMoS<br />

technology was developed in <strong>2006</strong>. It is now used<br />

for designing benchmark circuits for the evaluation<br />

of the RF performance of the technology and digital<br />

CMoS libraries. the capability of the technology for<br />

VlSI circuit fabrication was demonstrated by first fully-functional<br />

4 Mbit SRAM chips (Fig. 24).<br />

Abb. 24: Aufnahme einer SRAM-Zelle (Raster-TEM).<br />

Fig. 24: Scanning transmission electron microscope<br />

image of an SRAM cell.


Kostengünstiger SiGe CBiCMOS Prozess<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Projektziel war es zu prüfen, ob ein kostengünstiger<br />

CBiCMOS-Prozeß realisierbar ist, der mit minimaler Anzahl<br />

an bipolaren Masken- und Prozessschritten ausreichend<br />

gute npn- und pnp-Transistorparameter für ein<br />

breites Spektrum von Anwendungen liefert.<br />

Eine komplementäre BiCMOS-(CBiCMOS-)Technologie,<br />

die npn- und pnp-Transistoren mit ähnlichen Parametern<br />

liefert, ist sehr vorteilhaft und innovativ für eine Vielzahl<br />

analoger Schaltungen. Der ideale CBiCMOS-Prozeß<br />

sollte auf bipolarer Seite npn- und pnp-Transistoren mit<br />

besten HF-Parametern liefern, gleichzeitig aber auch<br />

Transistoren mit höherer Durchbruchsspannung, und<br />

das bei Kosten, die möglichst nicht über denen eines<br />

BiCMOS- oder sogar RF-CMOS-Prozesses liegen. <strong>IHP</strong>’s<br />

erste CBiCMOS-Technologie (SG25H2) bietet ein npn-f T<br />

von 170 GHz und ein pnp-f T von 90 GHz, die beste jemals<br />

erreichte CBiCMOS pnp-Grenzfrequenz. Allerdings wurde<br />

diese Technologie vor allem hinsichtlich bester HF-<br />

Parameter optimiert, ohne allzu große Rücksicht auf die<br />

Prozesskosten. Im Gegensatz dazu bestand die große<br />

Herausforderung dieses Projektes darin, attraktive HF-<br />

Parameter mit einem Prozessfluß zu erhalten, der mit<br />

deutlich weniger bipolaren Masken- und Prozessschritten,<br />

verglichen mit dem Stand der Technik, auskommt.<br />

Um einen einfachen CBiCMOS-Prozeß zu erhalten, wurde<br />

der Prozessablauf des 1-Masken Bipolar-Moduls der<br />

<strong>IHP</strong> npn-BiCMOS-Technologie SGB25VD für die pnp-Herstellung<br />

adaptiert. Außerdem wurde ein zweiter Isolationsgraben<br />

eingeführt, der eine geringere Tiefe aufweist<br />

als der des Basisprozesses. Der flachere Graben<br />

trennt das aktive Transistorgebiet vom Kontaktgebiet<br />

und erlaubt uns, gleichzeitig niedrige Kollektorwiderstände<br />

und Basis-Kollektor-Kapazitäten mit einer einfachen,<br />

Epitaxie-freien Kollektorstruktur zu erreichen<br />

(Abb. 25).<br />

cost-optimized siGe cbicMos process<br />

the objective of this project was to investigate the<br />

feasibility of a cost-optimized SiGe CBiCMoS process,<br />

which provides ample npn and pnp transistor<br />

performance for a wide range of applications, while<br />

utilizing a minimum of dedicated bipolar mask and<br />

processing steps.<br />

A complementary BiCMoS (CBiCMoS) technology containing<br />

both npn and pnp devices with matched performance<br />

offers compelling advantages in many types<br />

of analog circuits. An ideal CBiCMoS process should<br />

provide both npn and pnp devices with best RF performance<br />

on the bipolar side, combined with higher<br />

voltage transistors, but preferably not above the cost<br />

of a BiCMoS or even an RF-CMoS process. IHp`s first<br />

SiGe CBiCMoS technology (SG25H2) combines a 170<br />

GHz f t npn device with a 90 GHz f t pnp transistor demonstrating<br />

a level of pnp RF performance not seen<br />

before. For this unique technology, however, performance<br />

was clearly the priority, while cost was not in<br />

the main focus. the big challenge of this particular<br />

CBiCMoS project was to demonstrate attractive npn<br />

and pnp device performance in a process flow with<br />

a much lower number of dedicated bipolar mask and<br />

processing steps compared to the state-of-the-art.<br />

to obtain a low-cost CBiCMoS process, we adapted the<br />

process sequence applied in the 1-mask, npn-only bipolar<br />

module of IHp’s low-cost npn-BiCMoS process<br />

SGB25VD also for pnp fabrication. Moreover, we introduced<br />

a second shallow trench (SStR) which has<br />

a lower depth compared to the trench of the process<br />

core. the SStR separates the active bipolar transistor<br />

regions from the collector contact regions and allows<br />

us to simultaneously reach low values of collector resistance<br />

(RC) and base-collector capacitance (CBC)<br />

with a simple, epi-free collector structure (Fig. 25).<br />

A n n u A l R e p o R t 2 0 0 6


Abb. 25: REM Querschnittsbilder eines pnp SiGe HBT zur Illustration der benutzten zwei Typen von Isolationsgräben.<br />

Fig. 25: SeM X-sections of a pnp SiGe HBt illustrating the two trench types used.<br />

Folgende Hauptergebnisse des Projektes wurden erreicht:<br />

1) Unter Hinzufügung von nur drei Maskenschritten<br />

zur unterliegenden HF-CMOS-Linie ist ein CBiCMOS-<br />

Prozeß realisierbar, der 3 Typen von npn-Transistoren<br />

(120 GHz f T / 2,1 V BV CEO , 63 GHz f T / 3,5 V BV CEO und<br />

40 GHz f T / 5 V BV CEO ) zusammen mit einem 32 GHz<br />

f T , 35 GHz f max , 4,2 V pnp SiGe HBT liefert.<br />

2) Mit zwei weiteren Implant-Masken, d.h. mit insgesamt<br />

nur 5 Bipolar-Masken können zusätzlich (npn-<br />

Fall) oder alternativ (pnp) zu den Transistoren des<br />

3-Masken-Moduls ein 150 GHz f T , 2,2 V npn HBT und<br />

entweder ein 43 GHz f T , 65 GHz f max , 4,2 V pnp HBT<br />

oder ein 38 GHz f T , 70 GHz f max , 5,8 V pnp HBT hergestellt<br />

werden. Abb. 26 zeigt f T und f max dieser pnp-<br />

Transistoren in Abhängigkeit vom Kollektorstrom.<br />

Abb. 27 vergleicht die pnp HF-Parameter des neuen Prozesses<br />

mit den Daten anderer CBiCMOS-Technologien.<br />

Tabelle 2 bezieht zusätzlich noch die npn HF-Parameter<br />

und die Anzahl der Bipolarmasken als Maß für die Prozesskomplexität<br />

in den Vergleich ein. Es wird deutlich,<br />

dass der neue Prozess hinsichtlich der Kombination von<br />

Prozesskomplexität / -Kosten und erreichbarer HF-Parameter<br />

der komplementären Bipolartransistoren derzeit<br />

unerreicht ist.<br />

0 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

the primary results of this project can be summarized<br />

as follows:<br />

1) With a minimum of only three bipolar mask<br />

adders, the new CBiCMoS process provides 3 types<br />

of npn SiGe devices featuring 120 GHz f t<br />

(at 2.1 V BV Ceo ), 63 GHz f t (3.5 V BV Ceo ), and<br />

40 GHz f t (5 V BV Ceo ), together with a 32 GHz<br />

f t , 35 GHz f max , 4.2 V pnp SiGe HBt.<br />

2) With two additional implant masks, i.e. with only<br />

5 bipolar masks in total, a 150 GHz f t , 2.2 V npn<br />

HBt and either a 43 GHz f t , 65 GHz f max , 4.2 V pnp<br />

or a 38 GHz f t , 70 GHz f max , 5.8 V pnp device can be<br />

fabricated additionally (in the npn case) or alternatively<br />

(pnp case) to the devices of the 3-mask<br />

module. Fig. 26 shows f t and f max vs collector current<br />

for these pnp devices.<br />

Fig. 27 compares the pnp RF data of the new process<br />

with data of other CBiCMoS technologies. table<br />

2 additionally includes npn parameters and the no.<br />

of dedicated bipolar masks as a measure of process<br />

complexity and thus cost. It is obvious that the new<br />

process provides by far today’s best combination of<br />

complexity / cost and RF performance of the complementary<br />

bipolar devices in a fully featured CBiCMoS<br />

process.


parameter IHp (this project) IHp tI IBM Jazz Semi<br />

(f t / f max in GHz, BV Ceo in V) SG25H2 (BCtM03) (SiRF07) (Homepage)<br />

High-BV npn-f / f / BV t max Ceo 40 / 80 / 5 + 63 / 95 / 3.5 - 27 / 90 / 6 29 / 51 / 6 + 38 / 150 / 6 +<br />

45 / 73 / 4.5 78 / 190 / 3.5<br />

low-BV npn-f / f / BV 120 / 110 / 2.1 150 / 160 / 2.2 170/170/1.9 - t max Ceo 60 / 85 / 3.3 155/200/2.2<br />

pnp-f / f / BV t max Ceo 32 / 35 / 4.4 38 / 70 / 5.8 or 90/120/2.5 27 / 60 / 6 28 / 26 / 6 17 / f not max<br />

43 / 65 / 4.2 available / 7<br />

Bipo-mask no. over RF-CMoS 3 5 10 see* >8** >8**<br />

* not simply formed by an integration of a bipolar module in an RF-CMoS baseline<br />

** Mask no. by a rough estimation only<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Abb. 26: f T und f max in Abhängigkeit vom Kollektorstrom für die<br />

pnp-Transistoren, die alternativ mit dem komplementären<br />

5-Masken Bipolar-Modul herstellbar sind.<br />

Fig. 26: f t and f max vs. collector current for pnp transistors which<br />

can be fabricated alternatively in the 5-mask,<br />

complementary bipolar module.<br />

Abb. 27: f T und f max in Abhängigkeit von BV CEO für pnp-Transistoren<br />

verschiedener CBiCMOS-Technologien.<br />

Fig. 27: f t and f max vs. BV Ceo for pnp transistors from different<br />

CBiCMoS technologies.<br />

(1 tI data from BCtM03, 2 IBM data from SiRF07,<br />

3 Jazz data from homepage)<br />

Tabelle 2: Vergleich verschiedener CBiCMOS-Technologien (bzw. npn-BiCMOS-Technologien mit pnp-Option).<br />

table 2: Comparison of CBiCMoS technologies (and npn BiCMoS technologies with a pnp option, respectively).<br />

A n n u A l R e p o R t 2 0 0 6


Zuverlässigkeit von HBTs<br />

Ziel des Projektes ist es, das Degradationsverhalten von<br />

SiGe Heterobipolartransitoren (HBT) in Abhängigkeit<br />

von Strom, Spannung und Temperatur zu untersuchen,<br />

um Maximalparameter festzulegen, die ein ausreichend<br />

gutes Funktionieren über 10 Jahre garantieren.<br />

Die Entwicklung unserer BiCMOS-Technologien findet<br />

durch den Nachweis ihrer Zuverlässigkeit gemäß internationaler<br />

Standards ihren Abschluss. Dabei gibt<br />

es Forschungsbedarf insbesondere zur Zuverlässigkeit<br />

von Höchstgeschwindigkeits-SiGe-HBTs, da auf diesem<br />

Gebiet noch relativ wenig bekannt und kaum etwas publiziert<br />

ist. Die grundlegende Idee ist es dabei, bei Einhaltung<br />

definierter Designregeln eine Lebensdauer von<br />

10 Jahren bei weniger als 0,01 % Ausfällen zu garantieren.<br />

Neben verschiedenen Stresstests mit komplexen<br />

Schaltkreisen, Untersuchungen zur Elektromigration,<br />

zur Oxidstabilität, zur Heißladungsträgerinjektion u.<br />

a., ist die Bestimmung der Zuverlässigkeit von HBT-<br />

Strukturen von zentraler Bedeutung.<br />

Mit der SG25H1-Technologie präparierte npn200-Transistoren<br />

wurden in unserem Intrinsic-Testsystem MIRA<br />

von QualiTau bei Temperaturen von 60 °C, 125 °C, und<br />

150 °C mit Emitterströmen (I E ) von 0,7 bis 10 mA bei<br />

Kollektor-Basis-Spannungen (V CB ) zwischen 0 und 3 V<br />

gestresst. In definierten Intervallen wurden Gummel-<br />

Plots bei Stresstemperatur und V CB = 0 V mit V BE zwischen<br />

0,2 und 1,0 V gemessen (siehe Abb. 28). Die<br />

Degradation der Stromverstärkung Beta = I C / I B wird bei<br />

verschiedenen Werten von V BE betrachtet, wobei 10 %<br />

Degradation als Fehlerkriterium definiert wird.<br />

2 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

reliability of hbts<br />

The objective of the project is to characterize the degradation<br />

behavior of SiGe heterobipolar transistor<br />

(HBT) structures as a function of current, voltage, and<br />

temperature to define maximum allowed parameters to<br />

guarantee 10 years of sufficient operation.<br />

The final point in the development of our BiCMOS technologies<br />

is the proof of their reliability according to<br />

international standards. This requires the basic understanding<br />

of different degradation mechanisms. There is<br />

a strong research demand especially for the reliability<br />

of high speed SiGe HBTs, since there is still little known<br />

on this field and nearly nothing has been published so<br />

far. The general idea of technology qualification is to<br />

guarantee a lifetime of ten years with less than 0.01 %<br />

failures under defined design rules. Besides different<br />

stress tests of complex circuits, tests for electromigration,<br />

gate oxide integrity, hot carrier injection and<br />

others, it is of fundamental importance to characterize<br />

the reliability of HBT structures.<br />

For this purpose, we stressed npn200 transistors pre-<br />

pared with the SG25H1 technology at temperatures of<br />

60 °C, 125 °C, and 150 °C with emitter currents (I E ) between<br />

0.7 and 10 mA and collector base voltages (V CB )<br />

between 0 and 3 V in our intrinsic reliability test system<br />

MIRA from QualiTau. Gummel plots were measured after<br />

defined stress sequences at stress temperature with<br />

V CB = 0 V in a V BE range from 0.2 to 1.0 V (see Fig. 28).<br />

Degradation parameter is the current gain Beta = I C / I B<br />

at a given V BE value. The typical failure criterion is a 10 %<br />

degradation of Beta.


Abb. 28: Gummel-Plots (links), Beta vs. V BE (mitte) und über<br />

9 Transistoren gemittelte relative Beta-Degradation<br />

vs. Stresszeit (rechts) unter Stressbedingungen<br />

V CB = 2,0 V , I E = 2,5 mA und T = 60 °C.<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Die in Abb. 28 gezeigte Zeitabhängigkeit der Degradation<br />

von Beta ist so nur für schwachen und mittleren<br />

Stress typisch. Bei höheren Emitterströmen oder V CB -<br />

Werten beobachtet man eine Sättigung der Degradation<br />

und anschließende Abnahme mit zunehmender<br />

Stresszeit. Dies ist die Folge zweier konkurrierender<br />

Mechanismen. Für die Sättigung der Beta-Degradation<br />

oder gar die Umkehr des Degradationstrends sind<br />

Auf- und Entladungsprozesse im Isolationsmaterial<br />

zwischen Emitter und Basis, hervorgerufen durch heiße<br />

Ladungsträger, verantwortlich.<br />

Als Beispiel für den Einfluss von V CB auf das Degradationsverhalten<br />

zeigt Abb. 29 für verschiedene V BE die<br />

mittlere Lebensdauer für eine 10 %-ige Beta-Degradation<br />

bei I E = 2,5 mA und T = 60 °C. Die Degradation ist<br />

deutlich stärker bei niedrigen V BE -Werten und nimmt mit<br />

V CB zu. Die auf eine Lebensdauer von 10 Jahren extrapolierten<br />

Linien für V BE = 0,6 – 0,95 V in der Darstellung<br />

der Lebensdauer vs. V CB schneiden sich alle bei etwa<br />

V CB = 1,2 V. Das bedeutet, dass unabhängig von V BE unter<br />

diesen Stressbedingungen für V CB < 1,2 V eine Lebensdauer<br />

von 10 Jahren garantiert werden kann.<br />

Fig. 28: Gummel plots (left), Beta vs. V Be (middle) and relative<br />

Beta degradation (averaged over nine devices) vs. stress<br />

time (right) under stress conditions<br />

V CB = 2.0 V, I e = 2.5 mA, and t = 60 °C.<br />

the time dependence of Beta degradation shown in<br />

Fig. 28 is typical for weak and medium stress conditions<br />

only. At higher emitter currents or higher<br />

V CB values, we observe that the degradation reaches<br />

a maximum and starts to decrease with increasing<br />

stress time. this is caused by the competition of two<br />

different mechanisms. Charging and discharging processes<br />

in the isolation material between emitter and<br />

base caused by hot carriers are responsible for the saturation<br />

and even the reversal of Beta degradation.<br />

As an example for the role of V CB , Fig. 29 shows for different<br />

V Be the mean time to failure (mean lifetime) for<br />

10 % Beta degradation at I e = 2.5 mA and t = 60 °C.<br />

the degradation is significantly stronger at low V Be<br />

values, and it increases with V CB . the lines extrapolated<br />

for V Be = 0.60 - 0.95 to a lifetime of 10 years in<br />

the MttF vs. V CB plot all cross at about V CB = 1.2 V. this<br />

means that independent of the V Be value it is possible<br />

to guarantee a lifetime of ten years for V CB < 1.2 V<br />

under these stress conditions.<br />

A n n u A l R e p o R t 2 0 0 6


Abb. 29: Mittlere Lebensdauer (MTTF) für 10 % Beta-Degradation<br />

vs. V BE für unterschiedliche V CB -Werte (links) und vs.<br />

V CB für verschiedene V BE -Werte (rechts) nach Stress<br />

mit I E = 2,5 mA bei T = 60 °C.<br />

A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Das Degradationsverhalten im gesamten V CB – V BE – I E<br />

– T-Parameterraum ist sehr komplex. So ist es z.B. möglich,<br />

dass abhängig von den anderen Parametern die<br />

Degradation von Beta mit der Temperatur zu- oder abnehmen<br />

kann. Das Verstehen und Modellieren dieses<br />

komplexen Verhaltens ist Gegenstand weiterer Untersuchungen.<br />

the degradation behavior in the whole V CB – V Be – I e<br />

– t parameter space is rather complex. thus it is for<br />

example possible that the degradation of Beta increases<br />

or decreases with temperature depending on the<br />

other parameters. the understanding and modeling<br />

of this complex behavior is subject of further investigation.<br />

Fig. 29: Mean time to failure (MttF) of 10 % Beta degradation<br />

vs. V Be for different V CB values (left) and vs. V CB for<br />

different V Be values (right) at I e = 2.5 mA and t = 60 °C<br />

stress.


Materialien für die Mikro- und Nanoelektronik<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Modellierung der quadratischen Spannungsabhängigkeit<br />

von Hoch-k-MIM-Kondensatoren<br />

Die Einführung von Hoch-k-Dielektrika in Metall-Isolator-Metall-Kondensatoren<br />

(MIM) zur Flächenreduzierung<br />

erregt viel Aufmerksamkeit. Das Erreichen einer<br />

ausreichenden Kapazitäts-Spannungs-Linearität in<br />

Hoch-k-MIM-Kondensatoren ist immer noch eine Heraus-<br />

forderung.<br />

Die Ursache des nichtlinearen Kapazitäts-Spannungs-<br />

Verhaltens C(V) von MIM-Kondensatoren mit Al 2 O 3 -,<br />

Y 2 O 3 -, HfO 2 - oder Pr 2 Ti 2 O 7 -Dielektrika wurde untersucht.<br />

Basierend auf dem elektrooptischen Kerr-Effekt, wurde<br />

ein physikalischer Ausdruck für den quadratischen<br />

Spannungskoeffizienten α abgeleitet.<br />

Materials for Micro- and nanoelectronics<br />

Modeling the Quadratic Voltage dependence<br />

of high-k MiM capacitors<br />

the introduction of high-k dielectrics into Metal-<br />

Insulator-Metal (MIM) capacitors to reduce the area<br />

attracts much attention. nevertheless, it is still a<br />

challenge to achieve sufficient capacitance voltage<br />

linearity in high-k MIM capacitors.<br />

the origin of the nonlinear capacitance-voltage<br />

behavior of MIM capacitors with Al 2 o 3 , Y 2 o 3 , Hfo 2 or<br />

pr 2 ti 2 o 7 dielectrics is investigated. Based on the electro-optical<br />

Kerr effect, a physical expression for the<br />

quadratic voltage coefficient α is derived.<br />

Abb. 30: C(V)-Kurven von MIM Kondensatoren verschiedener<br />

Dielekrika mit 20 – 30 nm Schichtdicke.<br />

Die durchgezogenen Linien repräsentieren das Modell.<br />

Fig. 30: C(V) curves of MIM capacitors for different dielectrics with<br />

thicknesses of 20 – 30 nm. the solid lines represent the model.<br />

A n n u A l R e p o R t 2 0 0 6


6 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Die gemessenen C(V)-Kurven ausgewählter Hoch-k-Materialien<br />

und die entsprechenden Anpassungen, repräsentiert<br />

durch die Linien, sind in Abb. 30 dargestellt.<br />

Mit Hilfe der erhaltenen intrinsischen Parameter ist es<br />

mittels des Modells möglich, die kritische Schichtdicke<br />

für jedes Hoch-k-Material zu bestimmen, bei der α kleiner<br />

als der erforderliche Wert von 100 ppm / V 2 bleibt.<br />

Mit Kenntnis der kritischen Schichtdicke ist es ebenfalls<br />

möglich, die maximal mögliche Kapazitätsdichte<br />

für die Hoch-k-Materialien zu berechnen. In Abb. 31 ist<br />

dargestellt, wie die kritische Kapazitätsdichte mit der<br />

Dielektrizitätskonstante wächst. Kapazitätsdichten von<br />

3-4 fF / µm 2 können durch den Gebrauch von Hoch-k-<br />

Materialien anstelle von SiO 2 erreicht werden, und die<br />

Kondensatorfläche kann um einen Faktor 2 reduziert<br />

werden.<br />

the measured C(V) curves of selected high-k dielectrics<br />

and the corresponding fits, represented by the<br />

lines, are shown in Fig. 30. By using the determined<br />

intrinsic parameters, the model is capable to provide<br />

the critical thickness of the high-k material. thereby,<br />

α is fixed to the required value of 100 ppm / V 2 . With<br />

the knowledge of the critical thickness, it is also possible<br />

to calculate the maximum capacitance density<br />

with α-values smaller than 100 ppm / V 2 . As shown<br />

in Fig. 31, the critical capacitance density increases<br />

with the dielectric constant. Capacitance densities of<br />

3-4 fF / µm 2 can be achieved by using high-k materials<br />

instead of Sio 2 . therefore, the capacitor area can<br />

be reduced by a factor of 2.<br />

Abb. 31: Berechnete kritische Kapazitätsdichten als Funktion<br />

der Dielektrizitätskonstanten bei α = 100 ppm / V 2 .<br />

Fig. 31: Calculated critical capacitance density as a function<br />

of k-values with α = 100 ppm / V 2 .<br />

[1] J. e. Babcock et al., Ieee eDl 22, 230-233, (2001).<br />

[2] S.-Y. Yun et al., Apl 82 2874-2876, (2003).


Hoch-k-PrAlO 3 auf TiN-bedecktem Si(001)<br />

und Grenzflächenreaktion<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

In der Arbeit wurden PrAlO 3 / TiN auf Si(001) und der<br />

Einfluss von Defekten auf die Leckstromdichte in diesem<br />

Material für die Anwendung in der nächsten Generation<br />

von Halbleiterbauelementen untersucht.<br />

Die fortschreitende Verkleinerung mikroelektronischer<br />

Bauelemente erfordert Isolatoren mit höherer dielektrischer<br />

Konstante k. Der Austausch von SiO 2 durch ein<br />

alternatives Hoch-k-Material erzeugt eine Reihe von Defekten<br />

an den Grenzflächen, welche die dielektrischen<br />

Schichteigenschaften beeinflussen. Das Verstehen der<br />

Thermodynamik und Kinetik des Schichtwachstums<br />

während der Herstellung des Hoch-k-Stapels ist wesentlich,<br />

um die Grenzschichten atomar zu kontrollieren<br />

und die Zahl der Defekte zu minimieren.<br />

Diese Arbeit ist darauf gerichtet, den Einbau von Verunreinigungen<br />

in Hoch-k-Schichten zu untersuchen und<br />

zu vermeiden.<br />

Die Wechselwirkung zwischen dem Substrat und der<br />

Schicht kann leicht zur Bildung schädlicher Defekte<br />

führen, manchmal mit makroskopischem Charakter.<br />

Diese Tendenz kann durch Oberflächenkontamination<br />

und / oder Stöchiometrieschwankungen unterstützt<br />

werden. Wir haben mikroskopische Eigenschaften<br />

(elektrische Aktivität und Formationsenergie) von<br />

Punktdefekten im PrAlO 3 -Dielektrikum untersucht. Es<br />

wurde gefunden, dass die Kontamination durch Sauerstoff<br />

und TiO aus der Schicht zwischen PrAlO 3 und TiN<br />

(Abb. 32) und / oder Wasser (PrAlO 3 ist schwach hygroskopisch)<br />

(Abb. 33) ein Problem im Praseodymaluminat<br />

darstellen können.<br />

Die Zugabe von Al 2 O 3 stabilisiert die Schicht in ihrer<br />

amorphen Struktur und scheint das multivalente Verhalten<br />

von Pr zu unterdrücken.<br />

high-k pralo 3 on tin covered si(001) and<br />

interfacial reaction<br />

the work was done to investigate prAlo 3 / tin on<br />

Si(001) with regard to the influence of defects on the<br />

leakage current density in next generation semiconductor<br />

devices.<br />

the proceeding scaling of microelectronic devices<br />

requires insulator layers with a higher dielectric<br />

constant k. the substitution of Sio 2 by an alternative<br />

high-k material creates a series of defects at the<br />

interfaces which influence the dielectric properties<br />

of the film. understanding the thermodynamics and<br />

kinetics of film growth during fabrication of high-k<br />

gate stacks is vital to establish atomic level control<br />

of interfacial layers and to minimize the number of<br />

defects.<br />

the paper is directed to explore and avoid the incorporation<br />

of impurities into the dielectric high-k layer.<br />

the interaction between the substrate and the film<br />

may readily lead to the formation of detrimental<br />

defects: point defects as well as extended defects,<br />

sometimes of true macroscopic character. this tendency<br />

may be enhanced by surface contamination<br />

and / or local variations in stoichiometry. We analyzed<br />

the microscopic properties (electrical activity<br />

and formation energies) of major point defects in<br />

prAlo 3 dielectrics. We found that contamination with<br />

oxygen and tio coming from tiox interlayer between<br />

prAlo 3 and tin (Fig. 32) or / and water (hygroscopicity<br />

of prAlo 3 ) (Fig. 33) is a problem in the case of<br />

pr 2 o 3 / Al 2 o 3 alloying.<br />

the addition of Al 2 o 3 to pr 2 o 3 stabilizes the film in its<br />

amorphous structure and seems to suppress the multivalent<br />

behavior of pr.<br />

A n n u A l R e p o R t 2 0 0 6<br />

7


Der Leckstrom ist nach dem Tempern über 700°C unabhängig<br />

von der Ausheizart (z.B.: schnelles Heizen<br />

[RTA] oder bei konventionellem Ofenheizen) signifikant<br />

erhöht. Wir argumentieren, dass die Wechselwirkung<br />

zwischen der PrAlO 3 Schicht und dem TiN Substrat<br />

(Abb. 32) so genannte „Hot Spots“ verursacht, welche<br />

mit der Anwesenheit von TiAl+ Donatoren verbunden<br />

sind. Wir erwarten, dass durch das Ausheizen über 700 °C<br />

in der Umgebung der Zwischenschicht zu TiN Donatoren<br />

in höherer Konzentration erzeugt werden und dabei<br />

vorzugsweise in der Region in der ein Überschuss von<br />

Pr-Oxiden und eine hohe Konzentration von Volumendefekten<br />

vorliegt.<br />

Abb. 32: Schichtstruktur von PrAlO 3 / TiN auf Si(001) TiO 2 / TiNO x<br />

bzw. Hydroxid an den Grenzen von PrAlO 3 .<br />

Fig. 32: layered structure of prAlo 3 / tin on Si(001).<br />

tio 2 / tino x and hydroxide flank the prAlo 3 layer.<br />

8 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

the leakage current is significantly increased if the<br />

anneal takes place at temperatures higher than 700 °C,<br />

independent of the annealing method (i.e. by rapid<br />

thermal anneal or by using a conventional oven). We<br />

argue that the interaction between prAlo 3 film and<br />

the tin substrate (Fig. 32) causes the formation of<br />

hot spots which are possibly associated with the presence<br />

of tiAl+ donors. We expect that annealing at<br />

temperatures above 700 °C produces these donors at<br />

a high concentration in the vicinity of the interface<br />

with tin and preferably in regions where there is an<br />

excess of pr oxide and a high concentration of open<br />

volume defects.<br />

Praseodymium Oxygen / Sauerstoff<br />

Abb. 33: OH-Gruppen, eingebaut in einer Pr 2 O 3 -Matrix:<br />

auf Zwischengitterplätzen als (OH) I - und im Gitter<br />

als (OH) O + .<br />

Fig. 33: oH groups trapped in pr 2 o 3 matrix:<br />

interstitial (oH) I - and substitutional (oH) o + .


Halbleiter/Isolator/Halbleiter Heterostrukturen<br />

hoher Qualität: Grenzflächenund<br />

Gitteranpassungsansätze<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Ziel des Projektes ist die globale bzw. lokale Integration<br />

neuer Materialien in die Silizium-Technologieplattform,<br />

um durch die Verfügbarkeit alternativer Halbleiterschichten<br />

geeigneter Qualität die Funktionalität<br />

und Leistung der Si-basierten Schaltkreistechnologie<br />

weiter auszubauen.<br />

Halbleiter-basierte integrierte Schaltkreise werden bis<br />

zum heutigen Tage hauptsächlich in monolithischen<br />

Materialien angefertigt, deren Gitterkonstanten von der<br />

Natur vorgegeben sind. Da die Materialeigenschaften<br />

selbstverständlich für die Leistungsfähigkeit der Schaltkreise<br />

wichtig sind, entwickelten sich in der Vergangenheit<br />

je nach Anwendung verschiedene Halbleitermaterialien<br />

als Plattform für verschiedene Technologien.<br />

Die Silizium-Technologie ist ohne Zweifel dominant auf<br />

dem Halbleitermarkt und entwickelt sich aufgrund des<br />

wachsenden Bedarfs an digitaler Prozessierung rasant<br />

weiter. In optoelektronischen Anwendungen nahe der<br />

870-nm-Wellenlänge wiederum ist das ternäre Halbleitersystem<br />

AlGaAs auf GaAs-Substraten führend. Und<br />

für die Telekommunikation wächst z. Z. der Bedarf an<br />

InP-Einkristallscheiben, da hierauf InGaAsP-Schichten<br />

gitterangepasst erzeugt werden können. Letzteres<br />

Materialsystem besitzt hervorragende Emissionseigenschaften<br />

im Bereich der Wellenlängen 1,3 und 1,55 µm,<br />

die für die Signalverarbeitung via optische Fasersysteme<br />

mit niedrigem Verlust von hoher Bedeutung sind.<br />

Heutzutage ist folglich nur sehr selten aufgrund dieser<br />

Trennung der Substratplattformen eine Interaktion<br />

zwischen der Silizium- und der III-V- (als auch mit der<br />

aufkommenden II-VI) Halbleiterwelt zu beobachten.<br />

towards high-quality semiconductor /<br />

insulator / semiconductor – heterostructures:<br />

interface & lattice engineering<br />

the project goal is the global and / or local integration<br />

of new valuable semiconductor materials in the silicon<br />

technology platform to extend the performance<br />

and functionality of silicon based integrated circuits<br />

(ICs) by the availability of high quality alternative semiconductor<br />

layers with appropriate properties.<br />

Semiconductor-based integrated circuits (ICs) are<br />

built – to date on monolithic materials, mainly with<br />

lattice constants defined by nature. As the properties<br />

of the materials are important for the performance<br />

of the electronic circuitry, different semiconductor<br />

materials became the technology platform of choice<br />

for the various, targeted applications. Si technology<br />

is undoubtedly the dominant semiconductor market<br />

driven by the thirst for digital processing capability.<br />

In applications involving optoelectronics near the<br />

870 nm wavelength, the AlGaAs alloy system on GaAs<br />

is widely employed. And for telecommunications, Inp<br />

substrates became the bulk semiconductor platform,<br />

as InGaAsp can be grown lattice matched to achieve<br />

the desired 1.3 and 1.55 µm wavelength emission<br />

required for low-loss transmission in optical fibers.<br />

today, an interaction between the mature Si and the<br />

rapidly evolving III-V (as well as the upcoming II-VI)<br />

semiconductor worlds can be rarely observed, certainly<br />

due to the processing of the various technologies<br />

with their targeted applications on different semiconductor<br />

platforms.<br />

A n n u A l R e p o R t 2 0 0 6


Abb. 34: Transmissionselektronenmikroskopie (TEM) –<br />

Studie entlang des Si Azimuts des<br />

epi-Si 0,5 Ge 0,5 / (Y 2 O 3 ) 1-x (Pr 2 O 3 ) x (x=0,5 bis 0,75 ) /<br />

Si(111) Systems: (a) Übersicht; (b) Grenzflächen;<br />

(c) Heteroepitaktische Stapelfolge (siehe Text).<br />

60 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Die Vision auf dem Forschungsgebiet der „Engineered<br />

Wafer Systems“ ist die Steigerung der Funktionalität<br />

und / oder Leistungsfähigkeit integrierter Schaltkreise<br />

durch die Überwindung dieser Trennung der elektronischen<br />

Systeme, die historisch bedingt ist, durch die<br />

Verfügbarkeit der verschiedenen Substrate. Die Hauptforschungsrichtung<br />

am <strong>IHP</strong> ist die monolithische Integration<br />

gitterangepasster bzw. gitterfehlangepasster<br />

alternativer Halbleiter in die Silizium-Plattform, da<br />

diese die reifste und daher auch kommerziell dominierende<br />

Technologie darstellt. Methodisch konzentriert<br />

sich der Forschungsansatz auf die Abscheidung ein-<br />

kristalliner Puffer- und alternativer Halbleiterschichten<br />

mittels der Heteroepitaxie, da neben der Flexibilität<br />

diese Technik eine v.a. kosteneffektive Integration in<br />

die Halbleitertechnologie eröffnet.<br />

the vision of engineered wafer systems is to increase<br />

the functionality and / or performance of integrated<br />

circuits by overcoming these limitations of electronic<br />

systems, brought about by the historical separation<br />

of the different semiconductor platforms. the main<br />

research objective at the IHp is the monolithic integration<br />

of lattice matched or mismatched alternative<br />

semiconductor thin film materials on the mainstream<br />

silicon platform, as the latter is the most mature and<br />

in consequence the commercially most dominant semiconductor<br />

technology worldwide. thereby, the film<br />

deposition method of choice in this research project<br />

is focused on the integration via heteroepitaxy by<br />

the subsequent deposition of single crystalline buffer<br />

and alternative semiconductor layers on the silicon<br />

wafer. this method offers besides the high flexibility<br />

to address in principle global as well as local integration<br />

approaches the important advantage to be appropriate<br />

for achieving the cost-effective integration<br />

of alternative semiconductor materials in the silicon<br />

technology platform under conventional cleanroom<br />

conditions.<br />

Fig. 34: transmission electron Microscopy (teM) Study along<br />

the Si azimuth of the epi-Si 0.5 Ge 0.5 /<br />

(Y 2 o 3 ) 1-x (pr 2 o 3 ) x (x=0.5 to 0.75) / Si(111) system:<br />

(a) overview image; (b) interface study;<br />

(c) heteroepitaxial stacking configuration (see text).


a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Der patentierte <strong>IHP</strong>-Ansatz besteht in der Erzeugung<br />

von einkristallinen, ternären (A 2 O 3 ) 1-x (B 2 O 3 ) x<br />

(x = 0 bis 1) Mischoxidpuffern auf Silizium-Substraten.<br />

Die 100 %ige Mischbarkeit der Oxidkomponenten<br />

A 2 O 3 und B 2 O 3 ist gewährleistet, da beide in der Ia-3<br />

Kristallstruktur kristallisieren. Aufgrund der weiten<br />

Verbreitung dieser A 2 O 3 -Oxidstruktur über das Periodensystem<br />

ergibt sich eine hohe Flexibilität bei der Integration<br />

von Heterostrukturen mit funktionalen Halbleiterschichten<br />

in Bezug sowohl auf die Gitteranpassung<br />

als auch auf das Maßschneidern von Grenzflächenenergien.<br />

Diese Eigenschaften sind von zentraler Bedeutung<br />

für das Züchten funktionaler Halbleiterschichten<br />

auf dem Puffer mit geschlossener Filmstruktur und hoher<br />

einkristalliner Güte. Zur Demonstration sei die Integration<br />

von SiGe-Schichten hohen Ge-Anteils mittels<br />

(Y 2 O 3 ) 1-x (Pr 2 O 3 ) x -Mischoxiden auf Si(111) betrachtet.<br />

TEM-Studien sind in Abb. 34 für das<br />

epi-Si 0,5 Ge 0,5 / (Y 2 O 3 ) 1-x (Pr 2 O 3 ) x (x = 0,5 bis 0,75) / Si(111)-<br />

System gezeigt. Abb. 34(a) demonstriert die geschlossene<br />

epi-Si 0,5 Ge 0,5 (111) Schicht mit atomarer Rauhigkeit.<br />

Abb. 34(b) zeigt die amorphe Grenzfläche (IF)<br />

zwischen Oxidpuffer und Si(111), die zur Isolation<br />

durch thermische Behandlung nach der Oxid-Epitaxie<br />

erzeugt wird. Die hoch auflösende TEM-Studie 34(c) bezeugt<br />

anhand der Pfeile die für eine Typ A / Typ B / Typ<br />

A Epitaxie typische Stapelfolge. Detaillierte synchrotronbasierte<br />

Röntgenstudien erfolgten an der European<br />

Synchrotron Radiation Facility (E.S.R.F) in Frankreich<br />

zur Verifikation der hier skizzierten Ergebnisse an<br />

epi-Si 1-y Ge y (y = 0 bis 1) / (Y 2 O 3 ) 1-x (Pr 2 O 3 ) x (x = 0 bis 1) / Si(111)<br />

Systemen.<br />

the patented IHp materials science approach consists<br />

of the growth of single crystalline, ternary<br />

(A 2 o 3 ) 1-x (B 2 o 3 ) x mixed oxide buffers on silicon substrates.<br />

Mixed oxide buffers with stoichiometries<br />

varying over the full range from x = 0 to 1 can be<br />

prepared due to the fact that both oxide components<br />

crystallize in the Ia-3 crystal structure. As this A 2 o 3<br />

oxide structure type is quite widespread over the<br />

periodic system of elements, the approach offers a<br />

high flexibility for the integration of functional semiconductor<br />

layers by adjusting the lattice dimension<br />

as well as by tailoring the interface energy of the<br />

oxide buffer. these properties are of central importance<br />

for achieving the integration of valuable semiconductor<br />

layers of closed film morphologies and<br />

of high single crystalline quality. the integration of<br />

SiGe films with high Ge content via (Y 2 o 3 ) 1-x (pr 2 o 3 ) x<br />

buffers on Si(111) is discussed in the following to<br />

demonstrate the feasibility of the approach. Fig.<br />

34 summarizes cross section teM studies of the<br />

epi-Si 0.5 Ge 0.5 / (Y 2 o 3 ) 1-x (pr 2 o 3 ) x (x = 0.5 to 0.75) / Si(111)-<br />

system. Fig. 34(a) shows an overview, confirming the<br />

growth of a closed epi-Si 0.5 Ge 0.5 layer with roughness<br />

limited to the atomic scale. Fig. 34(b) shows an amorphous<br />

interface (IF) between the oxide and Si(111),<br />

prepared after the oxide epitaxy step by post deposition<br />

annealing to improve the electrical properties.<br />

the high-resolution study in Fig. 34(c) illustrates by<br />

dashed arrows the stacking configuration, typical for<br />

type A / type B / type A epitaxy. Detailed Synchrotron<br />

based X-ray diffraction studies at the european Synchrotron<br />

Radiation Facility (e.S.R.F) in France were<br />

applied to verify the here sketched results on the epi-<br />

Si 1-y Ge y (y = 0 bis 1) / (Y 2 o 3 ) 1-x (pr 2 o 3 ) x (x = 0 bis 1) / Si(111)<br />

systems.<br />

A n n u A l R e p o R t 2 0 0 6<br />

6


Si-basierte Nanostrukturen für neue<br />

Bauelementeanwendungen<br />

62 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Si-basierte Nanostrukturen besitzen interessante Eigenschaften,<br />

die für zukünftige Anwendungen in der<br />

Elektronik, Optik, Photovoltaik usw. von Bedeutung<br />

sind. Hier berichten wir über Untersuchungen (a) an<br />

multiplen Stapeln aus alternierenden Si- und SiO 2-<br />

Schichten (MQWs = Multi-Quantum-Wells) und (b) an<br />

Si-Nanodrähten (NWs = Nano-Wires).<br />

(a) Die Si / SiO 2 -MQWs haben wir von der RWTH Aachen<br />

erhalten. Sie wurden mit plasmagestützter CVD abgeschieden,<br />

wobei die Dicke der a-Si-Schichten zwischen<br />

2 und 5 nm variiert wurde und die SiO 2 -Schichten eine<br />

Dicke von 3 nm aufwiesen. Der Einfluss von Wärmebehandlungen<br />

(Ofen, RTA, Laser) und Substratmaterialien<br />

(Quarz, Saphir) auf das Kristallisationsverhalten der Si-<br />

Schichten wurde analysiert.<br />

Dabei zeigt z.B. die Mikro-Raman-Spektroskopie, dass<br />

Si-Nanokristalle, die bei RTA entstehen, stark verspannt<br />

sind. Weiterhin wird die metastabile Si-III-Phase gebildet.<br />

Anschliessende Laserbehandlungen reduzieren<br />

die Druckspannung erheblich. Aus PL-Untersuchungen<br />

geht hervor, dass die elektronische Bandlücke mit sinkender<br />

Dicke der Si-Schichten zunimmt, siehe Abb. 35.<br />

Somit erlauben diese MQWs eine kontrollierte Steuerung<br />

der Bandlücke und sind Kandidaten für neue Sibasierte<br />

Tandem-Solarzellen mit sehr hoher Effizienz.<br />

si-based nanostructures for novel device<br />

applications<br />

Si-based nanostructures offer interesting features<br />

for future applications in electronics, optics, photovoltaics,<br />

etc. Here, we report our observations (a)<br />

on multiple quantum wells (MQWs) consisting of alternating<br />

Si and Sio 2 layers and (b) on Si nanowires<br />

(nWs). the objective is to obtain a deeper insight in<br />

such nanostructures which will help to engineer their<br />

properties.<br />

(a) Stacks of Si / Sio 2 MQWs were provided by RWtH<br />

Aachen. they were deposited by remote plasma enhanced<br />

CVD, with a-Si layer thickness ranging from<br />

2-5 nm and Sio 2 -layer thickness of 3 nm. the influence<br />

of thermal treatments (furnace, RtA, laser) and<br />

substrate materials (quartz, sapphire) on Si crystallization<br />

was analyzed.<br />

For example, micro-Raman investigations showed<br />

that Si nanocrystals formed after RtA are under high<br />

residual stress. Moreover, the metastable Si III phase<br />

was detected. the compressive stress could be<br />

relaxed considerably upon laser annealing. From pl<br />

observations we deduce an increase of the bandgap<br />

with decreasing thickness of the Si layer (Fig. 35).<br />

Accordingly, such MQWs structures are candidates for<br />

bandgap engineering which may allow to realize novel<br />

Si based tandem solar cells with high efficiency.


a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

(b) Die Si-NWs mit einem Durchmesser von ca. 20 nm<br />

wurden durch thermische Verdampfung von SiO hergestellt<br />

und uns von der Zhejiang Universität in Hangzhou,<br />

China bereitgestellt. Sie wurden mit Lumineszenzverfahren<br />

untersucht (PL und CL).<br />

Die Spektren weisen eine Reihe von Linien auf, die auf<br />

ausgedehnte Kristalldefekte innerhalb der Si-NWs, auf<br />

die Grenzfläche zwischen dem Si-Kern und der SiO 2 -<br />

Schale der NWs oder auf die Band-Band-Linie zurückzuführen<br />

sind. Besonders interessant ist, dass mit ansteigender<br />

Temperatur die defekt-bedingten D-Linien<br />

stärker werden. Für Raumtemperatur dominiert die D1-<br />

Linie bei 1550 nm das Spektrum mit effizienter Emission<br />

(Abb. 36).<br />

Erst kürzlich wurde beschrieben, dass die Lichtextraktion,<br />

für LEDs aus Verbindungshalbleiter-Material, stark<br />

anwächst, wenn Nanostab / Nanodraht-Anordnungen<br />

an Stelle von kompakten LEDs verwendet werden. Dieser<br />

Ansatz sollte auch für LEDs, die aus Si-NWs bestehen,<br />

gültig sein.<br />

Abb. 35: Aus der Verschiebung des Maximums der Fotolumineszenz<br />

bei Verringerung der Dicke der Siliziumschicht kann auf<br />

die Vergrößerung des Bandabstandes geschlossen werden.<br />

Der Einsatz zeigt ein Fotolumineszenz-Spektrum von 2 nm<br />

dicken Si-Schichten im MQW-Stapel.<br />

Fig. 35: the increase of the bandgap with decreasing thickness of<br />

the Si layers can be deduced from the peak position<br />

measured by pl. the insert shows a pl spectrum for 2 nm<br />

thick Si layers in the MQW stack.<br />

(b) Si nWs with a diameter of about 20 nm fabricated<br />

by thermal evaporation of Sio were provided by Zhejiang<br />

university Hangzhou, China. they were studied<br />

by luminescence (Cl and pl).<br />

the spectra showed a number of lines which are related<br />

to extended crystal defects inside the nWs, to the<br />

interface between the Si core and Si oxide shell surrounding<br />

the Si nWs or to the Si band-band line. Most<br />

interestingly, with increasing temperature the defect<br />

related D lines become stronger. At room temperature<br />

the D1-line at 1550 nm dominates the spectrum with<br />

efficient emission (Fig. 36).<br />

Recently, it was demonstrated that the light extraction<br />

from an leD was strongly enhanced by using<br />

nanorod / nanowire arrays instead of the entire area<br />

of the leD fabricated from direct compound material.<br />

this approach might also be applied for leDs making<br />

use of Si nWs.<br />

Abb. 36: Die Fotolumineszenz-Spektren von Si-Nanodrähten bei<br />

Raumtemperatur zeigen ein neues intensives Band 4<br />

um 1550 nm. Das obere Spektrum wurde bei planarem<br />

Einfall des Elektronenstrahles beobachtet. Das untere<br />

Spektrum wurde am Querschnitt nach Aufspaltung der<br />

Probe gemessen.<br />

Fig. 36: Cl spectra of Si nanowires measured at room temperature<br />

exhibit a new intensive band 4 around 1550 nm. the<br />

upper spectrum was observed with planar incidence of<br />

the electron beam and the lower spectrum was measured<br />

on the cross-section after cleaving the sample.<br />

A n n u A l R e p o R t 2 0 0 6<br />

6


1,5 µm Emission aus einer<br />

Si MOS-LED<br />

6 A n n u A l R e p o R t 2 0 0 6<br />

a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Unser Ziel war es, eine Si-basierte MOS-LED für die<br />

Lichtemission bei 1,5 µm zu demonstrieren, die mit der<br />

Si-Technologie herstellbar ist.<br />

Die optische Übertragung von Daten auf dem Chip wird<br />

in Zukunft benötigt. Für viele Schlüsselkomponenten<br />

konnte bereits gezeigt werden, dass sie mit der Si-Technologie<br />

realisierbar sind. Ein CMOS-kompatibler elektrisch<br />

gepumpter Lichtemitter ist jedoch noch nicht<br />

verfügbar. Dieser Lichtemitter muss bei Raumtemperatur<br />

(RT) eine ausreichend hohe Effizienz aufweisen und<br />

räumlich begrenzt Licht bei 1,5 µm ausstrahlen.<br />

Kürzlich haben wir gezeigt, dass Si-Waferbonden die reproduzierbare<br />

Herstellung von Versetzungsnetzwerken<br />

mit einer geeigneten Struktur erlaubt, die eine dominante<br />

Lumineszenz der D1-Versetzungslinie bei der geforderten<br />

Wellenlänge von 1,5 µm hervorbringt.<br />

Das Auftreten der Band-Band-Linie bei 1,1 µm bei der<br />

Elektrolumineszenz (EL) von MOS-Tunneldioden ist<br />

bereits bekannt. Ein derartiges EL-Spektrum von einer<br />

MOS-Tunneldiode, das bei RT aufgenommen wurde und<br />

bei dem die BB-Linie dominiert, ist in Abb. 37 gezeigt.<br />

Die entsprechenden physikalischen Grundprozesse für<br />

MOS-LEDs auf n- bzw. p-Si sind in Abb. 38 schematisch<br />

dargestellt. Wenn nun ein Versetzungsnetzwerk mit geeigneter<br />

Struktur in der Nähe der Grenzfläche Si / Oxid<br />

platziert wird - und zwar dicht an der Akkumulationsrandschicht<br />

bzw. innerhalb von ihr – dann dominiert<br />

die D1-Line bei 1,5 µm und nicht mehr die BB-Linie.<br />

Dies zeigt das EL-Spektrum in Abb. 39 deutlich. Der<br />

Tunnelstrom wächst mit zunehmender Vorspannung an<br />

der Gate-Elektrode und führt zu einem Anwachsen der<br />

Lumineszenzintensität. Für die hier gezeigte MOS-LED<br />

auf p-Si befindet sich das Versetzungsnetzwerk in etwa<br />

45 nm Tiefe unterhalb der Si / Oxid-Grenzfläche. Auf<br />

dem etwa 1,8 nm dicken Si-Oxid wurde eine 134 nm dicke<br />

Ti-Gate-Elektrode abgeschieden (mit 7,9 x 10 -3 cm 2<br />

Fläche).<br />

1.5 µm emission from a<br />

si Mos-led<br />

our objective is to demonstrate a Si-based MoS-leD<br />

which emits at 1.5 µm and can be made with Si technology.<br />

on-chip optical interconnects will be essential for future<br />

integrated circuits. Many key components that<br />

can be integrated on the chip have already been realized<br />

using Si technology. A CMoS-compatible electrically<br />

pumped Si-based light emitter is still not available.<br />

the desired light emitter should not only exhibit<br />

a high luminescence efficiency at room temperature<br />

(Rt), but must also be spatially confined and emit at<br />

about 1.5 µm.<br />

Recently, we have demonstrated that Si wafer bonding<br />

allows the reproducible formation of dislocation<br />

networks that exhibit a dominating light emission at<br />

the desired wavelength of about 1.5 µm which is originated<br />

by the dislocation-related D1-line.<br />

electroluminescence (el) with emission of the bandto-band<br />

(BB) line at about 1.1 µm has been observed<br />

from a MoS tunnel diode. A corresponding el spectrum<br />

of a MoS tunnel diode exhibiting BB luminescence<br />

at Rt is shown in Fig. 37. the basic processes<br />

in MoS-leDs on n-type and p-type Si, respectively, are<br />

schematically represented in Fig. 38. When a dislocation<br />

network with appropriate structure is positioned<br />

near the Si / oxide interface, close to / within the accumulation<br />

layer, the radiative recombination is dominated<br />

by the D1 line at about 1.5 µm instead of the<br />

BB line. this is clearly seen from the el spectra shown<br />

in Fig. 39. the tunnelling current increases with increasing<br />

gate voltage, leading to an enhancement of<br />

the el intensity. the MoS-leD on p-type Si, with the<br />

dislocation network at a depth of about 45 nm, consisted<br />

of a 134 nm thick ti gate (7.9 x 10 -3 cm 2 ) deposited<br />

on 1.8 nm thick Si oxide.


a u s G e w ä h l t e p r o J e K t e – s e l e c t e d p r o J e c t s<br />

Die von uns demonstrierte neuartige Si-LED für Infrarot-Emission<br />

kommt beispielsweise ohne eine zusätzliche<br />

Er-Dotierung aus und ist voll mit der Si-Technologie<br />

kompatibel. Unsere Abschätzungen zeigen, dass<br />

wir eine RT-Effizienz von etwa 1 % erwarten können,<br />

wenn die von uns vorgeschlagenen Verbesserungen umgesetzt<br />

werden.<br />

Abb. 37: Die Elektrolumineszenz einer MOS Tunneldiode auf p-Si<br />

zeigt bei Raumtemperatur Band-Band Lumineszenz mit<br />

einer Effizienz >0,1 %. Der Einschub zeigt die Abhängigkeit<br />

der Elektrolumineszenz vom Strom.<br />

Fig. 37: el of a MoS tunnel diode on p-Si exhibiting BB luminescence<br />

at Rt with an efficiency > 0.1 %. the insert<br />

shows the dependence of the el signal on the current<br />

level.<br />

the novel Si leD for IR emission does not require<br />

additional er doping and is fully compatible with Si<br />

technology. According to our estimates and proposed<br />

improvements it offers the capability for an efficiency<br />

around 1 % at Rt.<br />

Abb. 38: Modell einer MOS-LED, (a) p-Material mit einem Versetzungsnetzwerk,<br />

das sowohl Versetzungs-Lumineszenz<br />

als auch Band-Band-Lumineszenz zeigen kann (b) n-Si<br />

ohne Versetzungsnetzwerk zeigt nur Band-Band-<br />

Lumineszenz.<br />

Fig. 38: Scheme of MoS-leD, (a) p-type material with dislocation<br />

network, capable of yielding both dislocation and BB<br />

luminescence, (b) n-type Si without network yielding BB<br />

luminescence only.<br />

Abb. 39: Elektrolumineszenz einer MOS-LED bei 80 K unter<br />

negativer Gatespannung mit durch ein Versetzungsnetzwerk<br />

nahe der Si / Oxid-Grenzfläche hervorgerufener<br />

Strahlung bei 1,5 µm. Die Intensität wächst unterpropor-<br />

tional mit zunehmendem Tunnelstrom, wie in den Spektren<br />

bei 2, 5 und 8 mA erkennbar ist. Der Einsatz zeigt die<br />

Strom-Spannungs-Abhängigkeit der LED bei 300 K.<br />

Fig. 39: electroluminescence at 80 K of a MoS-leD under<br />

negative gate bias with 1.5 µm radiation caused by the<br />

dislocation network near the Si / oxide interface. the<br />

intensity is found to increase sub-linearly with<br />

increasing tunneling current as seen from the spectra<br />

measured at 2, 5 and 8 mA, respectively. the insert<br />

represents the I-V characteristic of the leD at 300 K.<br />

A n n u A l R e p o R t 2 0 0 6<br />

6


66 A n n u A l R e p o R t 2 0 0 6<br />

G e M e i n s a M e l a b o r e – J o i n t l a b s<br />

Joint Labs


Gemeinsames Labor <strong>IHP</strong>/BTU<br />

Das Gemeinsame Labor <strong>IHP</strong> / BTU auf dem Campus<br />

der Brandenburgischen Technischen Universität (BTU)<br />

Cottbus besteht seit 2000. Es bündelt die Forschungspotentiale<br />

beider Partner und leistet – unter maßgeblicher<br />

Einbeziehung von Studenten – interdisziplinäre<br />

Forschung auf dem Gebiet der Halbleitermaterialien.<br />

Dabei bezieht es Lehrstühle der BTU in seine Forschungstätigkeit<br />

ein, wie Experimentalphysik II / Materialwissenschaften,<br />

Theoretische Physik, Physikalische<br />

Chemie oder Schaltkreisentwurf. Darüber hinaus ist<br />

auch die Fachhochschule Lausitz mit dem Gemeinsamen<br />

Labor assoziiert und beteiligt sich durch technisch-präparative<br />

Arbeiten.<br />

National arbeitet das Gemeinsame Labor im Rahmen<br />

seiner Projektarbeit mit einer ganzen Reihe von Forschungseinrichtungen<br />

wie dem MPI Halle, den Universitäten<br />

Göttingen, Jena, Stuttgart, RWTH Aachen, HMI<br />

Berlin oder dem IPHT Jena und dem Unternehmen Siltronic<br />

AG, Burghausen, vertraglich zusammen.<br />

Eine wichtige Aufgabe stellt auch der Ausbau der internationalen<br />

Vernetzung des Gemeinsamen Labors dar.<br />

Die BTU und das <strong>IHP</strong> sind über das Gemeinsame Labor<br />

Mitglied im internationalen Konsortium SiWEDS (Silicon<br />

Wafer Engineering & Defect Science Center, siehe<br />

www.mse.ncsu.edu / siweds / ), dem renommierte Halbleiterfirmen,<br />

wie z.B. Texas Instr., Toshiba, Samsung,<br />

Siltronic AG, Centrotherm GmbH, und namhafte Universitäten,<br />

wie z.B. MIT, Stanford, UC Berkeley, angehören.<br />

International wurden neben den bestehenden Verbindungen<br />

in <strong>2006</strong> Zusammenarbeiten mit dem Institut<br />

MESA+ an der Universität Twente (Niederlande) und<br />

mit dem Unternehmen SOITEC S.A. (Frankreich) begonnen.<br />

Ebenso arbeitet das Gemeinsame Labor aktiv im<br />

Europäischen Projekt CADRES (Coordinated Action on<br />

Defects Related to Engineering Advanced Silicon Based<br />

Devices) mit an dem eine große Zahl europäischer Universitäten,<br />

Forschungseinrichtungen und Unternehmen<br />

beteiligt sind.<br />

G e M e i n s a M e l a b o r e – J o i n t l a b s<br />

Joint lab ihp / btu<br />

the Joint lab IHp / Btu located at the campus of the<br />

Brandenburg technical university Cottbus (Btu) was<br />

founded in 2000. It pools the research potential of<br />

the partners IHp and Btu and conducts interdisciplinary<br />

research – with substantial participation of<br />

students – in the field of semiconductor materials.<br />

the Btu chairs experimental physics II / Materials<br />

Science, theoretical physics, physical Chemistry and<br />

Circuit Design are closely involved in its research activities.<br />

the nearby university of Applied Sciences<br />

lausitz is also associated with the Joint lab and contributes<br />

engineering and preparation work.<br />

Within the framework of its research projects, the<br />

Joint lab collaborates on contract basis nation-wide<br />

with various research facilities such as e.g. MpI Halle,<br />

universities in Göttingen, Jena, and Stuttgart, RWtH<br />

Aachen, HMI Berlin or IpHt Jena, and with the wafer<br />

manufacturer Siltronic AG, Burghausen.<br />

the expansion of its international networking is a further<br />

important task of the Joint lab. Btu Cottbus and<br />

the IHp - via IHp / Btu Joint lab - are a member of the<br />

international consortium SiWeDS (Silicon Wafer engineering<br />

& Defect Science Center, see www.mse.ncsu.<br />

edu / siweds / ), associating noted semiconductor<br />

companies, e.g. texas Instruments, toshiba, Samsung,<br />

Siltronic AG, Centrotherm GmbH and well-known universities<br />

such as MIt, Stanford and uC Berkeley. In<br />

addition to the already existing international scientific<br />

contacts, new collaborations with the institute<br />

MeSA+ at university twente (netherlands) and with<br />

the company SoIteC S.A. (France) were started in<br />

<strong>2006</strong>. Moreover, the Joint lab actively participates<br />

in the european project CADReS (Coordinated Action<br />

on Defects Related to engineering Advanced Silicon<br />

Based Devices), which involves numerous european<br />

universities, research facilities and companies.<br />

A n n u A l R e p o R t 2 0 0 6<br />

67


Im Jahr <strong>2006</strong> bearbeitete das Gemeinsame Labor vier<br />

Drittmittelprojekte, darunter zwei BMBF-Projekte, ein<br />

Projekt der Volkswagenstiftung und ein Industrieprojekt.<br />

Der Bund und das Land Brandenburg förderten<br />

bis Ende <strong>2006</strong> im Rahmen des Hochschul- und Wissenschaftprogramms<br />

HWP im Gemeinsamen Labor den<br />

Aufbau eines Kompetenzzentrums für Halbleitermaterialien<br />

und -technologien. In diesem Zusammenhang<br />

wurde die Kernkompetenz des Gemeinsamen Labors<br />

„Maßschneidern der Eigenschaften des Silizium-Materials“<br />

durch grundlagenorientierte Vorlaufforschung<br />

weiter ausgebaut. Im Bewusstsein, dass die entwickelte<br />

Si-Technologie heute über breite Möglichkeiten verfügt<br />

und nach neuen Anwendungen sucht, beteiligt sich das<br />

Gemeinsame Labor an Arbeiten, dem Silizium Eigenschaften<br />

„anzutrainieren“, die seinen künftigen Einsatz<br />

auf neuen Einsatzfeldern gestatten soll.<br />

Basierend auf den Ergebnissen dieser Vorlaufforschung<br />

– zu der z.B. Si-basierte Lichtemitter, Si-basierte Nanostrukturen<br />

wie c-Si / SiO 2 -Schichtstapel und Si-Nanodrähte<br />

oder die kontrollierte Platzierung von Biomolekülen<br />

auf Si für Biochips zählen – werden für das<br />

<strong>IHP</strong> Entscheidungen für seine zukünftige inhaltliche<br />

Ausrichtung vorbereitet.<br />

Die langfristigen Forschungsschwerpunkte des Gemeinsamen<br />

Labors zum Komplex „Silizium“ sollen Beiträge<br />

zur Weiterentwicklung der Mikroelektronik, zur Einführung<br />

einer Si-basierten Nanoelekronik, zur Einführung<br />

einer Si-basierten Photonik, zur Verknüpfung von Si mit<br />

der Biologie und zur Unterstützung der Si-basierten<br />

Photovoltaik liefern. Auf dem letztgenannten Gebiet<br />

ist das Gemeinsame Labor in der BTU-Forschungeinrichtung<br />

CeBra (Centrum für Energietechnologie Brandenburg,<br />

siehe www.tu-cottbus.de / cebra / ) verankert.<br />

68 A n n u A l R e p o R t 2 0 0 6<br />

G e M e i n s a M e l a b o r e – J o i n t l a b s<br />

In <strong>2006</strong> the Joint lab worked on four projects funded<br />

by third parties, among them two projects funded<br />

by the BMBF (Federal Ministry of education and<br />

Research), one project funded by Volkswagenstiftung<br />

and one industry project. Within the framework of<br />

their university and science program, the Federal Republic<br />

of Germany and the State of Brandenburg provided<br />

support for the development of a centre of expertise<br />

for semiconductor materials and technology<br />

in the Joint lab until the end of <strong>2006</strong>. this grant allowed<br />

conducting fundamental cutting-edge research<br />

and strengthening the Joint lab’s core competency<br />

“tailoring of Si material properties”.<br />

Aware of the high capabilities of advanced Si technology<br />

and the constant search for new applications,<br />

the Joint lab participates in research aimed at “teaching”<br />

the silicon material new properties that are<br />

to enable the use of Si in new application areas. the<br />

results of this cutting-edge research, comprising Sibased<br />

light emitters, Si-based nanostructures such<br />

as c-Si / Sio 2 stacks or Si nanowires, and controlled<br />

binding of biomolecules to Si for future biochips,<br />

will serve as a basis for decisions regarding future research<br />

directions at IHp.<br />

the long-term research topics of the Joint lab in the<br />

field of silicon aim to contribute to future developments<br />

in microelectronics, implementation of Sibased<br />

nanoelectronics, implementation of Si-based<br />

photonics, interfacing Si electronics with biology, and<br />

support for Si-based photovoltaics. With the latter research<br />

field, the Joint lab is connected to the Btu<br />

research facility CeBra (Center for energy technology<br />

Brandenburg, see www.tu-cottbus.de / cebra / ).


Die Arbeiten zum Komplex „Silizium“ sind inhaltlich<br />

wie folgt strukturiert und werden im Rahmen von Projekten,<br />

meist in Arbeitsteilung mit externen Partnern,<br />

verfolgt:<br />

Mikroelektronik<br />

- Si für zukünftige Halbleitertechnologien<br />

(Forschungsvertrag mit Siltronic AG)<br />

- Si-basierte Lichtemitter (BMBF-Projekt<br />

„SILEM“ mit MPI Halle und Universität Stuttgart)<br />

- Diagnostik für die Si-Material- und Technologieentwicklung<br />

(Vereinbarung mit SOITEC S.A.,<br />

Frankreich)<br />

Nanoelektronik<br />

- Si / SiO 2 – Schichtstapel mit Dicken im nm-Bereich<br />

(Kooperation mit der RWTH Aachen)<br />

- Si-Nanodrähte (Kooperation mit Zhejiang Univ.,<br />

Hangzhou, VR China)<br />

Verknüpfung Si mit Biomolekülen<br />

- Kontrollierte Platzierung von Biomolekülen auf Si<br />

(Volkswagen-Projekt „SOBSI“ mit MPI Halle,<br />

IPHT Jena, Universität Göttingen)<br />

Photovoltaik<br />

- Bandstrukturdesign für zukünftige Höchsteffizienz-<br />

Solarzellen (BMBF-Projekt „Bandstrukturdesign…“<br />

mit RWTH Aachen, HMI Berlin, Universitäten<br />

Stuttgart und Jena)<br />

- Einfluß von Verunreinigungen auf die elektrische<br />

Wirkung von Kristalldefekten in Si (Vorbereitung<br />

des BMU-Verbundrojektes „SolarFocus“ an dem<br />

sich deutsche Unternehmen der Photovoltaikbranche<br />

und Forschungseinrichtungen wie FhG<br />

ISE Freiburg oder ISF Hameln sowie Hochschulen<br />

wie u.a. Universitäten Konstanz, Freiberg …<br />

beteiligen)<br />

Transport in Si-basierten Quantenstrukturen<br />

- Theorie für schnelle Si-Nanodraht-FETs<br />

Pulsed Laser Deposition<br />

- Erzeugung von Nanostrukturen<br />

Im Jahr <strong>2006</strong> wurden von den <strong>IHP</strong>-Mitarbeitern im Gemeinsamen<br />

Labor mehr als 24 Publikationen veröffentlicht,<br />

46 Vorträge, darunter 7 eingeladene, gehalten und<br />

4 Patente angemeldet. Ein Vortrag auf der Internationalen<br />

Konferenz EDS-<strong>2006</strong> wurde mit dem „Helmut Alexander<br />

Award“ ausgezeichnet.<br />

G e M e i n s a M e l a b o r e – J o i n t l a b s<br />

the research activities within the complex “Silicon”<br />

are organized in the form of projects as given below<br />

and are mostly carried out in collaboration with external<br />

partners:<br />

<strong>Microelectronics</strong><br />

- Si for future semiconductor technologies<br />

(research contract with Siltronic AG)<br />

- Si-based light emitter (project “SIleM”, funded<br />

by BMBF, collaboration with MpI Halle and<br />

university Stuttgart)<br />

- Diagnostics for Si materials and technology<br />

development (non-disclosure agreement with<br />

SoIteC S.A., France)<br />

nanoelectronics<br />

- Si / Sio 2 stacks of nm thickness<br />

(cooperation RWtH Aachen)<br />

- Si nanowires (cooperation with Zhejiang<br />

university, Hangzhou, p.R. China)<br />

interfacing si with biomolecules<br />

- Controlled binding of biomolecules on Si<br />

(project “SoBSI”, funded by Volkswagenstiftung,<br />

collaboration with MpI Halle, IpHt Jena and<br />

university Göttingen)<br />

photovoltaics<br />

- Band structure design for future high-efficiency<br />

solar cells (project funded by BMBF, collaboration<br />

with RWtH Aachen, HMI Berlin, university<br />

Stuttgart, university Jena)<br />

- Impact of impurities on the electrical effect of<br />

crystal defects in Si (preparation of joint project<br />

“SolarFocus”, to be funded by BMu, participation<br />

of German pV companies, research institutes<br />

and universities )<br />

transport in si-based Quantum structures<br />

- theory of fast Si nanowire Fets<br />

pulsed laser deposition<br />

- Manufacturing of nanostructures<br />

In <strong>2006</strong>, more than 24 publications, 46 presentations<br />

(among them 7 invited) and 4 patents originated<br />

from research of IHp scientists in the Joint lab. A<br />

contribution at the international conference eDS-<br />

<strong>2006</strong> received the “Helmut Alexander Award”.<br />

A n n u A l R e p o R t 2 0 0 6<br />

6


Für die laufenden Projekte standen für das Jahr <strong>2006</strong><br />

mehr als 600 T Euro eingeworbene Drittmittel zur Verfügung.<br />

Hervorzuheben ist auch die Beteiligung des Gemeinsamen<br />

Labors an der Ausrichtung von Internationalen<br />

Konferenzen wie der International Conference „Extended<br />

Defects in Semiconductors“ (EDS <strong>2006</strong>) vom<br />

17.- 22. September <strong>2006</strong> in Halle und dem Symposium<br />

„Advanced Silicon for the 21 st Century“ auf dem<br />

EMRS / IUMRS Spring Meeting vom 29.05.-02.06.<strong>2006</strong><br />

in Nizza.<br />

Das Gemeinsame Labor unterstützt das Lehrangebot<br />

der BTU mit Vorlesungen, Übungen und Praktika.<br />

Weiterführende Informationen über das Gemeinsame<br />

Labor sind unter www.jointlab.de abrufbar.<br />

Gemeinsames Labor <strong>IHP</strong>/TFH Wildau<br />

Das gemeinsame Forschungs- und Ausbildungszentrum<br />

(Joint Lab) des <strong>IHP</strong> und der Technischen Fachhochschule<br />

Wildau (TFHW) wurde am 20.02.<strong>2006</strong> in Anwesenheit<br />

der Ministerin für Wissenschaft Forschung und<br />

Kultur des Landes Brandenburg, Frau Prof. Dr. Johanna<br />

Wanka, des Präsidenten der TFH Wildau, Prof. Dr.<br />

László Ungvári, und des Wissenschaftlich-Technischen<br />

Geschäftsführers des <strong>IHP</strong>, Prof. Dr. Wolfgang Mehr,<br />

feierlich eröffnet. Auf der Basis des Forschungs- und<br />

Entwicklungsvertrages <strong>IHP</strong>-TFH Wildau vom 30. April<br />

2005 sind konkrete Schritte zur Koordinierung der Aktivitäten<br />

in Forschung und Lehre an beiden Institutionen<br />

festgelegt worden, die unter dem Dach eines gemeinsamen<br />

Labors, dem „Joint Lab <strong>IHP</strong> / TFHW“ stattfinden<br />

sollen.<br />

Der Forschungsschwerpunkt des Joint Lab ist die Entwicklung<br />

neuartiger siliziumbasierter Devicekonzepte.<br />

70 A n n u A l R e p o R t 2 0 0 6<br />

G e M e i n s a M e l a b o r e – J o i n t l a b s<br />

third party funding of more than 600,000 euR was obtained<br />

for the current projects in <strong>2006</strong>.<br />

Worth emphasizing is the participation of the Joint<br />

lab in the organisation of international conferences<br />

such as the International Conference “extended Defects<br />

in Semiconductors” (eDS <strong>2006</strong>) in Halle and the<br />

Symposium “Advanced Silicon for the 21 st Century” at<br />

the eMRS Spring Meeting <strong>2006</strong> in nice (France).<br />

the Joint lab supports teaching at Btu Cottbus by<br />

lectures, exercises and practical courses.<br />

For further information about the Joint lab IHp / Btu<br />

please visit the website www.jointlab.de.<br />

Joint lab ihp / tFh wildau<br />

the common research and education centre (Joint<br />

lab) of the IHp and the university of Applied Sciences<br />

Wildau (tFHW) was inaugurated on February 20,<br />

<strong>2006</strong> in presence of the minister for science, research<br />

and culture of Brandenburg, prof. Johanna Wanka,<br />

the president of the tFH Wildau, prof. lászló ungvári,<br />

and the scientific director of the IHp, prof. Wolfgang<br />

Mehr. on the basis of the research and development<br />

contract between IHp and tFH Wildau dated April 30,<br />

2005, concrete steps were defined for the coordination<br />

of activities in research and teaching in both institutions<br />

which are to take place under the auspices of<br />

a common lab, the “Joint lab IHp / tFHW”.<br />

the main research focus is on the development of<br />

new silicon-based device concepts.


Im Rahmen des Projektes: „Deposition und Strukturierung<br />

von Funktionsschichten für neuartige Bauelemente<br />

der Informationstechnologie und Sensorik“ wurde ein<br />

siliziumbasierter Testchip entwickelt, der eine detaillierte<br />

Charakterisierung elektronischer Transporteigenschaften<br />

erlaubt. Erste Versuchsmuster dieser Testchips<br />

befinden sich gegenwärtig in der Evaluierungsphase.<br />

Diese Entwicklung wurde im Rahmen des Europäischen<br />

Forschungsnetzwerkes EUROFET (http:www.tfh-wildau.<br />

de / iplpt / eurofet / ) durchgeführt, welches die TFHW<br />

koordiniert. Durch die Entwicklung des Testchips steht<br />

eine schnelle Methode zur Erfassung relevanter elektronischer<br />

Materialparameter zur Verfügung.<br />

Für das Projekt „Minimal-invasiver Glucose Sensor<br />

(MIBS)“ wurden durch Joint-Lab-Mitarbeiter der TFHW<br />

Beiträge bei der Auswahl unterschiedlicher Materialien<br />

geleistet.<br />

Ein weiteres, zentrales Arbeitsgebiet des Joint Lab ist<br />

die gemeinsame Lehre. Dazu gehört die Einbindung<br />

fachlicher Aspekte der Chipherstellung (<strong>IHP</strong>) in das<br />

Studium der „Physikalischen Technik“ an der TFH Wildau.<br />

Ziel ist es, die vorhandenen Module des Hauptstudiums<br />

mit anwendungsorientierten Lehrinhalten aus<br />

dem Bereich der Mikroelektronik zu untersetzen. Damit<br />

wird eine weitere Praxiskomponente, die sich in die<br />

Hauptlinie der Ausbildung an der TFH einordnet, für die<br />

Studierenden angeboten. Zwei Praktika am <strong>IHP</strong> sind<br />

als Pflicht- und Wahlpflichtfach in das Hauptstudium<br />

der „Physikalischen Technik“ integriert. Im Jahre <strong>2006</strong><br />

wurden 12 Praktikums- und Diplomarbeiten durch Studenten<br />

der TFH Wildau am <strong>IHP</strong> angefertigt und durch<br />

Mitarbeiter des <strong>IHP</strong> betreut.<br />

G e M e i n s a M e l a b o r e – J o i n t l a b s<br />

Within the scope of the project: “Deposition and<br />

structuring of functional layers for new device elements<br />

in information and sensor technology“, a silicon-based<br />

test chip which permits a detailed characterization<br />

of electronic transport properties was<br />

developed. presently the first experimental models<br />

of these test chips are in the evaluation phase. this<br />

development was carried out within the scope of the<br />

european research network euRoFet (http:www.tfhwildau.de<br />

/ iplpt / eurofet / ) which is coordinated by<br />

the tFHW. By the development of the test chip a quick<br />

method for aquisition of relevant electronic material<br />

parameters is available.<br />

Members of the Joint lab contributed to the selection<br />

of materials in the project “Minimum-invasive<br />

glucose sensor (MIBS)“.<br />

Another central field of activity in the Joint lab is<br />

common teaching. this includes the integration of<br />

technical aspects of chip processing (IHp) into the<br />

study of “physical technology“ at the tFH Wildau.<br />

the objective is to complement the existing modules<br />

of the main study with application-oriented teaching<br />

contents from the field of microelectronics. In this<br />

way another practice-orientated component is integrated<br />

into the outline of the education at the tFHW<br />

and made available to the students. two training<br />

courses at the IHp are integrated as compulsory and<br />

electoral compulsory subjects into the main study of<br />

“physical technology”. In <strong>2006</strong>, 12 training course<br />

papers and diploma theses were contributed by students<br />

of the tFH Wildau at the IHp which were supervised<br />

by employees of the IHp.<br />

A n n u A l R e p o R t 2 0 0 6<br />

7


72 A n n u A l R e p o R t 2 0 0 6<br />

K o n F e r e n Z e n u n d w o r K s h o p s – c o n F e r e n c e s a n d w o r K s h o p s<br />

Conferences and Workshops


K o n F e r e n Z e n u n d w o r K s h o p s – c o n F e r e n c e s a n d w o r K s h o p s<br />

Workshop des National NanoFab Center (NNFC),<br />

Korea mit dem <strong>IHP</strong> zum Thema „Cooperation in<br />

the Field of Nanotechnology”,<br />

12. – 16. Februar <strong>2006</strong>, Frankfurt (Oder).<br />

Auf diesem durch das BMBF geförderten Workshop informierten<br />

sich die Partner gegenseitig über ihre aktuellen<br />

Forschungsvorhaben und aussichtsreiche Themen<br />

für eine Kooperation. Bei einem Gegenbesuch in Korea<br />

wurde dazu ein Memorandum of Understanding unterzeichnet.<br />

symposium „silicon Materials science and technology<br />

X” innerhalb der 209. ecs-tagung,<br />

8. – 11. Mai <strong>2006</strong>, denver, usa.<br />

Im Mittelpunkt dieser bereits zum zehnten Mal stattfindenden<br />

viertägigen Veranstaltung standen Materialfragen<br />

und technologische Aspekte von Silizium.<br />

Ein Mitarbeiter des <strong>IHP</strong> war maßgeblich an der Vorbereitung<br />

und Durchführung des Symposiums beteiligt.<br />

Workshop „From Research to Innovation”<br />

17. – 19. Mai <strong>2006</strong>, Szczecin, Polen.<br />

Im Rahmen des Workshops wurden Fragen der innovativen<br />

Verwertung von Forschungsergebnissen diskutiert.<br />

Die gemeinsam von Mitarbeitern des <strong>IHP</strong> und der TU<br />

Szczecin organisierte und durchgeführte Veranstaltung<br />

fand im Rahmen des Deutsch-Polnischen Jahres statt.<br />

E-MRS <strong>2006</strong> Spring Meeting,<br />

29. Mai – 2. Juni <strong>2006</strong>, Nizza, Frankreich.<br />

Es wurden drei Symposien maßgeblich durch <strong>IHP</strong>-Mitarbeiter<br />

organisiert:<br />

Symposium A: „Current Trends in Nanoscience -<br />

from Materials to Applications”<br />

Symposium L: „Characterisation of High-k<br />

Dielectric Materials”<br />

Symposium V: „Advanced Silicon for the 21st Century”<br />

workshop of the national nanoFab center (nnFc),<br />

Korea with the ihp on “cooperation in the Field<br />

of nanotechnology”,<br />

February 12 -16, <strong>2006</strong>, Frankfurt (oder).<br />

At this Workshop supported by the BMBF the partners<br />

informed one another about their current research<br />

projects and promising topics for a cooperation. During<br />

a return visit in Korea a Memorandum of understanding<br />

was signed.<br />

symposium “silicon Materials science and technology<br />

X” within the 209th ecs Meeting,<br />

May 8 – 11, <strong>2006</strong>, denver, usa.<br />

In the focus of this four-day meeting, taking place for<br />

the tenth time, were material issues and technological<br />

aspects of silicon.<br />

A member of the IHp was significantly involved in the<br />

preparation and implementation of the symposium.<br />

workshop “From research to innovation”<br />

May 17 – 19, <strong>2006</strong>, szczecin, poland.<br />

During the workshop questions related to the utilization<br />

of research results for innovations were discussed.<br />

the meeting, jointly organized and implemented by<br />

members of the IHp and the technical university of<br />

Szczecin, took place in the context of the Germanpolish<br />

year.<br />

e-Mrs <strong>2006</strong> spring Meeting,<br />

May 29 – 2 June 2, <strong>2006</strong>, nice, France.<br />

three symposia were significantly organized by IHp<br />

staff:<br />

Symposium A: “Current trends in nanoscience -<br />

from Materials to Applications”<br />

Symposium l “Characterisation of High-k<br />

Dielectric Materials”<br />

Symposium V: “Advanced Silicon for the<br />

21st Century”<br />

A n n u A l R e p o R t 2 0 0 6<br />

7


7 A n n u A l R e p o R t 2 0 0 6<br />

K o n F e r e n Z e n u n d w o r K s h o p s – c o n F e r e n c e s a n d w o r K s h o p s<br />

<strong>IHP</strong>-Symposium „Halbleiter und Nanostrukturen“,<br />

14. Juni <strong>2006</strong>, Frankfurt (Oder).<br />

Auf diesem Symposium berichteten namhafte Wissenschaftler<br />

deutscher Forschungseinrichtungen über ihre<br />

aktuellen Arbeiten.<br />

Die vom <strong>IHP</strong> organisierte Veranstaltung diente auch der<br />

Würdigung verdienstvoller Mitarbeiter des Institutes,<br />

die altersbedingt ausscheiden.<br />

14 th Workshop on Dielectrics in <strong>Microelectronics</strong><br />

(WoDiM), 26. – 28. Juni <strong>2006</strong>, Catania, Italien.<br />

Hauptziel des Symposiums war es, Spezialisten auf dem<br />

Gebiet der Dielektrika und deren Anwendungen in der<br />

Mikroelektronik zusammenzubringen.<br />

Ein Mitarbeiter des <strong>IHP</strong> war im internationalen Organisationskomitee<br />

der WoDiM tätig. Im Jahr 2008 wird<br />

diese Tagung durch das <strong>IHP</strong> organisiert und in Bad<br />

Saarow in der Nähe von Frankfurt (Oder) stattfinden.<br />

Fünfter Internationaler Sommerstudiengang Mikroelektronik,<br />

28. August – 2. September <strong>2006</strong>, Frankfurt (Oder).<br />

Zu dieser Veranstaltung zum Thema Technologien und<br />

Materialien für die drahtlose Kommunikation waren<br />

Studenten aus Ost- und Mitteleuropa eingeladen.<br />

Vorbereitet und durchgeführt wurde sie durch das <strong>IHP</strong><br />

gemeinsam mit dem Investorcenter Ostbrandenburg<br />

und der Europauniversität Viadrina.<br />

European Microwave Week, Workshop WS2 „SiGeC<br />

HBT: Device Technology and Applications”,<br />

10. September <strong>2006</strong>, Manchester, Großbritannien.<br />

Auf diesem Workshop wurden die SiGe BiCMOS-Technologien<br />

des <strong>IHP</strong> und deren Anwendung für Radar,<br />

60-GHz-Transceiver, schnelle A/D-Wandler und UWB-<br />

Schaltkreise vorgestellt.<br />

Der Workshop wurde von der Universität Paderborn organisiert<br />

und vom <strong>IHP</strong> durchgeführt.<br />

ihp-symposium “semiconductors and nanostructures“,<br />

June 14, <strong>2006</strong>, Frankfurt (oder).<br />

At this symposium well-known scientists from German<br />

research institutions reported on their current work.<br />

the meeting organized by the IHp also served as a<br />

framework for the appraisal of merited members of<br />

the institute, about to retire from office due to age.<br />

14 th workshop on dielectrics in <strong>Microelectronics</strong><br />

(wodiM), June 26 – 28, <strong>2006</strong>, catania, italy.<br />

the main objective of the symposium was to bring together<br />

specialists working in the field of dielectrics<br />

and all aspects of their applications in microelectronics.<br />

A scientist of the IHp was a member of the international<br />

WoDiM organisation committee. the next symposium<br />

in 2008 will be organized by the IHp and take<br />

place in Bad Saarow near Frankfurt (oder).<br />

Fifth international summer school on<br />

<strong>Microelectronics</strong>,<br />

august 28 – september 2, <strong>2006</strong>, Frankfurt (oder).<br />

the topic of the summer school was technologies and<br />

materials for wireless communication. Students from<br />

east and Central europe were invited to participate.<br />

the meeting was organized and implemented by the<br />

IHp together with the Investor Center ostbrandenburg<br />

and the european university Viadrina.<br />

european Microwave week, workshop ws2 “siGec<br />

hbt: device technology and applications”,<br />

september 10, <strong>2006</strong>, Manchester, uK.<br />

IHp’s SiGe BiCMoS technologies and their application<br />

for radar, 60 GHz transceivers, fast A/D converters and<br />

uWB circuits were presented at the workshop.<br />

the event was organized by the university of paderborn<br />

and implemented by the IHp.


K o n F e r e n Z e n u n d w o r K s h o p s – c o n F e r e n c e s a n d w o r K s h o p s<br />

Internationale Konferenz „Extended Defects in Semiconductors“,<br />

17. – 22. September <strong>2006</strong>, Halle.<br />

Wichtige Themen waren das Auftreten von Defekten bei<br />

der Erzeugung von Nanostrukturen sowie der Vergleich von<br />

Experimenten und der theoretischen Beschreibung mehrdimensionaler<br />

Defekte und Nanostrukturen in Halbleitern.<br />

Ein Mitarbeiter des <strong>IHP</strong> war einer der beiden Vorsitzenden<br />

der Veranstaltung.<br />

Fünfter <strong>IHP</strong>-Workshop „High-Performance SiGe<br />

BiCMOS for Wireless and Broadband Communication”,<br />

25. September <strong>2006</strong>, Frankfurt (Oder).<br />

Auf diesem jährlich stattfindenden Kooperationsworkshop<br />

informierte das <strong>IHP</strong> über seine neuesten<br />

Forschungsergebnisse. Schwerpunkte waren die BiC-<br />

MOS-Technologien und deren Verfügbarkeit für MPW &<br />

Prototyping sowie neueste Hochfrequenzschaltungen.<br />

Der Workshop wurde durch das <strong>IHP</strong> organisiert und unter<br />

Einbeziehung von Partnern durchgeführt.<br />

Zweites Tutorial „<strong>IHP</strong> Design Kits”,<br />

26. – 27. September <strong>2006</strong>, Frankfurt (Oder).<br />

Im Anschluß an den Workshop vom 25. September fand<br />

ein zweitägiges Tutorial statt, bei dem die Teilnehmer<br />

die zur Nutzung der <strong>IHP</strong>-Technologien notwendigen<br />

Spezialkenntnisse erlernen bzw. vertiefen konnten.<br />

Die Veranstaltung wurde vom <strong>IHP</strong> organisiert und durch<br />

die advICo GmbH durchgeführt.<br />

Symposium „SiGe: Materials, Processing, and Devices“<br />

innerhalb der 210. ECS Tagung, 29. Oktober –<br />

3. November <strong>2006</strong>, Canun, Mexico.<br />

Die Konferenz war die zweite Veranstaltung im Rahmen einer<br />

Konferenzreihe, die alle zwei Jahre stattfindet. Schwerkunkte<br />

waren SiGe und Ge vom Material über Aspekte der<br />

Prozesstechnologie bis zu Bauelementeanwendungen.<br />

Ein Mitarbeiter des <strong>IHP</strong> ist für den Prozesstechnologieteil<br />

der Konferenz verantwortlich.<br />

international conference “extended defects in<br />

semiconductors”, september 17 – 22, <strong>2006</strong>, halle.<br />

Key subjects were the appearance of defects in relation<br />

to the formation of nanostructures and the comparison<br />

of experiments and the theoretical description of extended<br />

defects and nanostructures in semiconductors.<br />

An IHp member was one of the two chairpersons of<br />

the conference.<br />

Fifth ihp-workshop “high-performance siGe bic-<br />

Mos for wireless and broadband communication”,<br />

september 25, <strong>2006</strong>, Frankfurt (oder).<br />

At this annual cooperation workshop the IHp informed<br />

about its newest research results. In the focus<br />

were the BiCMoS technologies and their availability<br />

for MpW & prototyping as well as the latest high-frequency<br />

circuits.<br />

the workshop was organized by the IHp and implemented<br />

together with partners.<br />

second tutorial “ihp design Kits”,<br />

september 26 – 27, <strong>2006</strong>, Frankfurt (oder).<br />

Following the workshop on September 25, a two day<br />

tutorial took place during which the participants<br />

learned and reinforced the special knowledge necessary<br />

for the utilization of the IHp technologies.<br />

the tutorial was organized by the IHp and implemented<br />

by the advICo GmbH.<br />

symposium “siGe: Materials, processing, and devices”<br />

within the 210 th ecs Meeting, october 29 –<br />

november 3, <strong>2006</strong>, canun, Mexico.<br />

the conference was the second event within a biannual<br />

series. SiGe and Ge, from materials to aspects of<br />

processing and device applications, were in the focus.<br />

An IHp scientist is responsible for the technology<br />

part of the conference.<br />

A n n u A l R e p o R t 2 0 0 6<br />

7


76 A n n u A l R e p o R t 2 0 0 6<br />

Z u s a M M e n a r b e i t u n d p a r t n e r – c o l l a b o r a t i o n a n d p a r t n e r s<br />

Collaboration and Partners


Industrie / Industry*<br />

Z u s a M M e n a r b e i t u n d p a r t n e r – c o l l a b o r a t i o n a n d p a r t n e r s<br />

AdMoS GmbH, Germany<br />

advICo microelectronics GmbH, Germany<br />

Airbus Deutschland GmbH, Germany<br />

AIXtRon AG, Germany<br />

Alcatel Sel AG, Germany<br />

Alcatel-lucent, Germany<br />

Alfa Microonde snc, Italy<br />

Alpha europe GmbH, Germany<br />

Alpha pacific technologies Co ltd., taiwan<br />

Applied Ceramics europe AG, lichtenstein<br />

Applied Materials GmbH, Germany<br />

ASM europe B.V., the netherlands<br />

Atmel Germany GmbH, Germany<br />

Bio Sensor technologie GmbH, Germany<br />

Centellax Inc., uSA<br />

centrotherm GmbH+Co. KG, Germany<br />

Coreoptics GmbH, Germany<br />

DaimlerChrysler Research Centre, Germany<br />

eADS Deutschland GmbH, Germany<br />

enpirion Inc., uSA<br />

eurescom GmbH, Germany<br />

eVision Systems, Germany<br />

Freescale Semiconductor Germany GmbH, Germany<br />

Gaisler Research, Sweden<br />

Genesys ltd., ukraine<br />

GWt-tuD GmbH, Germany<br />

HtC Consulting, Germany<br />

IBM Research GmbH, Switzerland<br />

IMSt GmbH, Germany<br />

Infineon technologies AG, Munich, Germany<br />

Infineon technologies AG, ulm, Germany<br />

InnoSent GmbH, Germany<br />

Kayser-threde GmbH, Germany<br />

KMSD, lithuania<br />

KotuRA Inc., uSA<br />

leica Camera AG, Germany<br />

lesswire AG, Germany<br />

lintec Information technologies AG, Germany<br />

MeDAV GmbH, Germany<br />

Mergeoptics GmbH, Berlin, Germany<br />

MIpS technologies International AG, Germany<br />

nokia Research Centre, Germany<br />

phasor Solutions, uK<br />

philips Consumer electronics B.V. , the netherlands<br />

philips Research laboratories Aachen, Germany<br />

philotech GmbH, Germany<br />

Qimonda AG Dresden, Germany<br />

Robert Bosch GmbH, Germany<br />

Rohde & Schwarz GmbH & Co. KG, Germany<br />

Sennheiser electronic GmbH & Co. KG, Germany<br />

Siemens AG, Germany<br />

Siltronic AG, Germany<br />

Sitec GmbH, Germany<br />

St<strong>Microelectronics</strong> S.R.l., Italy<br />

Stratalight Communications Inc., uSA<br />

telefunken Radio Communication Systems GmbH und<br />

Co. KG, Germany<br />

teS electronic engineering GmbH, Germany<br />

teS electronic Solutions GmbH, Germany<br />

texas Instruments Deutschland GmbH, Germany<br />

t-Systems nova GmbH, Germany<br />

umicore, Belgium<br />

Wisair ltd., Israel<br />

* Ausgewählte Partner / Selected partners<br />

A n n u A l R e p o R t 2 0 0 6<br />

77


78 A n n u A l R e p o R t 2 0 0 6<br />

Z u s a M M e n a r b e i t u n d p a r t n e r – c o l l a b o r a t i o n a n d p a r t n e r s<br />

Forschungsinstitute und Universitäten /<br />

research institutes and universities*<br />

Aalen university of Applied Sciences, Germany<br />

Astron, the netherlands<br />

Brandenburg technical university, Germany<br />

Brandenburg university of Applied Sciences, Germany<br />

Budapest university of technology and economics,<br />

Hungary<br />

etH Zurich, Switzerland<br />

etRI, Daejeon, Korea<br />

european Synchrotron Radiation Facility, France<br />

european university Viadrina of Frankfurt (oder),<br />

Germany<br />

Fraunhofer IIS, erlangen, Germany<br />

Fraunhofer IpMS, Dresden, Germany<br />

Fraunhofer IZM, Berlin, Germany<br />

Fraunhofer HHI, Berlin, Germany<br />

Freie universität Berlin, Germany<br />

Friedrich-Alexander-university erlangen-nuremberg,<br />

Germany<br />

Friedrich-Schiller-university Jena, Germany<br />

Georg-August-university of Göttingen, Germany<br />

Georgia Institute of technology, uSA<br />

Hahn-Meitner Institute Berlin, Germany<br />

Humboldt university of Berlin, Germany<br />

Institute of Computer Science, ICS-FoRtH, Greece<br />

Istanbul technical university, turkey<br />

ludwig-Maximilians-university of Munich, Germany<br />

Max planck Institute of Microstructure physics,<br />

Germany<br />

Microsoft Innovation Center, Aachen, Germany<br />

national nanoFab Center (nnFC), Korea<br />

national taiwan university, taiwan<br />

politecnico di torino, Italy<br />

progress <strong>Microelectronics</strong> Research Institute,<br />

Moscow, Russia<br />

Radiolabs, Rome, Italy<br />

Rome university “la Sapienza”, Italy<br />

Ruhr-university of Bochum, Germany<br />

RWtH Aachen university, Germany<br />

Sabanci university Istanbul, turkey<br />

Southeast university nanjing, China<br />

Szczecin university of technology, poland<br />

technical university of Berlin, Germany<br />

technical university of Braunschweig, Germany<br />

technical university of Dresden, Germany<br />

technical university of Ilmenau, Germany<br />

technical university of Munich, Germany<br />

technical university of ukraine, ukraine<br />

tohoku university Sendai, Japan<br />

university di Firenze, Italy<br />

university of Applied Sciences Wildau, Germany<br />

university of Bergen, norway<br />

university of Bremen, Germany<br />

university of Bristol, uK<br />

university of California, uSA<br />

university of Cantabria, Spain<br />

university of Chicago, uSA<br />

university of Dortmund, Germany<br />

university of Karlsruhe, Germany<br />

university of Kassel, Germany<br />

university of osnabrück, Germany<br />

university of oulo, Finland<br />

university of paderborn, Germany<br />

university of potsdam, Germany<br />

university of Stuttgart, Germany<br />

university of ulm, Germany<br />

Victoria university of Manchester, uK<br />

Zhejiang university, China<br />

* Ausgewählte Partner / Selected partners


Z u s a M M e n a r b e i t u n d p a r t n e r – c o l l a b o r a t i o n a n d p a r t n e r s<br />

A n n u A l R e p o R t 2 0 0 6<br />

7


G a s t w i s s e n s c h a F t l e r u n d s e M i n a r e – G u e s t s c i e n t i s t s a n d s e M i n a r s<br />

80 A n n u A l R e p o R t 2 0 0 6<br />

Guest Scientists and Seminars


G a s t w i s s e n s c h a F t l e r u n d s e M i n a r e – G u e s t s c i e n t i s t s a n d s e M i n a r s<br />

Gastwissenschaftler / Guest scientists<br />

Gastwissenschaftler Institution Forschungsgebiet<br />

Guest scientists institution research area<br />

1. Mr. e. o. Ates Istanbul technical university, turkey process technology<br />

2. prof. M. Bartel Aalen university of Applied Sciences, Circuit Design<br />

Germany<br />

3. prof. M. Bäumler university of Bremen, Germany Materials Research<br />

4. Dr. M. Grudanov Genesis ltd., Kiev, ukraine System Design<br />

5. Dr. p. Hartogh Max planck Institute for Solar System System Design<br />

Research, lindau, Germany<br />

6. Mr. A. Hudyryev national technical university process technology<br />

of ukraine, Kiev, ukraine<br />

7. Dr. K. Maharatna university of Bristol, uK System Design<br />

8. prof. J. Murota tohoku university, Sendai, Japan process technology<br />

9. Mr. A. Schäfer university of Bremen, Germany Materials Research<br />

10. prof. V. e. Stikanov national technical university Circuit Design<br />

of ukraine, Kiev, ukraine<br />

11. prof. Ch. teichert university of leoben, Austria Materials Research<br />

12. prof. J. Wollschläger university of osnabrück, Germany Materials Research<br />

13. Dr. D. Zheng Kotura Inc., Monterey park, uSA process technology<br />

A n n u A l R e p o R t 2 0 0 6<br />

8


G a s t w i s s e n s c h a F t l e r u n d s e M i n a r e – G u e s t s c i e n t i s t s a n d s e M i n a r s<br />

Seminare / seminars<br />

Vortragender Institution Thema<br />

presenter institution topic<br />

1. Dr. K. Bach X-FAB Semiconductor Foundry “SoI CMoS technologies for<br />

AG, erfurt, Germany Higher Voltages“<br />

2. prof. M. Böhm university of Siegen, Germany “technologies and Components for a<br />

Monolithic Integrated Chemical lab<br />

on a Microchip”<br />

3. Mr. H. Campanella Centro nacional de Micro- “thin-film piezoelectric Resonators (FBAR)<br />

electrónica - Instituto de for High-frequency Applications at CnM”<br />

Microelectrónica de Barcelona,<br />

Spain<br />

4. Mr. A. Chakravorty technical university of Dresden, “A perspective of Bipolar transistor Compact<br />

Germany Modeling with HICuM”<br />

5. prof. R. Fornari Institute of Crystal Growth, Berlin, “Growth of Ferroelectric layers by liquid<br />

Germany Injection MoCVD”<br />

6. prof. u. Gösele Max planck Institute of Micro- “Silicon – new Areas of Application“<br />

structure physics, Halle, Germany<br />

7. Dr. p. Hartogh Max planck Institute for Solar ”Solar System Research by passive<br />

System Research, lindau, Germany Microwave Remote Sensing“<br />

8. Dr. M. Frank IBM, t.J. Watson Research Center, ”oxide-semiconductor Interfaces:<br />

Yorktown Hights, uSA High-k Gate Dielectrics on Si, Ge, and GaAs“<br />

9. prof. e. Kasper university of Stuttgart, Germany “Fast IR-sensitive Ge-Detectors on Si“<br />

82 A n n u A l R e p o R t 2 0 0 6


G a s t w i s s e n s c h a F t l e r u n d s e M i n a r e – G u e s t s c i e n t i s t s a n d s e M i n a r s<br />

Vortragender Institution Thema<br />

presenter institution topic<br />

10. prof. p. Kücher Fraunhofer Center nanoelectronic ”nanoelectronics Beyond Atomic Scaling –<br />

technologies, Dresden, Germany How to Integrate Research into<br />

Manufacturing“<br />

11. prof. H. lichte technical university of Dresden, ”electron Microscopy and electron<br />

Germany Holography for the Investigation of<br />

nano-structures“<br />

12. prof. S. Mantl Research Centre of Jülich, ”new Materials and Concepts for<br />

Germany nano-MoSFets“<br />

13. Dr. M. Mertig technical university of Dresden, ”Bio-nanotechnology<br />

Germany (nanowires and networks)“<br />

14. prof. J. Reif Brandenburg technical university, ”laser-Ablation-Initiated Self-organized<br />

Cottbus, Germany nanostructures on Silicon Surface“<br />

15. Mr. R. Richter Max planck Institute of physics, ”Silicon Radiation Detectors for X-ray<br />

Munich, Germany Astronomy and High-energy physics”<br />

16. prof. H. Strunk Friedrich Alexander university ”Stress Relaxation in Semiconductor<br />

of erlangen-nuremberg, Germany Heterostructures“<br />

17. prof. Ch. teichert university of leoben, Austria ”Self-organization of Semiconductor<br />

nanostructures“<br />

18. prof. e. Weber Fraunhofer Institute for Solar ”the Future of Silicon-based<br />

energy Systems, Freiburg, Germany photovoltaics“<br />

A n n u A l R e p o R t 2 0 0 6<br />

8


8 A n n u A l R e p o R t 2 0 0 6<br />

p u b l i K a t i o n e n – p u b l i c a t i o n s<br />

Publications


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

A Wireless Communication Platform for Long-Term Health Monitoring<br />

Daniel Dietterle, Jean-Pierre Ebert, Gerald Wagenknecht, and Rolf Kraemer<br />

<strong>IHP</strong> microelectronics GmbH, Wireless Communication Systems, PO Box 1466,<br />

15204 Frankfurt (Oder), Germany<br />

{dietterle, ebert, wagenknecht, kraemer}@ihp-microelectronics.com<br />

Abstract<br />

Recent advances in wireless communication technology<br />

have opened the way for long-term health monitoring applications.<br />

In this paper, we introduce the BASUMA project,<br />

which will develop novel biomedical sensors and a wireless<br />

communication platform that provides connectivity among<br />

these sensors. This enables new, intelligent medical applications.<br />

The design and implementation of the wireless medium<br />

access control (MAC) protocol is the major focus of<br />

this paper. We describe our work-in-progress on hardware/software<br />

co-design, in particular, our co-simulation<br />

framework for profiling and performance estimation.<br />

1. Introduction<br />

The application of sensor networks in health care has<br />

attracted much research work ( [6], [7]) in recent years.<br />

Advances in system-on-chip (SoC) design and wireless<br />

communication technology enable the development of tiny,<br />

battery-powered sensor nodes that can be worn on the human<br />

body. Wireless communication among the sensors and<br />

to external medical devices allow patients to move more<br />

freely in a hospital environment or even return to their<br />

homes while their health is being monitored.<br />

This can lead to cost savings due to shorter stays in a<br />

hospital and to an increased quality of life. Furthermore,<br />

long-term continuous health monitoring for chronically ill<br />

patients or patients belonging to a risk group helps to diagnose<br />

symptoms of a disease much earlier than at regular or<br />

emergency visits of a doctor.<br />

Several technological challenges have to be faced before<br />

a working health monitoring system can be deployed. One<br />

aspect concerns the development of miniaturized biomedical<br />

sensors that are battery-powered and still provide sufficient<br />

accuracy. Moreover, new algorithms for diagnosing<br />

the patient’s health state based on possibly inaccurate, however<br />

continuous sensor measurements and combining mea-<br />

proc. ubiCare‘06, 474 (<strong>2006</strong>)<br />

surements from different sensors have to be designed and<br />

validated in practice. Reliability of the system is another<br />

major concern as it must operate correctly without human<br />

intervention for several weeks or months under any circumstances.<br />

The long operating times without replacing batteries<br />

requires an efficient system and the application of effective<br />

power management strategies.<br />

These challenges are addressed by the BASUMA (Body<br />

Area System for Ubiquitous Multimedia Applications) research<br />

project [12]. Within the scope of this interdisciplinary<br />

project, novel biomedical sensors and medical algorithms<br />

for the evaluation of sensor readings as well as<br />

a generic wireless communication platform will be developed.<br />

This paper focuses on the design and implementation<br />

of the BASUMA wireless medium access control (MAC)<br />

protocol for a body area network (BAN). The protocol implementation<br />

needs to be reliable, fulfill the tight resource<br />

constraints, and in particular consume very low power. Because<br />

of its power saving mechanisms and reservationbased<br />

channel access method we base our MAC protocol<br />

on the IEEE 802.15.3 standard [1].<br />

We have modeled the MAC protocol in the Specification<br />

and Description Language (SDL) [2]. However, the timecritical<br />

protocol functionality cannot be realized completely<br />

in software, unless an extremely fast processor is used, leading<br />

to unacceptably high power consumption. Therefore,<br />

our approach is to partition the protocol functionality into<br />

hardware and software.We use profiling of the system to decide<br />

about the hardware/software partitioning and have developed<br />

a co-simulation framework that allows connecting<br />

an instruction set simulator with an SDL simulator. Hardware/software<br />

co-design is not yet completed, therefore we<br />

cannot report any results of this process.<br />

The paper is organized as follows. In Sect. 2, we briefly<br />

introduce the technical objectives of the BASUMA project.<br />

After that, in Sect. 3, our design flow of the MAC protocol<br />

development and results achieved so far are presented. In<br />

Sect. 4, our co-simulation framework is described in detail.<br />

Finally, in Sect. 5, we give a summary of the paper.<br />

A n n u A l R e p o R t 2 0 0 6<br />

85


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

2. Objectives of the BASUMA Project<br />

BASUMA [12] (Body Area System for Ubiquitous Multimedia<br />

Applications) is a research project started in 2004<br />

that has the objective to develop a platform for wireless<br />

communication around the human body. This platform<br />

will consist of hardware and software components that are<br />

specifically designed for small, low-power devices.<br />

The capabilities of the BASUMA platform are to be<br />

demonstrated with a medical application. A number of<br />

battery-powered sensor nodes measuring various bioparameters,<br />

such as heart rate, temperature, or ECG are attached<br />

to the human body and form a wireless network. Additionally,<br />

novel biomedical sensors, such as lactate or ROS<br />

(reactive oxygen species) sensors, are developed within the<br />

scope of the project. While being subject to imperfections<br />

in the measuring environment and much less available energy<br />

compared to stationary laboratory equipment, the sensors<br />

need to be sufficiently sensitive to draw sound conclusions<br />

about the state of health of the patient.<br />

The body area (sensor) network forms the basis for longterm<br />

health monitoring of chronically ill patients. The signals<br />

measured by the sensor nodes are locally analyzed (preprocessed)<br />

and evaluated within the node or network, and<br />

communication with a remote medical center is only initiated<br />

when necessary. An application scenario and the BA-<br />

SUMA hardware architecture are shown in Fig. 1. All nodes<br />

ECG<br />

Lung<br />

sound<br />

Blood<br />

pressure<br />

Accelerometer<br />

86 A n n u A l R e p o R t 2 0 0 6<br />

BASUMA wireless sensor node Sensor<br />

LEON2<br />

Processor<br />

Digital<br />

Baseband<br />

Memory<br />

Body Area Network<br />

(BAN)<br />

Input /<br />

Output<br />

UWB<br />

Frontend<br />

Figure 1. Body area network and BASUMA<br />

hardware architecture<br />

in the BAN are in communication range of each other, hence<br />

multi-hop communication is not required. We investigate<br />

ultra-wide band (UWB) technology as the means of communication.<br />

We assume the IEEE 802.15.3 MAC protocol<br />

[1] as very suitable for medical applications due to its offered<br />

functionality such as reserved time slots, power management,<br />

security features, and network coordinator han-<br />

dover. We have reduced the complexity of the protocol by<br />

omitting not required functions.<br />

Our MAC protocol design flow including hardware/software<br />

co-design is described in more detail in the<br />

following sections. It can be applied not only to communication<br />

protocol implementation, but to any embedded systems<br />

application development as it puts special emphasis on<br />

reliability and efficiency.<br />

3. MAC Protocol Design and Implementation<br />

The starting point of our design flow was the IEEE<br />

802.15.3 MAC protocol specification [1]. We have modeled<br />

the MAC protocol in the Specification and Description<br />

Language (SDL) [2]. SDL is a formal language that<br />

allows systems to be modeled, simulated, verified, and implemented.<br />

It is a popular language for protocol modeling<br />

(cf. [14], [15]). Telelogic TAU SDL Suite [3] is a commercially<br />

available SDL tool that we are using for our research<br />

work. SDL implementations derived by that tool consist<br />

of automatically generated C code and a run-time environment.<br />

By extensively simulating the model we could verify<br />

the correct functionality of our model.<br />

The next step was to target the model to the real-time<br />

operating system (RTOS) Reflex. For this purpose, we developed<br />

a so-called Tight Integration model for Reflex. This<br />

replaces the SDL run-time environment with a tailored, very<br />

efficient RTOS integration layer.<br />

Some of the MAC protocol functionality underlies tight<br />

timing constraints, for instance acknowledgment frame<br />

transmission has to start exactly 10 microseconds after the<br />

end of a received frame. This cannot be achieved with a<br />

pure software implementation as it would require a processor<br />

clocked at a very high frequency leading to high<br />

power consumption. Therefore, some protocol functions<br />

need to be realized in hardware. To find a reasonable hardware/software<br />

partitioning, we apply profiling of the software<br />

model. The functionality that has been mapped to the<br />

hardware partition will then be designed using the hardware<br />

description language VHDL. Finally, software and hardware<br />

are integrated in a test system.<br />

Our design flow is further explained in the following<br />

paragraphs.<br />

3.1. Protocol Modeling in SDL<br />

The MAC protocol was modeled in SDL and simulated<br />

using Telelogic TAU SDL Suite. The SDL description of<br />

system behavior is based on communicating extended finite<br />

state machines (CEFSM) that are executed concurrently.<br />

State machines are represented by SDL processes. Processes<br />

communicate with each other and the system environment<br />

by exchanging asynchronous signals that may


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

carry any number of parameters. SDL also provides timers<br />

that can be configured to generate signals at defined points<br />

in time. Each process in an SDL system contains a FIFO<br />

(First-In-First-Out) input buffer into which the received signals<br />

and timer events are queued.<br />

In our model, the protocol functionality has been divided<br />

into a number of SDL processes, similar to an objectoriented<br />

design approach. Each process is responsible for a<br />

well-defined functionality. For instance, there are processes<br />

that are only needed for devices that are capable to act as<br />

piconet coordinator (PNC). A more detailed description of<br />

our SDL model can be found in [11].<br />

It is possible to connect several instances of the MAC<br />

protocol model to form a network that can be simulated. It<br />

is also possible to formally verify the protocol, however we<br />

used extensive simulation runs in order to validate correct<br />

protocol behaviour. An SDL testbench drives different test<br />

scenarios, for instance, starting and joining a piconet, or<br />

asynchronous and isochronous data exchange.<br />

3.2. Operating System Integration<br />

The validated SDL model is the basis for the MAC protocol<br />

implementation by an automatic transformation. The effort<br />

of re-implementing the protocol in C/C++ would be too<br />

high and error-prone compared to an optimization approach<br />

where inefficient SDL concepts in the model are replaced<br />

by equivalent functions with less overhead. Additionally,<br />

the time to achieve a fully tested implementation is considerable<br />

shortened. Here, instead of using an SDL run-time<br />

environment, we tightly integrate the SDL model with the<br />

underlying OS, in our case Reflex.<br />

Reflex [9] is a tiny, event-flow oriented OS for<br />

deeply embedded systems [8]. Although quite similar to<br />

TinyOS [10] — the operating system most often used for<br />

wireless sensor nodes — we believe it is better tailored<br />

for our system because of its earliest-deadline-first process<br />

scheduling strategy. While TinyOS tasks run to completion<br />

before any other task is scheduled, time-critical tasks<br />

(activities) in Reflex will interrupt lower-priority activities.<br />

Such a behaviour is difficult to achieve in TinyOS. We have<br />

ported Reflex for the LEON2 processor, which we selected<br />

as the general-purpose processor for the BASUMA hardware<br />

platform.<br />

The required memory space for the operating system Reflex,<br />

the integration layer, and a simple SDL system was<br />

measured to be about 20 kbytes for a system targeted for<br />

the LEON2 processor. Further details can be found in [13].<br />

3.3. Hardware/Software Co-Design<br />

The objective of the hardware/software co-design is to<br />

partition the pure software system into hardware and soft-<br />

ware. While being less flexible and more time-consuming<br />

to design than software, hardware implementations can<br />

achieve an order of 100 or 1000 higher processing efficiency.<br />

Thus, by mapping time-critical tasks to hardware, it<br />

is possible to clock the system at a moderate (10-50 MHz)<br />

frequency, thereby minimizing the energy consumption.<br />

To identify bottlenecks in the pure software implementation<br />

and to estimate the required clock frequency to meet<br />

all timing constraints, we perform a profiling of the software.<br />

For that purpose, the software is simulated using the<br />

LEON2 instruction set simulator (ISS) TSIM [4]. TSIM<br />

allows profiling of individual functions. This way, we can<br />

identify functions that are most often called or that consume<br />

most of the processing time.<br />

In order to see whether the protocol implementation<br />

meets its timing requirements, we couple the ISS with the<br />

SDL simulator that simulates a body area network on an<br />

abstract time basis. Only the ISS consumes real time when<br />

executing instructions while, in the SDL simulation, time<br />

advances only when SDL timers expire. Both simulators<br />

are synchronized, so that there is a common time basis and<br />

the correct order of events is ensured. Our co-simulation approach<br />

is presented in the following Sect. 4 in more detail<br />

and shown schematically in Fig. 2.<br />

Abstract<br />

protocol<br />

model<br />

SDL simulator<br />

Abstract<br />

protocol<br />

model<br />

Wireless link model<br />

Instruction set<br />

simulator<br />

Protocol<br />

implement.<br />

model<br />

Figure 2. Co-simulation framework<br />

3.4. Hardware Accelerator Design<br />

The protocol functionality that has been mapped to hardware<br />

in the previous step will be designed in VHDL. As we<br />

are targeting system-on-chip (SoC) implementations, this<br />

protocol accelerator becomes a block of our SoC hardware<br />

platform, attached to the on-chip AMBA high performance<br />

bus (AHB).<br />

Additionally, the protocol accelerator has got an interface<br />

to the physical layer implementation, such that the payload<br />

of received and transmitted frames passes through the<br />

accelerator and can be processed (e.g., by CRC and AES<br />

algorithms) on the fly. When the hardware accelerator is<br />

designed to be a bus master, it can access the RAM to store<br />

or read frame data independently of the processor.<br />

A n n u A l R e p o R t 2 0 0 6<br />

87


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

The use of hardware accelerators as an addition to a<br />

general-purpose processor, which handles all non-timecritical<br />

protocol functionality, has been reported previously<br />

in the literature (cf. [16], [17]) for wireless MAC protocol<br />

implementations. Our hardware platform around<br />

the LEON2 processor including the protocol accelerator is<br />

shown in Fig. 3.<br />

LEON2<br />

proc.<br />

AHB<br />

Controller<br />

Protocol<br />

Accelerator<br />

Memory<br />

Controller<br />

PROM<br />

Memory bus<br />

88 A n n u A l R e p o R t 2 0 0 6<br />

I/O port<br />

Baseband<br />

Processing<br />

IrqCtrl Timers<br />

SRAM<br />

UARTS<br />

APB<br />

RF Frontend<br />

AHB<br />

AHB/APB<br />

Bridge<br />

Figure 3. Block diagram of the BASUMA hardware<br />

platform<br />

3.5. Integration and Test<br />

The final step in the design flow is the integration of the<br />

hardware and software implementations and a test of the<br />

complete system. We are planning to fabricate the wireless<br />

communication platform as a single chip to minimize the<br />

overall power consumption. However, before we tape out<br />

the first SoC, we will test the complete digital system on<br />

an FPGA board and connect it to an external RF frontend<br />

board. The GR-CPCI-XC2V development board [5] from<br />

Pender Electronic Design is well suited for this purpose.<br />

4. Co-Simulation Approach<br />

In this section, we will describe our co-simulation framework<br />

that allows us to join together the Telelogic TAU SDL<br />

simulator with the LEON2 instruction set simulator TSIM<br />

[4]. An application of this co-simulation approach is shown<br />

in Fig. 2.<br />

The SDL simulation as well as the TSIM simulation<br />

both have their own simulation time. In order to guarantee<br />

semantically correct co-simulation runs, both simulations<br />

must be synchronized. In the case of the ISS, time<br />

advances at each processed instruction, while our (abstract)<br />

SDL simulation does not consume time when transitions are<br />

simulated. Only when there are no more active transitions,<br />

the simulation time can advance to the next scheduled SDL<br />

timer or external event.<br />

In our framework, the co-simulation is controlled by the<br />

SDL simulator. The SDL simulator processes transitions in<br />

the SDL model and queries the environment for input signals<br />

by calling the function xInEnv(). It can also output<br />

signals to the environment through the function xOutEnv().<br />

Together with the functions xInitEnv() and xCloseEnv() this<br />

is the interface that Telelogic provides to interact with external<br />

software components from the SDL simulator (Fig. 4).<br />

SDL simulator TSIM library<br />

SDL<br />

model<br />

xInitEnv()<br />

xCloseEnv()<br />

xInEnv()<br />

xOutEnv()<br />

Environment<br />

tsim_init()<br />

tsim_exit()<br />

tsim_cmd()<br />

I/O module<br />

get_signal()<br />

put_signal()<br />

Part of the co−simulation framework<br />

write mem<br />

read mem<br />

Figure 4. Components of the co-simulation<br />

framework<br />

At simulation start, the xInitEnv() function is called by<br />

the SDL simulator. From this function, the ISS is initialized<br />

and the application to be simulated by it is loaded. The<br />

SDL simulator then simulates all active transitions at timestamp<br />

0. When there are no more transitions, it calls the<br />

xInEnv() function to check whether there are external signals<br />

as inputs for the SDL model.<br />

As a parameter of the xInEnv() function, the timestamp<br />

of the next SDL timer that is going to expire is passed. Since<br />

there are no active transitions and no other sources of signals<br />

that could trigger a transition before the indicated timestamp,<br />

it is safe to advance TSIM until it reaches this point<br />

in time in its simulation. The call to continue TSIM is made<br />

from within xInEnv().<br />

When the external (i.e. TSIM simulated) system sends<br />

a signal to the SDL system during that simulation, TSIM<br />

is stopped immediately and control resumes in the xInEnv()<br />

function. Here, the current TSIM simulation time is read<br />

and the abstract SDL simulation time is advanced to reflect<br />

the same point in time. The signal sent from the external<br />

system is input into the SDL model — this is the purpose of<br />

calling the xInEnv() function by the SDL simulator.<br />

When all active transitions have been simulated,<br />

xInEnv() is called again. Consequently, the ISS will be<br />

resumed. With this approach, the SDL simulation time<br />

cannnot advance ahead of the time of the instruction set simulator,<br />

which might lead to a signal being sent from the ISS<br />

to the SDL simulation too late.


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

It is also possible that signals are sent to the TSIM system<br />

from the abstract SDL simulation. For that purpose, a<br />

signal queue has been implemented that stores these signals<br />

and can be read from the application simulated in the ISS.<br />

Whenever a signal is written into that queue, an interrupt<br />

request is created so that the application — after it has been<br />

resumed from xInEnv() — will first read these signals and<br />

process them, in turn.<br />

5. Conclusions<br />

In this paper, we have presented our design flow for a<br />

body area network communication platform and put special<br />

focus on the MAC protocol hardware/software co-design.<br />

The MAC protocol has been modeled in SDL. We are using<br />

the CAdvanced code generator from the Telelogic TAU<br />

SDL Suite for an automatic transformation of the model. A<br />

Tight Integration model targeting the operating system Reflex<br />

has been developed.<br />

We have demonstrated the feasibility of our approach for<br />

resource-constrained embedded systems such as wireless<br />

sensor nodes enabling SDL-based software development for<br />

this class of devices. This could lead to verified and reliable<br />

systems that can be used for long-term, unsupervised applications.<br />

The use of hardware accelerators that take over timecritical<br />

and processing-intensive tasks from the processor is<br />

intended. This way, the processor can be clocked at a lower<br />

frequency and the power consumption is decreased. A cosimulation<br />

framework joining an SDL simulation with an<br />

instruction set simulator has been presented in this paper.<br />

This co-simulation framework will help us to profile our<br />

implementation model.<br />

6. Acknowledgments<br />

This work was partly funded by the German federal ministry<br />

of economics and labour (BMWA) under grant no. 01<br />

MT 306.<br />

References<br />

[1] IEEE Standard 802, ”Part 15.3: Wireless Medium Access<br />

Control (MAC) and Physical Layer (PHY) Specifications for<br />

High Rate Wireless Personal Area Networks,” 2003.<br />

[2] ITU-T, ”ITU-T Recommendation Z.100. SDL: Specification<br />

and Description Language,” 1999.<br />

[3] Telelogic AB. (2004). Telelogic Tau SDL Suite. [Online].<br />

Available: http://www.telelogic.com/products/tau/sdl<br />

[4] Gaisler Research AB. (2005). TSIM Simulator User’s Manual.<br />

[Online]. Available: http://www.gaisler.com/doc/tsim-<br />

1.3.3.pdf<br />

[5] Pender Electronic Design GmbH. (2005). GR-CPCI-<br />

XC2V Development Board User Manual. [Online].<br />

Available: http://www.pender.ch/docs/GR-CPCI-<br />

XC2V user manual.pdf<br />

[6] E. Jovanov et al. ”A wireless body area network of intelligent<br />

motion sensors for computer assisted physical rehabilitation,”<br />

in Journal of Neuroengineering and Rehabilitation,<br />

2(1):6, 2005<br />

[7] R. Bults et al. ”Body Area Networks for Ambulant Patient<br />

Monitoring Over Next Generation Public Wireless Networks,”<br />

in Proc. 3rd IST Mobile and Wireless Communications<br />

Summit, 2004<br />

[8] K. Walther et al. ”Generic Trigger Variables and Event Flow<br />

Wrappers in Reflex,” in ECOOP — Workshop on Programming<br />

Languages and Operating Systems, 2004<br />

[9] J. Nolte. (2005). Reflex - Realtime Event FLow EXecutive.<br />

[Online]. Available: http://www-bs.informatik.tucottbus.de/38.html?&L=2<br />

[10] J. Hill et al. ”System Architecture Directions for Networked<br />

Sensors,” in Architectural Support for Programming Languages<br />

and Operating Systems, 2000, pp. 93–104<br />

[11] D. Dietterle et al. ”High-Level Behavioral SDL Model for<br />

the IEEE 802.15.3 MAC Protocol,” in Proc. of the 2nd International<br />

Conference on Wired/Wireless Internet Communications<br />

(WWIC), P. Langendörfer et al. (eds). Lecture Notes<br />

in Computer Science, Vol. 2957. Springer-Verlag, Berlin<br />

Heidelberg New York, 2004, pp. 165–176<br />

[12] (2005). BASUMA - Body Area System for Ubiquitous<br />

Multimedia Applications. [Online]. Available:<br />

http://www.basuma.de<br />

[13] G. Wagenknecht et al. ”Transforming Protocol Specifications<br />

for Wireless Sensor Networks into Efficient Embedded<br />

System Implementations,” submitted to the Third European<br />

Workshop on Wireless Sensor Networks (EWSN <strong>2006</strong>).<br />

[14] M. Hännikäinen et al. ”Using SDL for Implementing a<br />

Wireless Medium Access Control Protocol,” in IEEE International<br />

Symposium on Multimedia Software Engineering<br />

(MSE 2000), 2000, pp 229–236<br />

[15] E. Grass et al. ”On the Single-Chip Implementation of a<br />

Hiperlan/2 and IEEE 802.11a Capable Modem,” in IEEE<br />

Personal Communications, vol. 8, no. 6, December 2001,<br />

pp. 48–57<br />

[16] M. Haroud et al. ”HW accelerated ultra wide band MAC<br />

protocol using SDL and SystemC,” in Proc. IEEE Radio and<br />

Wireless Conference (RAWCON’04), IEEE, 2004.<br />

[17] D. Dietterle et al. ”Design of a Hardware Accelerator for a<br />

Power-Optimized Implementation of the IEEE 802.11 MAC<br />

Layer,” in Proc. 3rd Int. Conf. on Internet Computing, 2002,<br />

pp. 225–230<br />

A n n u A l R e p o R t 2 0 0 6<br />

89


�<br />

N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

proc. Ieee Workshop on Design and Diagnostics of electronic Circuits and Systems, 50 (<strong>2006</strong>)<br />

90 A n n u A l R e p o R t 2 0 0 6<br />

LEON�2:�General�Purpose�Processor�<br />

for�a�Wireless�Engine�<br />

�<br />

Z.�Stamenković,�C.�Wolf,�G.�Schoof�and�J.�Gaisler*�<br />

<strong>IHP</strong>�GmbH,�Frankfurt�(Oder),�Germany�<br />

*Gaisler�Research,�Göteborg,�Sweden�<br />

�<br />

��������� �� ���� ������ ��������� �� ����� ������ ��� ����<br />

������������������������������������������������������������<br />

����� ��� ����� ��� �� �������� �������� ���������� ���� ���� �������� ���<br />

����������������� ���������� ��������� �������� ���� ������������<br />

����������������������������������������������������������������<br />

��� ���� �������� ��������� ���� ��������� ������ ���� ������<br />

������������ ��� ������������ ������� ��� �� ����� ������ �������<br />

��������������������������������������������������������������������<br />

�<br />

I.� INTRODUCTION�<br />

�<br />

To� harness� complexity� and� decrease� time�to�market,� the�<br />

system� design� process� is� developing,� mainly� going� from�<br />

composing�designs�from�low�level�building�blocks�to�the�reuse�<br />

of� very� complex� ones.� Advanced� System�on�Chip� (SoC)�<br />

designs� are� usually� a� mix� of� externally� sourced� Intellectual�<br />

Property� (IP)� blocks� and� in�house� developed� standard�<br />

functions� and� application� specific� blocks.� We� focus� on�<br />

wireless� communication� SoCs� that� strongly� request� both�<br />

energy� saving� and� real�time� processing� solutions� to� enable�<br />

flexible�designs�according�to�customer�demands.�<br />

Our� final� goal� is� to� design� and� implement� a� wireless�<br />

engine� [1]� that� needs� to� result� in� a� multiprocessor� on� a� chip�<br />

including� a� general� purpose� processor,� custom� processors,�<br />

memory,� standard� input/output� component,� digital� baseband�<br />

and�analog�frontend�(Figure�1).�Several�projects�contribute�to�<br />

accomplish�this�task.�<br />

�<br />

��������� ���������<br />

������� �������<br />

���� ����<br />

������������<br />

������������<br />

������� �������<br />

������ ������<br />

�����������<br />

�����������<br />

���������<br />

���������<br />

��� ���<br />

����� �����<br />

������� �������<br />

�<br />

�<br />

Figure�1:�Illustration�of�the�wireless�engine�approach�<br />

�<br />

Wireless� Broadband� Network�(WBN)� project�focuses�on�<br />

highly� integrated� broadband� wireless� modems� according� to�<br />

1-4244-0185-2/06/$20.00 c�<strong>2006</strong> IEEE 50<br />

IEEE802.11a� [2]� and� HiperLAN2� [3]� standards.� The� WBN�<br />

team� developed� special� hardware/software� co�designs� that�<br />

allow�the�realization�of�wireless�modems�with�full�throughput�<br />

of�54Mb/s�including�the�MAC�layer�[4].�<br />

Wireless� Internet� (WI)� project� develops� a� new� terminal�<br />

oriented�TCP/IP�for�wireless�systems.�The�focus�is�to�raise�the�<br />

energy�efficiency�by�using�a�vertical�optimization�[5].�<br />

Mobile� Business� Engine� (MBE)� project� seeks� a� specific�<br />

application�processor�for�the�wireless�engine�that�targets�highly�<br />

efficient�encryption�operations�to�increase�wireless�privacy�and�<br />

security�[6].�<br />

Test� project� defines� a� new� Design�for�Testability� (DFT)�<br />

approach�and�techniques�for�testing�multiprocessors�on�a�chip.�<br />

To� support� these� projects,� we� develop� a� library� of�<br />

reusable� ASIC� modules� (Modular� Processor� Library� [7])�<br />

particularly�suitable�for�small,�low�power�devices,�as�required�<br />

for� a� wireless� engine.� Powerful� embedded� processors� always�<br />

play�the�crucial�role�in�such�a�library.�<br />

In� starting� phase,� we� implemented� a� high�performance�<br />

low�power�system�on�chip�based�on�LEON�2�processor�system�<br />

[8]� as� a� general� purpose� processor� of� our� wireless� engine�<br />

targeted� to� run� at� maximum� frequency� of� 80� MHz� and� with�<br />

power�consumption�of�500�mW.�<br />

The� paper� is� organized� as� follows.� The� system�<br />

architecture� as� well� as� configuration� issues� are� presented� in�<br />

Section� 2.� Integration� of� system� components� and� verification�<br />

methodology� are� presented� in� Section� 3.� � The� conclusion� is�<br />

given�in�Section�4.�<br />

�<br />

II.� SYSTEM�ARCHITECTURE�AND�CONFIGURATION�<br />

�<br />

LEON�2� processor� system� [8]� is� highly� configurable,�<br />

allowing� the� user� to� customize� it� for� a� certain� application�<br />

(selecting�different�cache�sizes,�multiplier�performance,�clock�<br />

generation,�etc.)�or�target�technology.�It�is�available�as�an�open�<br />

core� in� form� of� a� VHDL� model� describing� the� SPARC� V8�<br />

processor� core,� system� bus� and� peripheral� components� [9].�<br />

New� modules� can� easily� be� added� using� the� on�chip� system�<br />

bus�[10].�A�graphical�configuration�tool�based�on�UNIX�kernel�<br />

scripts� is� used� to� configure� the� system.� The� configuration�<br />

environment�is�modified�to�include�the�<strong>IHP</strong>’s�0.25�m�CMOS�<br />

CDR3�library�[11]�as�a�target�technology�library.�<br />

The�architecture�of�the�configured�system�is�presented�in�<br />

Figure� 2.� The� system� is� based� on� LEON�2� core� connected�<br />

through� the� AMBA� bus� to� system� peripherals.� The� core�


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

integrates�both�instruction�and�data�cache�memories�(ICACHE�<br />

and� DCACHE)� and� corresponding� cache� controllers.� It� also�<br />

includes� an� interface� to� the� AMBA� advanced� high�<br />

performance�bus�(AMBA�AHB)�and�its�controller.�A�memory�<br />

controller�is�attached�to�the�AHB.�It�provides�an�interface�to�an�<br />

external� flash� memory� and� static� RAMs.� The� slower� AMBA�<br />

advanced�peripheral�bus�(AMBA�APB)�is�attached�to�the�AHB�<br />

via� a� bridge.� Two� UARTs,� timer,� I/O� port� and� interrupt�<br />

controller�are�connected�to�the�APB.�<br />

�<br />

��������<br />

����<br />

��������<br />

�������<br />

�����������<br />

����<br />

��<br />

����<br />

����<br />

��������� ��������<br />

����<br />

������ �������<br />

�������<br />

�������<br />

����<br />

�����������<br />

����� ������<br />

�<br />

�<br />

Figure�2:�System�architecture�<br />

�<br />

��� �������������<br />

LEON�2� integer� unit� implements� the� full� SPARC� V8�<br />

standard,� including� all� multiply� and� divide� instructions.� It� is�<br />

based� on� a� 5�stage� instruction� pipeline,� and� separate�<br />

instruction� and� data� cache� interfaces.� The� number� of�register�<br />

windows� is� configurable� within� the� limit� of� the� SPARC�<br />

standard�(2���32).�We�have�decided�for�an�inferred�register�file�<br />

(made�of�flip�flops)�of�8�register�windows.�<br />

�<br />

��� �����������������<br />

Separate� instruction� and� data� caches� are� provided,� each�<br />

configurable� to� 1� �� 64� KB,� with� 16�32� bytes� per� line.� Sub�<br />

blocking� is� implemented� with� one� valid� bit� per� 32�bit� word.�<br />

The� instruction� cache� uses� streaming� during� line�refill� to�<br />

minimize� refill� latency.� The� data� cache� uses� write�through�<br />

policy�and�implements�a�double�word�write�buffer.�Both�cache�<br />

types� can� be� configured� as� a� direct�mapped� or� as� a� multi�set�<br />

cache�with�associativity�of�2���4�implementing�either�the�least�<br />

recently�used� or� the� random� replacement� policy� (a� 2�way�<br />

associative� cache� implements� the� least�recently�replaced�<br />

algorithm).��<br />

We�have�implemented�a�configuration�consisting�of�an�8�<br />

KB� instruction� cache� and� an� 8� KB� data� cache� with� 16� bytes�<br />

per�line.�Each�of�the�caches�consists�of�a�tag�array�and�a�data�<br />

array.�As�associativity�is�one,�the�tag�array�is�23�bit�wide�and�<br />

the�data�array�is�32�bit�wide�in�both�caches.�<br />

Two� embedded� SRAM� blocks� with� size� of� 8� KB� and� 2�<br />

KB�are�used�for�implementation�of�the�tag�and�data�arrays.�The�<br />

tag�arrays�have�been�implemented�of�a�block�of�2�KB�(the�size�<br />

51<br />

is�512�x�32).�Also,�the�data�arrays�have�been�implemented�of�a�<br />

block�of�8�KB�(the�size�is�2048�x�32).�<br />

�<br />

��� ������������������<br />

The�external�memory�bus�is�controlled�by�a�programmable�<br />

memory�controller.�The�controller�acts�as�a�slave�on�the�AHB.�<br />

The�function�of�the�memory�controller�is�programmed�through�<br />

memory�configuration�registers�through�the�APB.�The�memory�<br />

bus�provides�a�direct�interface�to�PROM,�memory�mapped�I/O�<br />

devices� and� asynchronous� static� RAM� (SRAM).� Chip�select�<br />

decoding�is�done�for�two�PROM�banks,�one�I/O�bank�and�five�<br />

SRAM�banks.�Therefore,�there�are�eight�chip�select�signals�in�<br />

the�memory�controller.�<br />

�<br />

��� �����������������������������<br />

LEON�2� processor� system� includes� hardware� debug�<br />

support� to� aid� software� debugging� on� target� hardware.� The�<br />

support�is�provided�through�two�modules:�a�debug�support�unit�<br />

(DSU)�and�a�debug�communication�link�(DCL).�The�DSU�can�<br />

put�the�processor�in�debug�mode,�allowing�read/write�access�to�<br />

all� processor� registers� and� cache� memories.� The� DSU� also�<br />

contains� a� trace� buffer� which� stores� executed� instructions� or�<br />

data�transfers�on�the�AMBA�AHB�bus.�For�simplicity�and�area�<br />

saving,� we� have� not� included� this� buffer� in� the� implemented�<br />

configuration.� The� debug� communications� link� implements� a�<br />

simple� read/write� protocol� and� uses� standard� asynchronous�<br />

UART�communications.�<br />

The� debug� support� unit� is� used� to� control� the� processor�<br />

debug�mode.�The�DSU�is�attached�to�the�AHB�bus�as�a�slave,�<br />

occupying�a�2�MB�address�space.�Through�this�address�space,�<br />

any�AHB�master�can�access�the�processor�registers.�The�DSU�<br />

control� registers� can� be� accessed� at� any� time,� while� the�<br />

processor�registers�and�caches�can�only�be�accessed�when�the�<br />

processor� has� entered� debug� mode.� In� debug� mode,� the�<br />

processor� pipeline� is� held� and� the� processor� is� controlled� by�<br />

the�DSU.�<br />

The� debug� communication� link� consists� of� a� dedicated�<br />

UART� connected� to� the� AHB� bus� as� a� master.� A� simple�<br />

communication� protocol� is� supported� to� transmit� access�<br />

parameters� and� data.� A� link� command� consists� of� a� control�<br />

byte,� followed� by� a� 32�bit� address� and� optional� write� data.�<br />

Through�the�communication�link,�a�read�or�write�transfer�can�<br />

be�generated�to�any�address�on�the�AHB�bus.�<br />

�<br />

��� �������������������<br />

Two�on�chip�buses�are�provided:�AMBA�AHB�and�APB.�<br />

The� APB�is�used�to� access�peripherals�and�on�chip�registers,�<br />

while�the�AHB�is�used�for�high�speed�data�transfers.�The�full�<br />

AHB/APB�standard�is�implemented.��<br />

The� processor� is� connected� to� the� AHB� through� the�<br />

instruction� and� data� cache� controllers.� Access� conflicts�<br />

between� the� two� cache� controllers� are� resolved� locally.� The�<br />

processor� will� perform� burst� transfers� to� fetch� instruction�<br />

cache� lines� or� reading/writing� data� as� results� of� double�<br />

load/store� instructions.� Byte,� half�word� and� word� load/store�<br />

instructions� will� perform� single� (non�sequential)� accesses.�<br />

A n n u A l R e p o R t 2 0 0 6<br />

91


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

Locked� transfers� are� only� performed� on� LDST� and� SWAP�<br />

instructions.� Double� load/store� transfers� are� however� also�<br />

guaranteed�to�be�atomic�since� the�arbiter�will�not�re�arbitrate�<br />

the�bus�during�burst�transfers.�<br />

AHB� is� designed� for� high�performance,� high�clock�<br />

frequency� system� modules.� It� acts� as� a� high�performance�<br />

system� backbone� bus.� This� bus� supports� the� efficient�<br />

connection� of� processors,� on�chip� memories� and� off�chip�<br />

external� memory� interfaces� with� low�power� peripheral�<br />

functions.� LEON�2� uses� the� AMBA�2.0� AHB� to� connect� the�<br />

processor�cache�controllers�to�the�memory�controller�and�other�<br />

high�speed� units.� In� our� configuration,� two� masters� are�<br />

attached�onto�the�bus:�the�processor�and�the�UART�of�debug�<br />

communication� link,� and� three� slaves� are� provided:� the�<br />

memory�controller,�the�debug�support�unit�and�the�AHB/APB�<br />

bridge.�<br />

AHB/APB�bridge�acts�as�the�only�master�on�the�APB.�All�<br />

communication�between�masters�on�the�AHB�and�slaves�on�the�<br />

APB� pass� through� this� bridge.� The� APB� is� optimized� for�<br />

minimal�power�consumption�and�reduced�interface�complexity�<br />

to�support�peripheral�functions.�It�is�configured�to�connect�five�<br />

slaves:� interrupt� controller,� timer,� two� UARTs,� and� parallel�<br />

I/O�port.�<br />

�<br />

��� ���������������������<br />

The�interrupt�controller�is�used�to�prioritize�and�propagate�<br />

interrupt� requests� from� internal� or� external� devices� to� the�<br />

integer�unit.�In�total�15�interrupts�are�handled,�divided�on�two�<br />

priority�levels.�<br />

�<br />

��� �����������<br />

The� timer� unit� implements� two� 24�bit� timers,� one� 24�bit�<br />

watchdog�and�one�10�bit�shared�prescaler.�We�do�not�use�the�<br />

watchdog.�<br />

�<br />

��� ������<br />

Two�identical�UARTs�are�used�for�serial�communications.�<br />

The�UARTs�support�data�frames�with�8�data�bits,�one�optional�<br />

parity� bit� and� one� stop� bit.� To� generate� the� bit�rate,� each�<br />

UART� has� a� programmable� 12�bits� clock� divider.� Hardware�<br />

flow�control� is� supported� through� the� RTSN/CTSN� hand�<br />

shake�signals.�<br />

�<br />

��� ������������������<br />

A� partially� bit�wise�programmable� 32�bit� I/O� port� is�<br />

provided�on�the�chip.�The�port�is�split�in�two�parts���the�lower�<br />

16�bits�are�accessible�via�the�PIO[15:0]�signal�while�the�upper�<br />

16�bits�uses�DATA[15:0]�and�can�only�be�used�when�all�areas�<br />

(ROM,�RAM�and�I/O)�of�the�memory�bus�are�in�8��or�16�bit�<br />

mode.�We�have�used�the�lower�16�bits�of�the�I/O�port�that�can�<br />

be�individually�programmed�as�an�output�or�input.�<br />

�<br />

��� �����������������������<br />

Since� LEON�2� processor� system� is� synthesized� from� an�<br />

extensively� configurable� VHDL� model,� a� configuration�<br />

register� (read�only)� is� used� to� indicate� which� options� were�<br />

92 A n n u A l R e p o R t 2 0 0 6<br />

52<br />

enabled� during� synthesis.� For� each� option� present,� the�<br />

corresponding�register�bit�is�hardwired�to�‘1’.�<br />

�<br />

��� ��������������������<br />

The� processor� can� be� powered�down� by� writing� an�<br />

arbitrary�value�to�the�power�down�register.�Power�down�mode�<br />

will�be�entered�on�the�next�load�or�store�instruction.�To�enter�<br />

the�power�down�mode�immediately,�a�store�to�the�power�down�<br />

register� should� be� performed� followed� by� a� ‘dummy’� load.�<br />

During�power�down�mode,�the�integer�unit�will�effectively�be�<br />

halted.� The� power�down� mode� will� be� terminated� (and� the�<br />

integer� unit� re�enabled)� when� an� unmasked� interrupt� with�<br />

higher�level�than�the�current�processor�interrupt�level�becomes�<br />

pending.� All� other� functions� and� peripherals� operate� as�<br />

nominal�during�the�power�down�mode.�<br />

�<br />

III.� SYSTEM�IMPLEMENTATION�AND�VERIFICATION�<br />

�<br />

For�system�implementation�and�verification,�we�have�used�<br />

the� original� simulation� and� synthesis� scripts� [9]� having�<br />

provided� necessary� modifications.� First,� modifications� have�<br />

been� done� to� incorporate� custom� SRAM� Verilog� simulation�<br />

models�into�the�original�VHDL�processor�model.�<br />

�<br />

��� ����������<br />

The� system� is� fully� synthesizable� with� most� synthesis�<br />

tools.�After�the�configured�processor�system�including�SRAM�<br />

models� had� been� verified,� we� have� modified� the� synthesis�<br />

scripts� to� map� the� design� into� the� target� library.� The� design�<br />

with� directly� instantiated� SRAM� blocks� and� pads� has� been�<br />

synthesized�for�a�target�frequency�of�80�MHz�using�Synopsys�<br />

Design�Compiler� [12].�An�SDF�(Standard�Delay�Format)�file�<br />

of�the�synthesized�gate�level�netlist�has�been�generated�too.�<br />

�<br />

��� �������������<br />

A� generic� testbench� is� provided� for� generation� of� a� few�<br />

testbench�configurations:�FUNC�testbench�performing�a�quick�<br />

check� of� most� on�chip� functions,� MEM� testbench� testing� all�<br />

on�chip�memory�with�patterns�of�0x55�and�0xAA,�and�FULL�<br />

testbench� combining�memory�and�functional� tests,�suitable�to�<br />

generate�test�vectors�for�manufacturing�testing�[9].�Numerous�<br />

simulations�using�these�testbenches�have�been�carried�out�after�<br />

synthesis�to�prove�the�correct�functionality�of�the�design�gate�<br />

level� netlist.� All� the� simulations� without� and� with� the�<br />

corresponding� SDF� file� have� been� done� using� ModelSim�<br />

Simulator� [13].� The� same� simulations� (using� the� original�<br />

testbenches� and� self�made� assembler� program)� are� used� for�<br />

verification�of�the�netlist�of�the�generated�layout.�<br />

�<br />

��� �������<br />

After� functionality� of� the� synthesized� netlist� had� been�<br />

verified,� we� have� created� a� floorplan� using� Cadence� First�<br />

Encounter� [14].� In� floorplanning� phase,� the� memory� blocks�<br />

have� been� placed� as� hard� macros.� Design� layout� has� been�<br />

generated� using� a� standard� sequence� of�the�back�end� process�<br />

steps:� power� planning,� placement,� clock� tree� generation,�


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

routing�and�verification�of�geometry.�The�processor�system�is�<br />

fabricated� in� the� <strong>IHP</strong>’s� 0.25�m� CMOS� technology.� The� chip�<br />

photo�is�shown�in�Figure�3.�Geometrical�and�electrical�features�<br />

of�the�chip�are�summarized�in�TABLE�1.�The�data�show�high�<br />

performance� and� low� power� of� the� implemented� system�on�<br />

chip.�<br />

�<br />

TABLE�1�<br />

CHIP�FEATURES�<br />

Area� 27.2�mm 2�<br />

Number�of�transistors� ~1.500.000�<br />

Number�of�ports� 128�signal�+�16�power�<br />

Maximum�frequency� 85�MHz�<br />

Power�consumption� 530mW@2.5V,�60�MHz�<br />

�<br />

�<br />

�<br />

�<br />

Figure�3:�Chip�photo�<br />

�<br />

��� ������������<br />

The� design�is� highly�testable� as�in�addition�to�functional�<br />

testing�of�the�complete�system�on�chip,�the�SRAM�blocks�have�<br />

been�tested�by�integrated�BIST�and�the�rest�of�the�logic�by�a�<br />

chain�of�scanable�flip�flops�(a�scan�chain).�<br />

Each� SRAM� block� includes� the� BIST� logic,� and�<br />

subsequently,� four� additional� ports:� an� enable� signal,� a� reset�<br />

signal,�a�‘fail’�signal�(which�is�asserted�in�case�of�a�fault)�and�a�<br />

‘done’� signal� (which� is� asserted�when�the�test�is� finished).�A�<br />

Verilog� BIST� testbench� has� been� prepared� for� simulation�<br />

purposes.�<br />

�<br />

�<br />

�<br />

�<br />

�<br />

�<br />

�<br />

�<br />

�<br />

�<br />

53<br />

For� the� inserted� scan�chain� (made� of� more� than� 11000�<br />

scanable� flip�flops),� we� have� generated� more� than� 1300�<br />

manufacturing�test�vectors�by�Synopsys�TetraMAX�Automatic�<br />

Test�Pattern�Generator�in�form�of�a�WGL�file.�A�Verilog�DPV�<br />

testbench� has� been� prepared� for� serial� simulation� of� all� scan�<br />

data�too.��<br />

All�the�tests�(FULL�test,�BIST�and�scan�test)�are�executed�<br />

on�the�Agilent's�chip�tester�93000.�<br />

�<br />

IV.� CONCLUSION�<br />

�<br />

This� paper� presents� an� experience� in� implementation� of�<br />

LEON�2� processor� system� configured� to� play� the� role� of� a�<br />

general� purpose� processor� for� the� <strong>IHP</strong>’s�wireless�engine.�We�<br />

have� demonstrated� the� performance� and� features� of� this�<br />

processor� system� (fabricated� in� the� <strong>IHP</strong>’s� 0.25�m� CMOS�<br />

technology)� that� meet� requirements� imposed� by� target�<br />

application.� The� implemented� processor� system� has� been�<br />

verified�and�become�a�reusable�module�of�our�modular�library.�<br />

�<br />

REFERENCES�<br />

�<br />

1.� <strong>IHP</strong>�–�Innovations�for�High�Performance�microelectronics,�<br />

http://www.ihp�ffo.de/wireless/WLEindx.htm�<br />

2.� http://grouper.ieee.org/groups/802/11�<br />

3.� http://www.hiperlan2.com�<br />

4.� E.�Grass,�K.�Tittelbach�Helmrich,�U.�Jagdhold,�A.�Troya,�G.�Lippert,�O.�<br />

Krüger,� J.� Lehmann,� K.� Maharatna,� K.F.� Dombrowski,� N.� Fiebig,� R.�<br />

Kraemer,� and� P.� Mähönen,� “On� the� single�chip� implementation� of� a�<br />

Hiperlan/2� and� IEEE� 802.11a� capable� modem,”� ����� ���������<br />

�����������������������,�vol.�8,�pp.�48�57,�2001.�<br />

5.� M.�Methfessel,�K.F.�Dombrowski,�P.�Langendörfer,�H.�Frankenfeldt,�I.�<br />

Babanskaja,�I.�Matthaei,�and�R.�Kraemer,�“Vertical�optimization�of�data�<br />

transmission� for� mobile� wireless� terminals,”� ����� ���������<br />

��������������,�vol.�9,�pp.�36�43,�2002.�<br />

6.� P.� Langendörfer,� “Integration� moderner� Hand�<br />

Implementierungstechniken� in� Codegeneratoren,”� ����������� ���<br />

��������,�2001.�<br />

7.� Z.�Stamenković,�G.�Panić,�U.�Jagdhold,�H.�Frankenfeldt,�K.�Tittelbach�<br />

Helmrich,�G.�Schoof,�and�R.�Kraemer,�“Modular�Processor:�A�Flexible�<br />

Library� of� ASIC� Modules,”� ������ ���� ������� ��������������<br />

����������������������������������������������,�pp.�428�432,�2004.�<br />

8.� LEON�2�Processor�User’s�Manual,�http://www.gaisler.com/�<br />

9.� LEON�2�VHDL�Model,�http://www.gaisler.com/products/leon2�<br />

10.� AMBA�On�Chip�Bus�Standard,�ARM�Inc.,�<br />

http://www.arm.com/armtech/AMBA�<br />

11.� <strong>IHP</strong>�–�Innovations�for�High�Performance�microelectronics,�<br />

http://www.ihp�ffo.de/ihpoffer/OFFindx.htm�<br />

12.� Synopsys�Inc.,�http://www.synopsys.com�<br />

13.� Model�Technology,�http://www.model.com�<br />

14.� Cadence�Design�Systems,�http://www.cadence.com�<br />

A n n u A l R e p o R t 2 0 0 6<br />

93


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

An Improved Highly-Linear Low-Power Down-Conversion<br />

Micromixer for 77 GHz Automotive Radar in SiGe Technology<br />

94 A n n u A l R e p o R t 2 0 0 6<br />

Li Wang 1,2<br />

, Rolf Kraemer 1,2<br />

, and Johannes Borngraeber 1<br />

1<br />

<strong>IHP</strong> <strong>Microelectronics</strong>, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany,<br />

2<br />

Technical University of Brandenburg, 03013 Cottbus, Germany<br />

Abstract — This paper proposes a method to improve the nonlinearity<br />

of the differential RF signals, the noise figure and<br />

conversion gain in the existing micromixer through inserting a<br />

transistor that is connected in diode form in the mirrored RF<br />

branch. These improvements are demonstrated by three<br />

micromixers designed at different frequency bands. A 77 GHz<br />

micromixer in 0.25 µm SiGe:C BiCMOS technology using the<br />

proposed method is fabricated and measured. Additionally,<br />

current injection technique is applied in the circuit to increase<br />

gain and reduce power consumption. 13.4 dB gain, 18.4 dB NF<br />

and 1.4 dBm OP1dB are achieved with 176 mW power<br />

consumption at 4.5 V. To the authors’ knowledge, this is the first<br />

reported 77 GHz micromixer.<br />

Index Terms — Automotive radar, BiCMOS, Downconversion,<br />

Gilbert mixers, micromixer, 77 GHz, SiGe.<br />

I. INTRODUCTION<br />

Up to now, most of the reported millimeter-wave radar<br />

front-end were realized with Schottky diodes and<br />

implemented in GaAs technologies for their excellent device<br />

performance. However, the application of GaAs circuits in the<br />

fields such as 77 GHz automotive radar is limited by the high<br />

cost. With the advent of 200 GHz f T SiGe:C technology, it is<br />

attractive to realize the circuits with lower cost and excellent<br />

performance. The so far reported two active mixers in SiGe<br />

technologies in mm-wave range such as V-band (50 to 75<br />

GHz) and W-band (75 to 110 GHz) are a single-balanced (SB)<br />

mixer at 60 GHz [1] and a double-balanced Gilbert (DBG)<br />

mixer at 77 GHz [2]. However, single-ended double- balanced<br />

(SEDB) micromixer in the mm-wave range has not yet been<br />

reported.<br />

In this paper, after a detailed analysis of the existing mixer<br />

structures, we propose an improved micromixer structure,<br />

which improves the RF asymmetry, conversion gain (CG) and<br />

noise figure (NF) compared with other existing micromixer<br />

topologies. The improvement is demonstrated by three designs<br />

using this structure. A 77 GHz down-conversion micromixer<br />

circuit using the proposed structure is fabricated in <strong>IHP</strong>’s lowcost<br />

0.25 µm SiGe:C BiCMOS technology. To the authors’<br />

knowledge, this is the first reported SiGe 77 GHz micromixer.<br />

Compared with the previously published SiGe 77 GHz active<br />

down-conversion mixer [2], measured results of the fabricated<br />

chip demonstrate a 60% better linearity with 41% less power<br />

consumption.<br />

0-7803-9542-5/06/$20.00 ©<strong>2006</strong> IEEE<br />

proc. Internat. Microwave 1834 Symposium (<strong>2006</strong>)<br />

II. ANALYSIS OF CURRENT MIXER STRUCTURES<br />

The current structures of mixer are: SB mixer, DBG mixer,<br />

and SEDB micromixer. SB mixer has the advantages such as<br />

low power consumption, lower requirement for LO power,<br />

good NF, no need of RF balun. However it is limited by the<br />

following: low CG, limited linearity due to the V-I converter,<br />

narrow dynamic range, more IM products, and large LO<br />

leakage. In comparison with SB mixer, DBG mixer has the<br />

double-balanced structure which overcomes the disadvantages<br />

of SB mixer. However it requires higher LO power and either<br />

a differential low noise amplifier (LNA) to provide differential<br />

RF signals or a single-ended LNA with a single-ended to<br />

differential converter. The differential LNA consumes double<br />

power and chip area of the single-ended LNA. Additionally,<br />

the single-ended LNA requests an active single-ended-todifferential<br />

converter whose phase and amplitude unbalance<br />

greatly worsen the linearity of the mixer at the mm-wave<br />

range. Although RF LC balun also converts single-ended<br />

signal to differential signals, it occupies a larger chip area and<br />

can’t provide well-balanced differential signals. SEDB<br />

micromixer has an inherent single-ended port for the RF<br />

signal without using the RF balun, and provides balanced<br />

signal paths for the LO and RF, thus overcomes the<br />

disadvantages of the SB mixer. Furthermore, the advantages<br />

of DBG are preserved in SEDB micromixer. Based on the<br />

above consideration, we choose SEDB structure in our design.<br />

So far, the SEDB mixer were reported only at very low<br />

frequencies. In the next section, we propose a method to<br />

reduce the residual non-linearity caused by asymmetry in RF<br />

signal path and to improve the gain and noise figure compared<br />

to the existing micromixers. The improvement is verified in<br />

W-band.<br />

III. IMPROVED MICROMIXER STRUCTURE<br />

The circuit of mixer consists of a mixer core, an LO buffer,<br />

and an IF buffer. Three existing micromixer structures<br />

reported in [3], [5], and [6] are shown in Fig. 1 (a), (b), and<br />

(c). The improved structure is depicted in Fig. 2. What<br />

differentiates our proposed method from the existing methods<br />

is the mirrored RF upper branch which is marked in a dashed<br />

circle. In other reported micromixer structures shown in Fig.<br />

1, Q6 is either connected in different ways or not used. We<br />

propose to connect a transistor Q6 in a diode form as shown in


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

RF<br />

Q5<br />

Q7<br />

R1<br />

R2<br />

R3<br />

R4<br />

Q6<br />

Q10<br />

Q8<br />

Q11<br />

R11<br />

Vbias<br />

Fig. 2. Circuit of 77 GHz micromixer core with single-ended RF and<br />

differential LO and IF<br />

Delta Gain (dB)<br />

Quad<br />

transistors<br />

Fig. 2. The most important benefit of this way of connecting<br />

Q6 is the better balancing of the amplitude and phase of the<br />

differential RF signals, this is realized by providing a more<br />

symmetric structure for RF signals at node A and B. Then this<br />

results in the cancellation of the individual non-linearity of I1<br />

and I3 and improves the performance of micromixer.<br />

R6<br />

R5<br />

C3<br />

RF<br />

Q5<br />

Q7<br />

Quad<br />

transistors<br />

R1<br />

R2<br />

R3<br />

R4<br />

2<br />

Q8<br />

Q10<br />

Q11<br />

R11<br />

Vbias<br />

(a)<br />

(b)<br />

Fig. 1. Current existing structures of micromixer: (a) Structure in [3], (b) Structure in [5], (c) Structure in [6]<br />

LO+<br />

LO-<br />

RF<br />

4.0<br />

3.0<br />

2.0<br />

1.0<br />

0.0<br />

-1.0<br />

-2.0<br />

-3.0<br />

Vcc<br />

R7 R8 C1 C2 R9 R10<br />

I1<br />

Q1 Q2 Q3 Q4<br />

A<br />

B<br />

I2<br />

Q5<br />

Q7<br />

R1<br />

R2<br />

R3<br />

R4<br />

Q6<br />

I3<br />

Q8<br />

Q10<br />

Q11<br />

a b c<br />

Structures of mixer<br />

R11<br />

R6<br />

R5<br />

C3<br />

0.5<br />

0.0<br />

-0.5<br />

-1.0<br />

-1.5<br />

-2.0<br />

-2.5<br />

-3.0<br />

dG_a@70 GHz dG_b@77 GHz<br />

dG_c@84 GHz dNF_a@70 GHz<br />

dNF_b@77 GHz dNF_c@84 GHz<br />

Fig. 3. Gain and NF comparison among the proposed mixer<br />

structure and existing structures at different frequency bands<br />

IF+<br />

IF-<br />

Vbias<br />

Delta NF (dB)<br />

1835<br />

R6<br />

R5<br />

C3<br />

RF<br />

Q5<br />

Q7<br />

Quad<br />

transistors<br />

R1<br />

R2<br />

R3<br />

To verify the improvement, three circuits were designed at<br />

70 GHz, 77 GHz and 84 GHz, respectively. The gain and NF<br />

between the proposed mixer structure and the existing<br />

mircomixers are compared and illustrated in Fig. 3. We define<br />

dG_x = G_new − G_x, and dNF_x = NF_new − NF_x, where<br />

G_new (or NF_new) and G_x (or NF_x) are conversion gain<br />

(or NF) of the mixer with the new structure and the structure<br />

(x) in Fig. 1 respectively, x denotes a, b, and c. Our structure<br />

reduces the NF compared to the other three structures and the<br />

reduction is more noticeable at higher frequencies. The reason<br />

is that the individual non-linearities of the two output currents<br />

I1 and I3 are cancelled, thus the noise interference part is<br />

removed. The improvement for gain is also positive compared<br />

to structure (a) and (b) with the same reason. Compared to<br />

structure (c), the gain is reduced by 0.09 dB, 0.51 dB, and 0.15<br />

dB for 70 GHz, 77 GHz, and 84 GHz respectively. This is<br />

because that in (c), the incidental inequality of VCE of Q8 helps<br />

to recover some of the current gain in the mirror lost due to its<br />

finite ac beta. Since the structure depicted in Fig. 1. (c) uses<br />

no components in the mirrored RF upper branch, Q8 suffers<br />

avalanche risk. This is particularly important for SiGe<br />

technologies which have a low BVCEO. Since the BVCEO is 1.9<br />

V in the 200 GHz fT SiGe technology, VCE of Q8 is on the<br />

border of BVCEO. Therefore, structure (c) is not suitable for<br />

low BVCEO technologies. In sum, our proposed structure<br />

improves the linearity of the two differential RF signals and<br />

thus increases the gain, reduces the NF without increasing the<br />

complexity of the circuit and avoids the avalanche.<br />

III. CIRCUIT DESIGN<br />

R11<br />

Vbias<br />

The proposed micromixer structure is used in the design of<br />

a 77 GHz mixer. Additionally, current injection [4] is realized<br />

by using R 7 and R 10 to increase the gain without increasing the<br />

tail current; thus, the gain can be improved by using larger<br />

collector load with lower supply voltage. While the internal<br />

circuit has a differential architecture, the LO and RF ports are<br />

single-ended. The input matching for RF port is greatly<br />

facilitated by feeding the single-ended RF signal to the small<br />

resistor R1 which is connected to the emitter of Q5. This<br />

further linearizes the mixer and matches the RF port to 50<br />

R4<br />

(c)<br />

Q8<br />

Q10<br />

Q11<br />

R6<br />

R5<br />

C3<br />

A n n u A l R e p o R t 2 0 0 6<br />

95


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

Ohm. Additionally, the matching still can be finely optimized<br />

by the control voltage Vbias. The internal differential RF<br />

signals are achieved by the current mirror Q 7 and Q 8 without<br />

using RF balun and provides differential RF signals with<br />

improved linearity. At the collector node, RF and LO signals<br />

are filtered out by a Metal-Insulator-Metal (MIM) capacitor to<br />

feed only the low frequency IF signals to the IF buffer.<br />

50 Ohm<br />

LO<br />

Vcc<br />

Fig. 4. Circuit diagram of LO buffer<br />

The differential LO signals are provided by the LO buffer as<br />

shown in Fig. 4. A 50 Ohm resistor and a transmission line are<br />

used at the input to provide a good match to the LO input<br />

probe. Additionally, the LO buffer provides constant gain for<br />

the LO differential signals to provide sufficient power to drive<br />

the mixer. AC coupling is used to remove the DC offset of the<br />

differential LO signals. Furthermore, emitter followers behave<br />

as low impedance interfaces to the mixer core. The circuit of<br />

an IF buffer (not shown) is a one-stage differential amplifier<br />

with emitter degeneration, and it is only used to provide a<br />

matching circuit for the 50 Ohm measurement port without<br />

influencing the linearity and gain of the mixer.<br />

The circuits were fabricated in a SiGe:C HBT self-aligned<br />

single-polysilicon technology with 0.25 µm minimum<br />

lithographic emitter width and four Al metal layers described<br />

in [7]. Collector emitter breakdown voltage BV CEO is 1.9 V.<br />

The f T and f max are up to 200 GHz. The highly lossy substrate’s<br />

resistance is 50 Ωcm.<br />

IV. MEASUREMENT RESULTS AND DISCUSSIONS<br />

The chip photograph is shown in Fig. 5. The chip area is 0.5<br />

mm × 0.55 mm including pads, and 0.3 mm × 0.2 mm without<br />

pads. RF and LO are fed from the opposite sides to improve<br />

the isolation in layout. All the measurements were done on<br />

wafer with mm-wave source module HP 83558A and<br />

multiplier for W-band. The exact input power of LO and RF is<br />

measured by Agilent W8486A W-band power sensor and HP<br />

HP E4419B power meter. The noise figure (NF) was<br />

measured by using the W-band noise source and Aeroflex<br />

PN9000 Phase Noise Test System.<br />

The mixer operates at a supply voltage of 4.5 V with a total<br />

current consumption only 39 mA, and consumes 176 mW<br />

power with 49.5 mW for the mixer core. With 2 dBm LO<br />

96 A n n u A l R e p o R t 2 0 0 6<br />

LO+<br />

LO-<br />

1836<br />

3<br />

Gain (dB)<br />

Gnd Vcc Vb Gnd<br />

Gnd<br />

Gnd<br />

Mixer<br />

LO LO<br />

B uffer<br />

C ore<br />

IF B uffer<br />

R F<br />

Gnd<br />

Gnd<br />

G nd IF+ IF- Gnd<br />

Fig. 5. Chip photo of mixer with chip area of 0.5 × 0.55 mm 2<br />

IF Output Power(dBm<br />

20<br />

15<br />

10<br />

-10<br />

-15<br />

5<br />

0<br />

-5<br />

5<br />

0<br />

-5<br />

-10<br />

-15<br />

-20<br />

-25<br />

-30<br />

-35<br />

-40<br />

Freq_LO= 77 GHz<br />

Power_LO=1 dBm.<br />

Freq_RF=77.1 GHz<br />

-55 -50 -45 -40 -35 -30 -25 -20 -15 -10 -5 0<br />

RF Input Power (dBm)<br />

Fig. 6. Measured IF power versus RF input power<br />

Freq_IF=100 MHz<br />

Power_LO=1 dBm.<br />

versus LO frequency<br />

versus RF frequency<br />

-20<br />

70 75 80 85 90<br />

LO / RF Input Frequency (GHz)<br />

Fig. 7. Measured conversion gain versus RF and LO input<br />

frequency<br />

power, a maximum gain of 13.4 dB at 77 GHz is measured.<br />

Fig. 6 depicts the output power for an IF frequency of<br />

100MHz versus the RF input power. The LO frequency and<br />

power is 77 GHz and 1 dBm respectively. The mixer shows a<br />

wide dynamic range with input 1 dB compression point of -12<br />

dBm. In Fig. 7, the measured CG versus LO and RF input<br />

frequency is shown for 100 MHz IF frequency and 1dBm LO<br />

power. The measured CG versus RF frequency is larger than<br />

10 dB at the center frequency of 77 and 84 GHz and peaks<br />

also for LO frequency at 77 GHz. This demonstrates that good


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

Gain (dB)<br />

15<br />

12<br />

9<br />

6<br />

3<br />

Freq_LO= 77 GHz<br />

Freq_RF=77.1 GHz<br />

Power_RF=-27.7dBm<br />

0<br />

-15 -10 -5 0 5<br />

LO Power ( dBm )<br />

Fig. 8. Measured conversion gain versus LO input power<br />

TABLE I<br />

COMPARISON TO REPORTED 77 GHZ ACTIVE SIGE MIXER<br />

Ref. Technology Gain<br />

[2] 0.18µm<br />

This<br />

work<br />

200GHz f T<br />

0.25µm<br />

200GHz f T<br />

IF<br />

P 1dB<br />

(dB) (MHz) (dBm) (dB)<br />

matching is achieved at both ports. Fig. 8 shows the linearity<br />

of CG versus LO power. CG saturates at 2 dBm LO power.<br />

For 77 GHz LO, CG is 12.6 dB and 13.4 dB gain for LO<br />

power of 1 dBm and 2 dBm respectively. The signals emitting<br />

to the substrate at such band and the highly lossy substrate<br />

result in loss and medium isolation. The measured port<br />

isolation of LO-RF and LO-IF is larger than 34 dB and 28 dB<br />

respectively. 18.4 dB SSB NF is obtained by measuring the<br />

output noise power density utilizing the Gain Method. The<br />

measured output noise power density of the mixer and the<br />

residual noise power density are –160 dBV 2<br />

/Hz and –170<br />

dBV 2 /Hz respectively. The data was obtained by repeating<br />

each step several times with the similar curves.<br />

So far, we have not found reports with measured IP3 result<br />

near 77 GHz range or beyond. The practical problems prohibit<br />

us from building a measurement setup. The mechanical<br />

difficulties encountered during installing the required<br />

equipment and apparatus: two 77 GHz RF sources, a W-band<br />

coupler, a W- band LO source module, and four probes.<br />

Another source (Agilent) using tricky mixing algorithm to<br />

establish two-tone signals works for low frequencies only.<br />

Since all the measured data, including 1 dB compression<br />

point, CG, port matching agree with the simulation data very<br />

well, we can safely use the simulated –2.5 dBm IP3 as<br />

practical metric for mixer. Furthermore, the rule of thumb<br />

states that the real IP3 is around 10 dB larger than the P1dB. That is, the real IP3 can be estimated as -12 dBm + 10 dB = -2<br />

NF<br />

Voltage Power Isolation FOM<br />

(V)<br />

(mW)<br />

(dB)<br />

22 500 -30 >14 -5 300 - -63.77<br />

13.4 100 -12 18.4 4.5 176 >34/<br />

>28<br />

-60.86<br />

1837<br />

4<br />

dBm. This IP3 estimate also well agrees with the simulated<br />

IP3 of -2.5 dBm with only 0.5 dB estimation error. Therefore<br />

we could say that -2.5 dBm IP3 is trustable. Table I shows a<br />

comparison between the so far reported 77 GHz active SiGe<br />

mixer. We choose the new definition of figure-of-merit<br />

(FOM) to have a comprehensive comparison of mixer<br />

performance and show the FOM MIX as an indication.<br />

FOM MIX=(CG-NF)+(MIX LIN-NF)-MIX pwr, where the definitions<br />

for each term can be found in [8]. 60% better linearity and<br />

higher FOM MIX are achieved with 41% less power<br />

consumption.<br />

V. CONCLUSION<br />

A 77 GHz SiGe micromixer with a proposed topology is<br />

presented in 200 GHz SiGe:C technology. 13.4 dB maximum<br />

gain and 18.4 dB NF are achieved with 2 dBm LO power. 1.4<br />

dBm OP 1dB demonstrates the high linearity of the mixer with<br />

176 mW power consumption at 4.5 V. Compared to other<br />

existing structures of micromixers, we demonstrated that our<br />

structure improves the gain and NF without increasing the<br />

circuit complexity. To the authors’ knowledge, this is the first<br />

reported micromixer at 77 GHz.<br />

ACKNOWLEDGEMENT<br />

The authors acknowledge the equipment support from Prof.<br />

A. Thiede University of Paderborn, and Ferdinand-Braun-<br />

Institut (FBI) in Berlin.<br />

REFERENCES<br />

[1] S. K. Reynolds, “A 60-GHz superheterodyne downconversion<br />

mixer in silicon-germanium bipolar technology,” IEEE Journal<br />

Solid-State Circuits, VOL. 39, NO. 11, Nov. 2004, pp. 2065-<br />

2068.<br />

[2] W. Perndl, H. Knapp, M. Wurzer, K. Aufinger, et al., “A lownoise<br />

and high gain double-balanced mixer for 77 GHz<br />

automotive radar front-ends in SiGe:C HBT technology,” IEEE<br />

Radio Frequency Circuits Symposium, 2004, pp. 47-50.<br />

[3] B. Gilbert, “The MICROMIXER: A highly linear variant of the<br />

Gilbert mixer using a bisymmetric class-AB input stage,” IEEE<br />

Journal Solid-State Circuits, VOL. 32, NO. 9, Sep. 1997, pp.<br />

1412-1423.<br />

[4] B. Razavi, “RF microelectronics,” (Prentice Hall. New York.<br />

1998), Chap. 6<br />

[5] C. Meng, T-Han. Wu, T-Hung. Wu, G-W. Huang, “A 5.2 GHz<br />

16 dB CMFB Gilbert downconversion mixer using 0.35 µm<br />

deep trench isolation SiGe BiMCOS technology,” IEEE MTT-S<br />

International Microwave Symposium, 2003, pp.975-978.<br />

[6] C. Y. Wang, S. S. Lu, C. C. Meng, and Y. S. Lin, “A SiGe<br />

micromixer for 2.4/5.2/5.7-GHz multiband WLAN applications,”<br />

IEEE Microwave and Optical Technology Letters Vol. 41, No.<br />

5, Jun. 2004, pp. 343-346.<br />

[7] B. Heinemann, et al.: "Novel collector design for high-speed<br />

SiGe:C HBTs," IEEE IEDM Tech. Dig., 2002, pp. 775-778.<br />

[8] J. P. Comeau, J. D. Cressler, J. Lee, A.J. Joseph,: "An 8.4-12.0<br />

GHz down-conversion mixer implemented in SiGe HBT<br />

technology” IEEE Topical Meeting on Silicon Monolithic<br />

Integrated Circuits in RF systems, 2004, pp.13-16.<br />

A n n u A l R e p o R t 2 0 0 6<br />

97


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

�������������������������������������������������������������������<br />

�����������������<br />

98 A n n u A l R e p o R t 2 0 0 6<br />

proc. european Microwave Integrated Circuits Conference, 198 (<strong>2006</strong>)<br />

������������������������������������������<br />

����������������������������������������������������������������<br />

������������������������������<br />

�������������������������������������������������������������<br />

��� ������� ������� ������������ ���� ����������� ����� ��� ��� ���<br />

��������������������������������������������������������������<br />

��������� ��� ����� �� ������ ���� �� ��� ���� ����� ���� ���������<br />

�����������������������������������������<br />

������ ������ � �� � ��������� ����������� ��� �����<br />

���������������� � ���������� ����������� ����������� ��������<br />

������������������������<br />

����������������<br />

���� ������ ����������� ����� ������� ������� ��������� ����<br />

������������ ��� ������������������� ��������� ���������������<br />

���� ���������� ������������ ��� ���� �������� ������ ��� ������<br />

����� ������ ������������� ������ ���� �������� ������������ ��� �����<br />

��������������������������������������������������������������<br />

���������������������������������������������������������������<br />

������ ������������� ���� �������� ���� ����� ����������� ��� �����<br />

������������� ������ �� ����� �������� ������������ ��� �����������<br />

���������������������������������������������������������<br />

����� ������������ ������������� ������ �� ������ �������<br />

������������ ������� ������ ����� ���� ����������� ����� �����<br />

����������� ����� � ������ ������� ������� ������������ �� ���<br />

�������������������������������������������������������������<br />

����� ���������� ���������� ���� �������������� ��������������<br />

����� ��� �� ��������� ����������� �� ������ ��� ���� ����������<br />

��������������������������������������������������������<br />

����� ������ ��������� �� ��������� ���������� ��� �� ��������<br />

������� ������� ������������ ��� ��������� ��� ����� �� ��������<br />

�������������������������������������������������������������<br />

��������������������������������������������������������������<br />

���� ��� ����� ��� ������ ���������� ���� �������������������� ����<br />

������ ������� ���� ������� ���� ��� ����� ��������� ��� ������ �����<br />

������������ ���������� ������������ ������������� ����������<br />

���� ����������� ���� ����� ��������� ��� ���� ������������ �������<br />

������ ������������ ����� ���������� �������� �� ������ �������������<br />

���������������������������������������������������������<br />

������������ ������ ���� ��� ����� ��������� ����� ���� ���������<br />

��������������������������������������������������������������������<br />

������ ���� �������� ������ ����� ������� ������� ���������� ��������<br />

��������������������������������������������������������������<br />

���� �� ������������� ���������� ��� ���� ����������������������������<br />

������������������������������������������������������������������<br />

����������������<br />

�<br />

���������������������������<br />

����������������������������������������������������������<br />

���������������������������������������������������������<br />

���������������������������������������������������������������<br />

����� ���� ���� ������������ ���� ���� ��������� ��� ������ ���<br />

�������������������������������������������������������������<br />

���������������������������������������������������������<br />

��������������������������������������������������������������<br />

�������������������������������������������������������������<br />

��� ���������� ����� ���� ������ ���������� ��� ��� ����� ����� ����<br />

����������������������������������������������������������������<br />

�����������������������������������������������������������<br />

������� ���� ������� ������������� ����� ��� �����������<br />

������������ ��� ��� ������ ��� �� �������������� ������������ ��<br />

���������������� ������������� ����� ������ ��� ��� ������������<br />

���������������������������������������������������������������<br />

���������������������������������������������������������������<br />

��� ������ ��� ����� ���� ��������� ����� ������� ��� ����������<br />

����������������������������������������������������������<br />

����������������������������������������������������������<br />

��������������������������������������������������������������<br />

��������������������������<br />

�<br />

����������������������������������������������������������


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

�������<br />

���������������������������������<br />

���������������������������������������������������������������<br />

�������������������������������������������������������������<br />

���������������������������������������������������������������<br />

��������� ����� ���� ���� ���������� �������� ����������� ��� � � ����<br />

����� ������ ��� ���� ������ ������ ��� ��� ����� ������ ����� �����<br />

���������� ���� ����� ���� ������ ��������� ����������� ����<br />

��������������������������������������������������� ���������<br />

���������������������������������������������������������������<br />

����������������� ��������� ���������� ���� ����� ��� ������ ����<br />

������� ��� �������� ���� ���������� ������ ����� ������ ����� ����<br />

�������������������������������������������������������������<br />

����������� ������ ��� ����� ����� ��� ������ ���� ������� ���������<br />

����������� ���� ������ ���� ������� ���� �������� ��� ������<br />

������������� ����������� ���� ���� ������������� ���� ������������<br />

��������� ���� ���������� �������� ���������� ���������� ����� ����<br />

������ ������ ��� ���� ����� ������ ���� �� ����� ������ ������� ���� �����<br />

�����������������������������������������������������������������<br />

������������� ����� ��� �� ���������� ����� ��� ����� ����� ������<br />

�����������������������������������������������������������������<br />

��� ���� ��������� ��� ����� ������������� ������ ���� ������������<br />

������ ��� ����������� ��� ���� ������ ������� ����� ���� �������� ������<br />

������ ��� ��� ���������� ����� ��� ������������� �������� ���� ��� ����<br />

�������������������������������������������������������������<br />

���������� ��� �������� �������� ��� ����� ���� ������������<br />

������������������������������������������������������������<br />

��������� ��� �� ������� ������ ��� ���� ���������� ���� ����<br />

������������� ��� ������ ������ ���� ���� ��� �������������<br />

������������ ������ ������� �������� ����� ������ ���� �������<br />

�����������������������������������������������������������������<br />

�����������������������������������������������������<br />

�<br />

���������������������������������������������������������<br />

�������������������������������������������������������<br />

��� ���� �������� ����� ��� ��� ��� ���� ���� ���������� ����<br />

����������������������������������������������������������<br />

���� �������� ���� ������ ������� ��� ���� ���� ���� ��� ���� ����� ���<br />

�������������������������������������������������������������<br />

���� ���� ������ ��� ��� ����� �� ���� �� �������� ���� �����<br />

������������������������������������������������������������<br />

��������<br />

�<br />

���������<br />

�� �������� ����� ��� ����� ��� ���� ������ ������ ���� ���� ��������<br />

���������������������������������������������������������������<br />

���� ����������� ������ ����������� ���� ��� ���� �� ���� ���<br />

����������� ���� ���� ������ ���� ��� ��������� ��� ����� ������� ����<br />

��� ��������� ��� ���� ����������� ��� ��������� ���� ��� ���� ���<br />

������ ���� �������� ��� ������ ������������� ����������� ��� �����<br />

��������� ���� ��������� ��� ��������� �� ������� �����������������<br />

���������� �������� ���� ��������� ���� ��� �������� ����������<br />

������������������������������������������������������������<br />

���� ��� ��������� ��� ��������� ��� �� ������ �������������� ���<br />

����������� ���� ���� ������������ ���� ��� ��������� �������� ���<br />

���� ��� ����� ��� �� ������������� ���������� ����������� ������ ���<br />

����� ��� ������ ���� ��� ���������� ���������� �� ������� �������<br />

�����������������������������������������������������������������<br />

������� ���� �������� ������� ��� ���� ��������� ���� ��� ����� ���<br />

������������ �������� ��� ���� ���� ������� ��� �������� ��<br />

����������������<br />

��� ���<br />

�����������������������������������������������������<br />

��������������������<br />

��<br />

��<br />

��<br />

���������������������<br />

��������������������<br />

�����������������<br />

����������������<br />

�<br />

�� �� �� �� �� �� �� ��<br />

������������������<br />

����� ��� ��������� ���� ���������� ����������� ����� ����� ��� ���<br />

�����������������������������������������������������������������<br />

�<br />

������� ������ ���� ������������ �������� ����� ���� ���� ������<br />

���� ���� ������������ ��� ���� ���� ������� �� ������ ���<br />

�����������������������������������������������������������<br />

������������������������������������������������������������<br />

�����������������������������������������������������������<br />

��� ���������� ������ ��� ����������� ���� ��������������������<br />

A n n u A l R e p o R t 2 0 0 6<br />

99


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

��������������� ���� ������ ����� ������ �� ��� ���������������<br />

������������������������������������������������������������<br />

������ ���� ����� ������������ �������� ���� ���������� ������<br />

������� ��� ��� ���� ������ ���� ����������� ���� ����������� ������<br />

�����������������������������������������������������������������<br />

���������<br />

�������<br />

�����������������������������������������������������������<br />

������������������������������������������������������������<br />

���� ����� ��������� ���������� ��� ���� ������ ������ ������ ��� ����<br />

��������������������������������������������������������<br />

������ ��� ���������� �������������������������������������������<br />

����������������������������������������������������������������<br />

�������������������<br />

���� ���� ��������� �� ���� ����� �� ������������� �������� ��<br />

��������������������������������������������������������������<br />

������� ���������� ���� �������� ��������� ��� ����� ������������<br />

����������������������������������������������������������������<br />

����� ��� ����� ��� ����� ������������� ����������� ��������<br />

�������������������������������������������������������������<br />

���� ������ ������� ����������� ��� ��� ���������� �������� �������<br />

�������� ���� ���������� ������ ������ ��� ��� ������������� ��������<br />

�������������������������������������������������������<br />

���� ���� ��� ������������ ������ �� ������������� ��������� ���<br />

�����������������������������������������������������������������<br />

��� ���� ���� ����� ������� ��� ������ ��� ���� ������ ������ ������ ���<br />

����������� ������ ���������� �������� �������� ���� ������� ���<br />

������������������������������������������������������������<br />

��������������������������������������������������������������<br />

�����������������������������������������������������������<br />

���������������������������������������������������������������<br />

������������������������������������������������������������<br />

������������������������������������������������������������<br />

����������������������������������������������������������������<br />

����� ���������� ��� ����� �� ������ ������������ ���������<br />

����������������������������������������������������������������<br />

������������������������������������������������������������<br />

������������������������������������������������������������<br />

����� ������������� ������� �� ��������� ������� ���� ���� ����<br />

�����������������������������������������������������������������<br />

������������<br />

�������������������������������������������������������<br />

��������� ���� ���� ����� �� ��� ������� ������� ���� ���� ������<br />

������������������������������������<br />

�����������������������������������<br />

100 A n n u A l R e p o R t 2 0 0 6<br />

�����������������������������������<br />

������������������������������������������������������������<br />

�������� ���� ���� ���� ���� �������� ������� ���� ����� ����� ����<br />

��������� ���� ���� ����������� ���� ������ ���� ��������������<br />

�������������������������������������������������������������<br />

������ ��� ����� �� �������� ��� ����������� ���� ���� �������� ���<br />

����� ���� ���� ������� ����� �������������������������������<br />

������ ���� ��������� ��� �� ��������� ������ ������ ������ ���� ����<br />

���� ��� ��������� ��� �������� ������ ������ ���� ������ ������ ����<br />

����� ��� ������� ���� ������ ��������� �������� ���� �����������<br />

�������������������������������������������������������������<br />

���� ���� ���� ������� ����� ���� ���������� ��� ���� ��� ��������<br />

�����������������������������������������������������������<br />

��� ������ ��� ��������� ���� ������������ ������� ���� ����<br />

������������� ������ �������� ���� ���� ������ ����� ���� �����<br />

���������������������������������������������������������������<br />

�������� �������� �������� ���� ��������� � ������������ �����<br />

��������������������<br />

�������������������������������������������������������������<br />

����������������������������������������������������������<br />

�������������������������������������������������������������<br />

���������� ���������� ��� ���� ���� ���� ���� ��� ����������<br />

�������������������������������������������������������������<br />

�����������������������������������������������������������<br />

�������������������<br />

���������������������<br />

��<br />

��<br />

��<br />

�<br />

�� ���� �� ���� �� ���� ��<br />

������������������<br />

���������������������������������������������<br />

������������<br />

�<br />

���<br />

���<br />

��� ��� ��� ��� ��� ��� �<br />

�����������<br />

����������������������������������<br />

�<br />

����� �� ������ ���� ��������� ������� ������ ��� ��� ���� ���<br />

����������� ���� ��������� ������� ����� ������������ ������ ���<br />

���������������


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

�����������������������������������������������������������������<br />

���������<br />

�<br />

��� �������� ���� ��������� ��� �� ����� ������������� �� ���������<br />

�����������������������������������������������������������<br />

������������������������������������������������������������<br />

��� ������ ��� ���������� ������������ �� �����������<br />

������������� ���� ����� ��� ������������� ����� �� ������ ����<br />

������������������������������������������������������������<br />

������� ���� ���� ���������� ���� ������ ��� ��� ����� ���� ���<br />

�����������������������������������������������������������<br />

����������������������������������������������������������<br />

����������� ���� ��������� ����� ������ ��� ������ ����� ����<br />

������������ ��� ������ ��� ����� �������� ���� ��� ����� ��� ���<br />

������� ���� �������� ������ ���� ������� ���� ����� �������� ����<br />

������������������������������������������������������������<br />

��� ����� ������ ��� ���������� ������ ��� ������� ���� ����������<br />

��������������������������������������������������������<br />

��������� ���� ��� ��� ������� ���� ����� ������ ��� ������ ���<br />

�������������������������� � �����������������������������������<br />

�� � � �������� ����� ������ ����� ��������� ���������� ���� �����<br />

��������� ��������� ����� �� ������������ ��������� ��� ���� �����<br />

�����������������<br />

���������������<br />

�� ������ ������������� ��� ���� ��������� ���������� ����<br />

����������������������������������������������������������������<br />

�� �������� ������ ���� �� ��� ���� ����� ��� ����� ��������� ��<br />

���������� ����������� ����� ��� ��� ���� ���� ��������� �������<br />

����� ������������ ������ ��� ��� ����� ���� ���������� ������<br />

�������������������������������������������������������������<br />

�������������������������������������������������<br />

����������������<br />

�������������������������������������������������������<br />

�������������������������������������������������������<br />

��������������������������������������������������������<br />

���������� ����� ��� ���� �������� ����������� ������ ���� ����<br />

����������������������������<br />

�<br />

�<br />

�<br />

�����������������������������<br />

�<br />

�<br />

�����������<br />

���� ��� ��� ������ ��� ����� ������ �������� ������������ ���������<br />

���������� ��� ��������� ����� ��� ������������ ���������� ����� ����<br />

������������������������<br />

���� ������������������������������������������������������������<br />

�������������������������������������������������������<br />

���� � ��� �������� ��� ����� ���� ���� ������������ ��������� ��� �������<br />

����������������������������������������������������<br />

���� � ��� ���� ��� ����� ��� ������ ����������� ��� ���� ���� ��� �������<br />

������� ������������� ������ ������ ��������� ����� ������ ����<br />

�������<br />

���� � ��� �������� ��� ����� ��� ������ ����������� ������� ���� ���� ���<br />

���� ��������� ��������������� ������ ����� ������ �������� �����<br />

�������������������<br />

���� � ��� �������� ��� ��� ���� ������������������ ����� �����������<br />

�������������������������������������������������<br />

�����������������������������������<br />

���� ��� ������ ��� ���� ���������������� �������� ��� �������<br />

��������������������������������������������������������� �� �<br />

���������������������������������������������������������<br />

�����������<br />

���� ��� ������� ��� ���� ��� ���������� ���� ����� ������ ��������������<br />

��������������������������������������������������������<br />

�������������������������������������������������������<br />

����� ��� ���� ��� ����� ���� ����������� ��� ���� ��������� ���������� ���<br />

������� ��������� �������� �������� ��� �������� �����������<br />

�����������������������������������������������������������<br />

�����������������������������<br />

��������������������������������������������������������������������<br />

����� ��� ������ ��������� ������ ��� ���� �� �� � ��������� ������<br />

��������������������������������������������������������<br />

A n n u A l R e p o R t 2 0 0 6<br />

101


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

A Low-Cost, High-Performance, High-Voltage Complementary BiCMOS Process<br />

102 A n n u A l R e p o R t 2 0 0 6<br />

D. Knoll, B. Heinemann, K. E. Ehwald, A. Fox, H. Rücker, R. Barth, D. Bolze, T. Grabolla,<br />

U. Haak, J. Drews, B. Kuck, S. Marschmeyer, H. H. Richter, M. Chaimanee, O. Fursenko,<br />

P. Schley, B. Tillack, K. Köpke, Y. Yamamoto, H. E. Wulf, and D. Wolansky<br />

Abstract<br />

We demonstrate a low-cost, high-performance, high-voltage<br />

complementary SiGe:C BiCMOS process. This technology<br />

offers three npn SiGe:C devices with fT/ BVCEO values of<br />

40GHz/ 5V, 63GHz/ 3.5V, and 120GHz/ 2.1V together with a<br />

32GHz fT/ 35GHz fmax/ 4.4V pnp SiGe:C HBT by adding only<br />

three bipolar masks to the underlying RF-CMOS process. With<br />

two additional implant masks, a 150GHz, 2.2V npn HBT and<br />

either a 43GHz fT/ 65GHz fmax/ 4.2V pnp or a 38GHz fT/<br />

70GHz fmax, 5.8V pnp device can be fabricated additionally (in<br />

the npn case) or alternatively (pnp case) to the devices of the 3mask<br />

module.<br />

Introduction<br />

It has long been recognized that a Si bipolar or BiCMOS<br />

technology platform containing both npn and pnp devices with<br />

matched performance can offer compelling advantages in many<br />

types of analog circuits (1, 2). An ideal process for such<br />

applications could be a complementary BiCMOS (CBiCMOS)<br />

process which provides, on the bipolar side, both npn and pnp<br />

devices with best RF performance, combined with higher<br />

voltage transistors, but preferably at a process cost not too<br />

much above that of BiCMOS or even RF-CMOS. Recently,<br />

first SiGe CBiCMOS technologies have been reported (3-5),<br />

demonstrating a level of pnp RF performance not seen before<br />

(4, 5). For these technologies, however, performance has<br />

clearly the primacy, while cost is not in the main focus. Here,<br />

we demonstrate for the first time a strictly cost-optimized<br />

SiGe:C CBiCMOS process, which offers, with a minimum of<br />

bipolar mask and processing steps, ample npn and pnp<br />

performance for the majority of applications. The key measures<br />

to get a low-cost, complementary bipolar module are the<br />

adaptation of the process sequence applied in our 1-mask npnonly<br />

module (6) also for pnp fabrication, and the introduction of<br />

a second shallow trench (SSTR) which has a lower depth<br />

compared to the trench of the process core. The SSTR, which is<br />

filled and planarized together with the core trench, separates the<br />

active HBT regions from the collector contact regions and<br />

allows us to reach simultaneously low values of collector<br />

resistance (RC) and base-collector capacitance (CBC) with a<br />

1-4244-0439-8/06/$20.00 © <strong>2006</strong> IEEE<br />

IeDM technical Digest, 607 (<strong>2006</strong>)<br />

<strong>IHP</strong><br />

Im Technologiepark 25, 15236 Frankfurt (Oder), Germany<br />

simple, epi-free collector structure.<br />

Our primary results can be summarized as follows. 1) With a<br />

minimum of only three bipolar mask adders, the new<br />

CBiCMOS process offers 3 types of npn SiGe:C devices<br />

featuring 120GHz fT (at 2.1V BVCEO), 63GHz fT (3.5V BVCEO),<br />

and 40GHz fT (5V BVCEO), together with a 32GHz fT, 35GHz<br />

fmax, 4.2V pnp SiGe:C HBT. 2) With two additional implant<br />

masks, i.e. with only 5 bipolar masks in total, a 150GHz fT,<br />

2.2V npn HBT and either a 43GHz fT, 65GHz fmax, 4.2V pnp or<br />

a 38GHz fT, 70GHz fmax, 5.8V pnp device can be fabricated<br />

additionally (in the npn case) or alternatively (pnp case) to the<br />

devices of the 3-mask module.<br />

A. Process Integration<br />

CBiCMOS Process Flow<br />

Fig. 1 demonstrates the new SiGe:C CBiCMOS process. As<br />

baseline, a 0.25µm RF-CMOS platform is used which is very<br />

similar to that of <strong>IHP</strong>’s high-performance CBiCMOS process<br />

(4, 5). The baseline offers, with 20 lithographic steps, a triplewell<br />

CMOS core, several types of polysilicon resistors with<br />

sheet resistances ranging from 7Ω to 2kΩ, a MIM capacitor,<br />

and a 5-level Al-BEOL with 2µm and 3µm thick top layers. By<br />

adding the 3-mask or 5-mask complementary HBT modules,<br />

the total CBiCMOS mask count is 23 and 25, respectively.<br />

An essential goal for any bipolar integration in a CMOS<br />

baseline is the reuse of CMOS libraries in the BiCMOS<br />

process. An advantage of the applied HBT integration scheme<br />

in this respect is that the essential bipolar process steps are<br />

carried out already before gate structuring, as can be seen from<br />

Fig. 1. Moreover, the same final RTP step as used in the CMOS<br />

baseline is applied also for the CBiCMOS process. In result, the<br />

bipolar integration is fully modular, i.e. the core CMOS<br />

parameters remain unchanged, compared to the RF-CMOS<br />

baseline.<br />

B. NPN and PNP HBT Fabrication<br />

The complementary SiGe:C HBTs consist of implanted, epi-


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

RF-CMOS Baseline Bipolar Adders<br />

Mask “STR”<br />

Std. depth trench etch<br />

Liner / trench fill / CMP<br />

CMOS well implants<br />

Gate stack deposition<br />

Nitride protection layer<br />

Nitride wet etch<br />

Gate RIE<br />

S/D implants + RTA<br />

Salicide blocker<br />

CoSi formation<br />

5 level AlCu BEOL incl.<br />

MIM module<br />

Mask (A) “SSTR“<br />

Low depth trench etch<br />

Mask (D) “NPN COLL”<br />

NPN collector implant<br />

Mask (E) “PNP COLL”<br />

PNP collector implant<br />

Mask (B) “NEWIN”<br />

NPN module * )<br />

Mask (C) “PEWIN”<br />

PNP module * )<br />

* ) Module main steps<br />

• Nitride / Poly RIE<br />

• Oxide wet etch<br />

• Si / p + or n + SiGe:C / Si<br />

differential epi<br />

• Spacer formation<br />

• n + or p + emitter<br />

deposition<br />

• Poly CMP on Nitride<br />

Fig. 1. CBiCMOS process flow. The 3-mask complementary bipolar<br />

module uses masks (A-C) only, while masks (D) and/or (E), with the<br />

corresponding collector and isolation implants, can be added to get<br />

enhanced performance for both device types.<br />

free collectors, with the second shallow trench (SSTR) located<br />

between the active HBT region and the collector contact region,<br />

as shown in Fig. 2. The 3-mask module uses mainly CMOS<br />

well implants to form the different bipolar collectors including<br />

the vertical isolation of the pnp collector from the p - substrate<br />

(Fig. 3). The higher performance of the 5-mask module stems<br />

from extra collector and isolation implants introduced with the<br />

masks “NPN COLL” and “PNP COLL”, respectively, before<br />

forming the gate stack.<br />

HBT fabrication essentially starts after depositing the MOS<br />

gates and a Si3N4 protection layer. The fabrication steps are<br />

similar to the sequence described previously for a npn-only<br />

module (6), including the removal of the gate material from the<br />

HBT regions, growing the SiGe:C base and a Si cap layer,<br />

forming spacers, and depositing a highly-doped emitter layer.<br />

Collector Emitter<br />

n +<br />

Gate<br />

CoSi<br />

SSTR<br />

W plug<br />

p +<br />

n-SiGe:C base<br />

Collector<br />

Process core<br />

shallow trench<br />

Oxide spacer<br />

SSTR<br />

Fig. 2. SEM X-sections of a pnp SiGe:C HBT illustrating the two trench<br />

types used. Note that same hard mask, trench fill, and CMP processes are<br />

used for both trenches, as can be seen from Fig.1.<br />

Finally, the emitter and base material is removed by CMP from<br />

the Si3N4 layer surface, separating the emitter from the external<br />

base. This sequence is applied first for the npn and then again<br />

for the pnp devices. After wet etching the nitride film from the<br />

gate stack, CMOS device fabrication is completed with the gate<br />

structuring process and the source/drain implantations. These<br />

process steps are also be used for structuring and doping the<br />

HBT external base regions.<br />

Oxide spacer p + Emitter<br />

SSTR<br />

SIC<br />

n-SiGe:C base<br />

Poly SiGe:C/Si<br />

p-Well<br />

Deep n-Well (as used for NMOS isolation)<br />

n + Gate<br />

poly<br />

p + S/D<br />

Fig. 3. Schematic X-section of the PNP-1 SiGe:C HBT which uses only<br />

implants from the CMOS process core for collector formation and vertical<br />

device isolation.<br />

A n n u A l R e p o R t 2 0 0 6<br />

103


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

f T or f max (GHz)<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

V CE = 2V<br />

10 -4<br />

f max<br />

104 A n n u A l R e p o R t 2 0 0 6<br />

Device Results<br />

Table 1 summarizes all devices available with the 3-mask and<br />

5-mask complementary bipolar module, respectively.<br />

TABLE I<br />

COMPLEMENTARY SiGe:C HBTS AVAILABLE WITH A 3-MASK OR 5-<br />

MASK BIPOLAR MODULE<br />

HBT Typical parameters (for emitter dimensions, see below)<br />

3-mask, complementary HBT module<br />

NPN-1 fT/ fmax= 40/ 80GHz, BVCEO= 5.0V, β= 220<br />

NPN-2 fT/ fmax= 63/ 95GHz, BVCEO= 3.5V, β= 220<br />

NPN-3 fT/ fmax= 120/ 110GHz, BVCEO= 2.1V, β= 220<br />

PNP-1 fT/ fmax= 32/ 35GHz, BVCEO= 4.4V, β= 25<br />

5-mask, complementary HBT module<br />

(Additional (npn) and alternative (pnp) bipolar devices)<br />

NPN-4 fT/ fmax= 150/ 160GHz, BVCEO= 2.2V, β= 220<br />

PNP-2 or* ) fT/ fmax= 43/ 65GHz, BVCEO= 4.2V, β= 25<br />

PNP-3 fT/ fmax= 38/ 70GHz, BVCEO= 5.8V, β= 25<br />

* ) Choice between low-voltage, high-fT PNP-2 and high-voltage, high-fmax<br />

PNP-3 by using different implants with mask “PNP COLL”; see Fig. 1.<br />

The drawn emitter width and length of all these devices<br />

(defined by masks “NEWIN” or “PEWIN”) is 850nm and<br />

1480nm, respectively. By applying an inside spacer technology,<br />

the effective emitter dimensions are lower, as can be seen from<br />

Fig. 2. For the RF measurements (Figs. 4-7), output<br />

characteristics (Fig. 8), and Gummel plots (Fig. 9), small arrays<br />

with ten of such devices in parallel were used.<br />

Figs. 4 and 5 show fT and fmax vs. collector current for the npn<br />

transistors, respectively. The better performance of the NPN-<br />

4 transistor in comparison to NPN-3 (where the collector is<br />

formed mainly by CMOS well implants), comes from the<br />

higher collector doping introduced with the extra mask “NPN<br />

COLL”. Fig. 6 shows fT and fmax vs. collector current for the<br />

NPN-1<br />

fT NPN-2<br />

10 -3<br />

Collector Current (A)<br />

10 -2<br />

Fig. 4: fT and fmax vs. collector current for high-voltage npn transistors (10<br />

devices in parallel).<br />

f T or f max (GHz)<br />

f T or f max (GHz)<br />

Transit Frequency (GHz)<br />

160<br />

140<br />

120<br />

100<br />

80<br />

60<br />

40<br />

20<br />

0<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

10 -4<br />

V CE = -3V<br />

f max<br />

10 -4<br />

f max<br />

10 -3<br />

NPN-4<br />

NPN-3<br />

V CE = 1.5V<br />

10 -2<br />

Collector Current (A)<br />

Fig. 5: fT and fmax vs. collector current for low-voltage npn transistors (10<br />

devices in parallel).<br />

PNP-3<br />

10 -4<br />

f T<br />

10 -3<br />

PNP-1<br />

Collector Current (A)<br />

10 -3<br />

f T<br />

PNP-2<br />

10 -2<br />

Fig. 6: fT and fmax vs. collector current for pnp transistors (10 devices in<br />

parallel).<br />

45<br />

40 PNP-2<br />

-3<br />

35<br />

30<br />

25<br />

-1.5<br />

-1<br />

-2<br />

20<br />

15<br />

10<br />

5<br />

V (V) CE<br />

Collector Current (A)<br />

10 -2<br />

Fig. 7: fT vs. collector current at different collector-emitter voltages for a<br />

pnp transistor.<br />

three pnp devices available. The strong improvement in fmax<br />

of PNP-2 and PNP-3 compared to PNP-1, as demonstrated in<br />

Fig. 6, results primarily from the optimized combination of<br />

collector and isolation implants, applied with the extra mask<br />

“PNP COLL”. Fig. 7 demonstrates the low voltage<br />

dependence of the pnp RF parameters resulting from the high<br />

base doping applied and a careful profile design at the basecollector<br />

junction.


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

Collector Current (mA)<br />

10.0<br />

7.5<br />

5.0<br />

2.5<br />

0.0<br />

-2.5<br />

-5.0<br />

-7.5<br />

-10.0<br />

10 -11<br />

10 -10<br />

10 -9<br />

10 -8<br />

10 -7<br />

10 -6<br />

10 -5<br />

10 -4<br />

10 -3<br />

10 -2<br />

PNP-3<br />

NPN-3<br />

PNP-2<br />

PNP-1<br />

NPN-4<br />

NPN-2<br />

NPN-1<br />

-8 -7 -6 -5 -4 -3 1 2 3 4 5 6 7 8 9<br />

Collector-Emitter Voltage (V)<br />

Fig. 8: pnp and npn SiGe:C HBT open-base output characteristics.<br />

Base or Collector Current (A)<br />

PNP-1<br />

V CB = 0V<br />

NPN-4<br />

-0.8 -0.4 0.4 0.8<br />

Base-Emitter Voltage (V)<br />

Fig. 9: Gummel plots of pnp and npn SiGe:C HBTs.<br />

Figs. 8 and 9 show open-base output characteristics<br />

demonstrating the different BVCEO values, and Gummel plots,<br />

respectively.<br />

Manufacturability of the new HBT module is demonstrated<br />

by low-leakage Gummel plots of 4k pnp HBT arrays (Fig.<br />

10), and by a typical wafer map of the pnp current gain<br />

demonstrating a very low σ (Fig. 11).<br />

Summary and Conclusions<br />

In summary, we have demonstrated a new CBiCMOS SiGe:C<br />

HBT process which provides high-performance and highvoltage<br />

at a very low process complexity, and thus cost. It<br />

offers several complementary bipolar device types, including<br />

a 150GHz fT, 160GHz fmax npn and a 43GHz fT, 65GHz fmax<br />

pnp transistor by adding only 5 mask levels to a RF CMOS<br />

baseline.<br />

Base or Collector Current (A)<br />

10 -10<br />

10 -9<br />

10 -8<br />

10 -7<br />

10 -6<br />

10 -5<br />

10 -4<br />

10 -3<br />

10 -2<br />

10 -1<br />

from 7 wafer sites<br />

@ V CB = 0 and 1V<br />

-0.9 -0.8 -0.7 -0.6 -0.5 -0.4 -0.3<br />

Base-Emitter Voltage (V)<br />

Fig. 10: Gummel plots of 4k pnp transistor arrays.<br />

No. of Devices<br />

10<br />

8<br />

6<br />

4<br />

2<br />

References<br />

Arrays of 4096<br />

pnp transistors<br />

(1) J. D. Cressler et al., “A high-speed complementary silicon bipolar<br />

technology with 12-fJ power-delay product”, IEEE Electron Device<br />

Letters, Vol. 14, pp. 523-526, November 1993.<br />

(2) D. M. Monticelli, “The future of complementary bipolar”, Proceedings of<br />

the 2004 Bipolar/BiCMOS Technology Meeting (BCTM), pp. 21-25<br />

(2004).<br />

(3) B. El-Kareh et al., “A 5V complementary-SiGe BiCMOS technology for<br />

high-speed precision analog circuits”, Proceedings of the 2003<br />

Bipolar/BiCMOS Technology Meeting (BCTM), pp. 211-214 (2003).<br />

(4) B. Heinemann et al., “A complementary BiCMOS technology with high<br />

speed npn and pnp SiGe:C HBTs”, Technical Digest of the 2002<br />

International Devices Meeting (IEDM), pp. 117-120 (2003).<br />

(5) B. Heinemann et al., “Complementary BiCMOS”, Proceedings of the 1 st<br />

Int. Symposium SiGe: Materials, Processing, and Devices, ECS, Vol.<br />

2004-07, pp. 25-31 (2004).<br />

(6) D. Knoll et al., “A flexible, low-cost, high performance SiGe:C BiCMOS<br />

process with a one-mask HBT module, Technical Digest of the 2002<br />

International Devices Meeting (IEDM), pp. 783-786 (2002).<br />

I B<br />

I C<br />

0<br />

21 22 23 24 25 26 27<br />

Beta @ V = -0.7V<br />

BE<br />

Fig. 11: Wafer histogram of pnp transistor current gain, measured on 45<br />

sites of an 8-inch wafer.<br />

A n n u A l R e p o R t 2 0 0 6<br />

105


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

106 A n n u A l R e p o R t 2 0 0 6<br />

ECS Transactions, 3 (7) 1069-1075 (<strong>2006</strong>)<br />

10.1149/1.2355901, copyright The Electrochemical Society<br />

Dopant Diffusion in SiGeC Alloys<br />

H. Rücker, B. Heinemann, R. Kurps, and Y. Yamamoto<br />

<strong>IHP</strong>, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany<br />

In this paper, we discuss the impact of germanium and carbon on<br />

the diffusion of common dopants in Si-based alloys. We review results<br />

of various diffusion experiments and discuss the basic physical<br />

mechanisms of the observed changes of diffusion coefficients<br />

as a function of alloy composition. Results of boron and phosphorus<br />

marker layer diffusion experiments are presented for binary<br />

Si1-xGex and Si1-yCy and ternary Si1-x-yGexCy alloys.<br />

Introduction<br />

Alloying germanium and/or carbon to the Si lattice can change diffusion coefficients of B,<br />

P, As, and Sb by more than one order of magnitude. These effects have a major impact on<br />

design and fabrication of Si-based heterojunction devices such as high-speed npn and pnp<br />

SiGe HBTs and strained channel FETs. The most prominent example for the exploitation<br />

of suppressed dopant diffusion is the npn SiGe HBT. Reduced diffusion of boron in SiGe<br />

has facilitated the fabrication of extremely sharp base doping profiles resulting in excellent<br />

RF performance. Carbon doping of the SiGe layer has been identified as a means for<br />

further suppression of the diffusion of boron and consequently became an indispensable<br />

feature of state-of-the-art high-performance SiGe HBTs.<br />

This paper is organized as follows. The next section shortly reviews diffusion experiments<br />

in strained and relaxed SiGe alloys. The impact of C on dopant diffusion in<br />

carbon-doped Si is discussed in the following section. In the final section, diffusion of B<br />

and P in ternary SiGe:C alloys is investigated by marker layer experiments.<br />

Strained and relaxed SiGe herterostructures<br />

In epitaxial SiGe layers, alloy composition and strain manipulate dopant diffusion coefficients.<br />

Cowern et al. [1] have demonstrated that the diffusion coefficients of B and Ge<br />

depend exponentially on the Ge content x in compressively strained Si1-xGex layers on Si<br />

(100) substrates. The dependence of the diffusion coefficients on the Ge content x is described<br />

by<br />

~<br />

D( x)<br />

� D0<br />

exp( xQ<br />

/ kT ) , (1)<br />

where D0 is the diffusion coefficient in Ge-free silicon and Q ~ describes a change of<br />

the activation energy with increasing Ge content. According to Ref. [1], the suppressed<br />

diffusion of B in epitaxial Si1-xGex on Si (100) is described by a coefficient Q ~ (B) = -0.71<br />

eV while the enhanced diffusion of Ge is described by a coefficient Q ~ (Ge) = 1.68 eV.<br />

Please note that these activation energy coefficients Q ~ account for contributions due to<br />

strain and due to chemical effects.<br />

1069


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

ECS Transactions, 3 (7) 1069-1075 (<strong>2006</strong>)<br />

For numerical simulation of dopant diffusion in SiGe layers in various strain states, a<br />

quantitative description of the individual effects of strain and of alloy composition is<br />

needed. Several experiments have been performed over the last 15 years to measure the<br />

diffusion coefficients of common dopants as a function of chemical composition and of<br />

the strain state of epitaxial Si1-xGex layers. These experiments provided a consistent picture<br />

of the qualitative effects of strain and composition. However, numerical values of the<br />

dependencies of diffusion coefficients on strain and alloy composition are still under dispute.<br />

Strain influences the diffusivity of vacancy diffusers and interstitial diffusers in opposite<br />

direction. While compressive biaxial strain suppresses the diffusion of the interstitial<br />

diffuser B [2], it enhances the diffusion of Sb [3] and Ge [4] which diffuse via a vacancy<br />

mechanism. Tensile strain changes diffusivities in the opposite direction. This behavior<br />

can be explained qualitatively by the influence of strain on the formation enthalpies of<br />

interstitial and vacancy-like point defects. In general, compressive strain increases formation<br />

energies of interstitial defects and reduces formation energies of vacancy-like defects.<br />

Consequently, interstitial densities are reduced while vacancy densities are enhanced in<br />

compressively strained layers.<br />

The chemical composition of the alloy acts in a more complex way on binding energies<br />

and mean free paths of the mobile defects of different dopant atoms. In relaxed SiGe,<br />

diffusivities of P [5], As [5], and Sb [4] are enhanced relative to those in Si while the diffusivity<br />

of B [6] is reduced.<br />

Moreover, dopant segregation at Si/SiGe interfaces has to be considered for the interpretation<br />

of diffusion experiments in SiGe heterostructures. Thus, B segregates into layers<br />

of higher Ge content while P segregates into adjacent Ge-free Si layers. This segregation<br />

is controlled by the change of the enthalpy of the substitutional dopant ion with Ge<br />

fraction due to chemical effects as well as due to electric fields caused by free carrier<br />

confinement [7-9].<br />

The impact of carbon<br />

The effect of C on the diffusion of dopants in Si and SiGe is primarily due to changed<br />

densities of the intrinsic point defects (vacancies and self-interstitials) in C-rich regions.<br />

Substitutional C in Si was found to suppress strongly the diffusion of boron during annealing<br />

of implantation damage [10] as well as during annealing steps without excess interstitials<br />

[11]. A reduction of the B diffusivity by a factor of twenty has been reported<br />

for Si:C layers with a C concentration of 1x10 20 cm -3 [11]. Diffusion of phosphorus is<br />

suppressed in a similar way while diffusion of arsenic and antimony is enhanced in Cdoped<br />

Si [12]. Since B and P diffuse via an interstitial mechanism and Sb and As diffuse<br />

via a vacancy mechanism, these observations indicate a suppressed density of self-interstitials<br />

and an enhanced density of vacancies in C-doped Si.<br />

Fast out-diffusion of C from regions of high C concentration has been identified as<br />

the driving force for these non-equilibrium point defect densities [13]. During anneals, C<br />

diffuses out of regions of high C concentration. C diffusion in Si occurs via a substitutional-interstitial<br />

exchange mechanism. Immobile substitutional carbon atoms (Cs) are<br />

1070<br />

A n n u A l R e p o R t 2 0 0 6<br />

107


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

108 A n n u A l R e p o R t 2 0 0 6<br />

transformed into mobile interstitial carbon (Ci) through the kick-out reaction with Si selfinterstitials<br />

(I)<br />

Cs + I � Ci. (2)<br />

In addition, interstitial C can be formed in the dissociative Frank-Turnbull reaction<br />

Cs � Ci +V, (3)<br />

where V is a vacancy. The flux of mobile Ci defects out of C-rich regions has to be<br />

compensated by an opposite flux of Si self-interstitials into the C-rich region, and/or a<br />

flux of vacancies outwards. For C concentrations above ~10 18 cm -3 , the product of the C<br />

concentration and the C diffusion coefficient exceeds the corresponding transport parameters<br />

for the fluxes of self-interstitials and vacancies:<br />

CCDC > CI eq DI and CCDC > CV eq DI . (4)<br />

Under these conditions, diffusion of C becomes limited by the compensating fluxes of<br />

Si point defects, which in turn leads to an undersaturation of self-interstitials and a supersaturation<br />

of vacancies in the C-rich region [12-14]. In addition, C precipitation can cause<br />

a further suppression of the density of self-interstitials for C concentrations of about<br />

10 20 cm -3 and higher [15].<br />

Ternary Si1-x-yGexCy alloys<br />

In ternary Si1-x-yGexCy alloys, the discussed effects of germanium and carbon act simultaneously.<br />

Carbon doping causes an additional reduction of the diffusivity of B in<br />

SiGe [9]. It has been reported that the suppression of B diffusion due to carbon can be<br />

even stronger in SiGe:C than in Si:C [16].<br />

We have studied the combined effects of Ge and C alloying on the diffusion of<br />

dopants by marker layer experiments in binary Si1-xGex and Si1-yCy and ternary<br />

Si1-x-yGexCy layers. Boron and phosphorus diffusion markers were in situ grown by low<br />

pressure CVD in Si, Si0.9Ge0.1, and Si0.8Ge0.2 layers with three different C concentrations<br />

in each case. The SiGe:C layers are pseudomorphically strained on Si (100) substrates.<br />

Diffusion coefficients were extracted from numerical fitting of secondary-ion mass<br />

spectroscopy (SIMS) depth profiles measured before and after annealing. SIMS profiles<br />

were measured using a CAMECA IMS WF with a 0.5 keV O2 ion beam for B and Ge<br />

profiling and a 1 keV Cs ion beam for P and C profiling.<br />

Boron Diffusion<br />

ECS Transactions, 3 (7) 1069-1075 (<strong>2006</strong>)<br />

Three wafers with boron diffusion markers were grown. Each water contained tree<br />

boron spikes with peak concentrations of about 2x10 18 cm -3 . The boron spikes were centered<br />

in 25nm wide Si1-x-yGexCy layers with different alloy compositions. Typical depth<br />

profiles are shown in Fig. 1 for the wafer with a Ge content of 10% in the alloy layers.<br />

The as-grown C concentrations for the three spikes are 1x10 20 cm -3 , 3x10 19 cm -3 , and below<br />

the detection limit of 1x10 18 cm -3 , respectively. For the other two wafers the Ge content<br />

was changed to 20% and 0% while B and C profiles were similar to the first wafer.<br />

1071


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

B & C Concentration (cm -3 )<br />

10 20<br />

10 19<br />

10 18<br />

10 17<br />

ECS Transactions, 3 (7) 1069-1075 (<strong>2006</strong>)<br />

10<br />

0 50 100 150 200<br />

16<br />

Depth (nm)<br />

Figure 1. SIMS profiles of B diffusion markers in Si0.9Ge0.1:C layers with three different<br />

C concentrations. The as-grown Ge, C, and B profiles are shown together with the diffused<br />

boron profile after annealing at 900ºC for 1 hour.<br />

Diffused profiles were measured for all samples after annealing at 900ºC for 15 minutes<br />

and for 1 hour. For the chosen low B concentrations, B diffusion is well described by<br />

Fickian diffusion with constant diffusion coefficients. Diffusion coefficients were extracted<br />

by fitting the annealed B profiles with a Fickian diffusion equation using the asgrown<br />

B profile as input. The obtained diffusion coefficients at 900ºC are plotted in Fig.<br />

2 as a function of Ge and C concentrations.<br />

The diffusion coefficients of B in the C-free SiGe samples shown in Fig. 2 confirm<br />

the exponential dependence on Ge content proposed in [1]. For each of the three C concentrations<br />

investigated here, we have applied Eq. (1) to fit the B diffusion coefficients as<br />

a function of the Ge content (lines in Fig. 2). Results of the fit are summarized in Table 1.<br />

TABLE I. Diffusion parameters of B in SiGe:C alloys at 900ºC obtained from fitting the data of Fig. 2<br />

to Eq. (1).<br />

Carbon concentration (cm -3 )<br />

D 0 (cm -2 /s) Q ~ (eV)<br />

< 1E18 9.7 10 -14 -0.58<br />

3E19 2.2 10 -14 -0.81<br />

1E20 5.4 10 -13 -0.65<br />

Carbon doping results in a suppression of B diffusivities by almost the same factor for<br />

the three considered Ge concentrations. C concentrations of 3E19cm -3 were found to suppress<br />

B diffusivities by about a factor of 5 while C concentrations of 1E20cm -3 suppressed<br />

B diffusivities by about a factor of 20. Within the accuracy of the present experiments,<br />

the suppression of boron diffusion in SiGe:C can be described as a product of<br />

a retardation coefficient due to Ge and a retardation coefficient due to C.<br />

1072<br />

14<br />

12<br />

10<br />

8<br />

6<br />

4<br />

2<br />

0<br />

Ge Content (%)<br />

A n n u A l R e p o R t 2 0 0 6<br />

109


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

110 A n n u A l R e p o R t 2 0 0 6<br />

B Diffusion Coefficient (10 -14 cm -2 /s)<br />

10<br />

1<br />

0.1<br />

no C<br />

3x10 19 cm -3 C<br />

1x10 20 cm -3 C<br />

0.0 0.1 0.2<br />

Ge Content<br />

Figure 2. Extracted diffusion coefficients of B in SiGe:C alloys at 900ºC as a function<br />

of Ge and C concentrations. Error bars were estimated from the scattering of the fitted<br />

diffusion coefficients for different annealing times and from uncertainties of the numerical<br />

fits. Lines are fits of the diffusion coefficients as a function of the Ge content according<br />

to Eq. (1).<br />

Phosphorus Diffusion<br />

We have investigated the diffusion of P in Si, Si0.9Ge0.1, Si0.8Ge0.2, and Si0.8Ge0.2:C<br />

doped with 5x10 19 cm -3 carbon.. All layers are grown pseudomorphically on Si (100)<br />

substrates. Two P diffusion profiles in Si and strained Si0.9Ge0.1 are shown in Fig. 3.<br />

P Concentration (cm -3 )<br />

10 18<br />

10 17<br />

(a)<br />

ECS Transactions, 3 (7) 1069-1075 (<strong>2006</strong>)<br />

20 40 60 80 100<br />

1073<br />

(b)<br />

Depth (nm)<br />

20 40 60 80 100 0<br />

Figure 3. SIMS profiles of P diffusion markers in as-grown samples and after annealing<br />

at 900ºC for 15 min. (a) Si reference sample, (b) Si0.9Ge0.1 sample.<br />

10<br />

8<br />

6<br />

4<br />

2<br />

Ge Content (%)


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

According to the previous discussion, P diffusion in compressively strained SiGe is<br />

expected to be suppressed due to strain and enhanced due to Ge alloying. Fig. 3 indicates<br />

that the combined effect of strain and alloy composition is a slower diffusion of P in<br />

Si0.9Ge0.1 than in Si. Moreover, Fig. 3 indicates a segregation of P from the Si0.9Ge0.1<br />

layer into the adjacent Si region.<br />

This segregation of P is much more pronounced for the Si0.8Ge0.2 sample (Fig.4a).<br />

Comparison of the P diffusion profiles in Figs. 4a and 4b shows that C doping results in a<br />

strong suppression of P diffusion in SiGe. However, more experimental data are needed<br />

for an accurate determination of diffusion and segregation coefficients of P as a function<br />

of Ge and C concentration.<br />

P & C Concentration (cm -3 )<br />

10 20<br />

10 19<br />

10 18<br />

10 17<br />

(a)<br />

10<br />

0 50 100<br />

16<br />

ECS Transactions, 3 (7) 1069-1075 (<strong>2006</strong>)<br />

Ge<br />

0 50 100 150 0<br />

Depth (nm)<br />

Figure 4. SIMS profiles of P diffusion markers in as-grown samples and after annealing<br />

at 900ºC for 60 min. (a) Si0.8Ge0.2 sample without carbon doping (b) Si0.8Ge0.2 sample<br />

doped with 5x10 19 cm -3 carbon.<br />

1074<br />

(b)<br />

Conclusions<br />

Dopant diffusion coefficients in SiGe:C alloy show changes of more than one order<br />

of magnitude as a function of alloy composition. In SiGe heterostructures, diffusion coefficients<br />

are influenced by Ge content, strain and segregation across Si/SiGe interfaces. C<br />

doping suppresses diffusion coefficients of the interstitial diffusers B and P and enhances<br />

diffusion coefficients of the vacancy diffusers As and Sb. In ternary SiGe:C alloys, the<br />

suppression of the diffusion coefficient of boron can be approximately described as a<br />

product of a retardation coefficient due to Ge and a retardation coefficient due to C.<br />

References<br />

1. N. E. B. Cowern, P. C. Zalm, P. van der Sluis, D. J. Gravensteijn, and W. B. de<br />

Boer, Phys. Rev. Lett. 72, 2585 (1994).<br />

2. N. R. Zangenberg, J. Fage-Pedersen, J. Lundsgaard Hnasen, and A. Nylandsted<br />

Larsen, J. Appl. Phys. 94, 3883 (2003).<br />

C<br />

Ge<br />

20<br />

15<br />

10<br />

5<br />

Ge Content (%)<br />

A n n u A l R e p o R t 2 0 0 6<br />

111


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

112 A n n u A l R e p o R t 2 0 0 6<br />

ECS Transactions, 3 (7) 1069-1075 (<strong>2006</strong>)<br />

3. P. Kringhoj, A. Nylandsted Larsen, and S. Y. Shirayev, Phys. Rev. Lett. 76, 3372<br />

(1996).<br />

4. G. Xia, O. O. Olubuyide, J. L. Hoyt, and M. Canonico, Appl. Phys. Lett. 88,<br />

013507 (<strong>2006</strong>).<br />

5. S. Eguchi, J. L. Hoyt, C. W. Leitz, and E. A. Fitzgerald, Appl. Phys. Lett. 80,<br />

1743 (2002).<br />

6. P. Kuo, J. L. Hoyt, J. F. Gibbons, J. E. Turner, and D. Lefforge, Appl. Phys. Lett.<br />

66, 580 (1995).<br />

7. S. M. Hu, D. C. Ahlgren, P. A. Rosenheim, and J. O. Chu, Phys. Rev. Lett. 67,<br />

1450 (1991).<br />

8. R. F. Lever, J. M. Bonar, and A. F. W. Willoughby, J. Appl. Phys. 83 1988<br />

(1998).<br />

9. H. Rücker and B. Heinemann, Solid-State Electronics 44 783 (2000).<br />

10. P. A. Stolk, H.-J. Gossmann, D. J. Eaglesham, D.C. Jacobson, C. S. Rafferty, G.<br />

H. Gilmer, M. Jaraiz, J. M. Poate, H. S. Luftmann, and T. E. Hayes, Appl. Phys.<br />

Lett. 66, 1370 (1995).<br />

11. H. Rücker, B. Heinemann, W. Röpke, R. Kurps, D. Krüger, G. Lippert, and H. J.<br />

Osten, Appl. Phys. Lett. 73, 1682 (1998) and 75, 147 (E) (1999) .<br />

12. H. Rücker, B. Heinemann, D. Bolze, D. Knoll, D. Krüger, R. Kurps, H. J. Osten,<br />

P. Schley, B. Tillack, and P. Zaumseil, Technical Digest, International Electron<br />

Device Meeting, (IEEE, Piscataway, NJ, 1999) p.109.<br />

13. R. Scholz, U. Gösele, J.-Y. Huh, and T. Y. Tan, Appl. Phys. Lett. 72, 200 (1998).<br />

14. R. F. Scholz, P. Werner, U. Gösele, and T. Y. Tan, Appl. Phys. Lett. 74, 392<br />

(1999).<br />

15. H. Rücker, B. Heinemann, and R. Kurps, Phys. Rev. B 64, 073202 (2001).<br />

16. M. S. A. Karunaratne, A. F. W. Willoughby, J. M. Bonar, J. Zhang, and P.<br />

Ashburn, J. Appl. Phys. 97, 113531 (2005).<br />

1075


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 8, AUGUST <strong>2006</strong> 1937<br />

Briefs<br />

High-Quality Al2O3/Pr2O3/Al2O3 MIM Capacitors<br />

for RF Applications<br />

Ch. Wenger, G. Lippert, R. Sorge, T. Schroeder, A. U. Mane,<br />

G. Lupina, J. Dabrowski, P. Zaumseil, X. Fan, L. Oberbeck,<br />

U. Schroeder, and H.-J. Müssig<br />

Abstract—The electrical characteristics of layered Al2O3/Pr2O3/<br />

Al2O3 metal–insulator–metal (MIM) capacitors for RF device applications<br />

are presented for the first time. This advanced dielectric layer system<br />

4-nm Al2O3/8-nm Pr2O3/4-nm Al2O3 shows a high capacitance density<br />

of 5.7 fF/µm 2 , a low leakage current density of 5 × 10 −9 A/cm 2 at 1 V,<br />

and an excellent dielectric loss behavior over the studied frequency range.<br />

Index Terms—Capacitor, high-κ, metal–insulator–metal (MIM), thinfilm<br />

devices, voltage linearity.<br />

I. INTRODUCTION<br />

The metal–insulator–metal (MIM) capacitors as passive devices<br />

for RF and mixed-signal IC applications have attracted much attention.<br />

The replacement of conventional SiO2 and Si3N4 by high-k<br />

dielectric materials is essential to reduce the capacitor area. Recently,<br />

several high-k materials such as Al2O3, AlTiOx, AlTaOx,<br />

(HfO2)1−x(Al2O3)x, HfO2, ZrO2, Y2O3, Ta2O5, PrTixOy, and Pr2O3<br />

have been investigated as potential MIM capacitor dielectrics [1]–[10].<br />

Pr2O3 exhibits a promising k-value of 15–30 [7]. However, because<br />

of moderate leakage characteristics [9], MIM capacitors including<br />

pure Pr2O3 dielectrics are not suitable for RF applications. As an<br />

alternative, layered Al2O3/Pr2O3/Al2O3 MIM capacitors are promising<br />

candidates to meet the requirements of the current International<br />

Roadmap for Semiconductors (ITRS). In this brief, we present a<br />

new high-k MIM stack with a high capacitance density as high as<br />

5.7 fF/µm 2 and a low leakage current density. The intrinsic losses<br />

of the dielectrics were extracted by equivalent circuit simulation.<br />

II. EXPERIMENTS<br />

Sputtered TiN films with a thickness of 10 nm were used as bottom<br />

electrodes. Al2O3 and Pr2O3 films with various thicknesses were<br />

deposited by electron beam evaporation, with 4-nm-thick Al2O3 layers<br />

at the bottom and top sides of the dielectric stack. The thickness of<br />

the Pr2O3 layers was varied in the range of 9–77 nm. The Al2O3 and<br />

Pr2O3 layers were deposited at 100 ◦ C. Finally, Au dots with a diameter<br />

of 400 µm were evaporated through a shadow mask. Capacitance<br />

density, leakage current, and dielectric loss were measured to electrically<br />

characterize the MIM capacitors. Physical analysis of the stacked<br />

films was done by cross section transmission electron microscopy<br />

(XTEM), X-ray reflection (XRR), and X-ray diffraction (XRD).<br />

Manuscript received February 7, <strong>2006</strong>; revised April 27, <strong>2006</strong>. This work<br />

was supported within the scope of technology development by the EFRE fund<br />

of the European Community and by the State Saxony of the Federal Republic<br />

of Germany. The review of this brief was arranged by Editor V. R. Rao.<br />

Ch. Wenger, G. Lippert, R. Sorge, T. Schroeder, A. U. Mane, G. Lupina,<br />

J. Dabrowski, P. Zaumseil, X. Fan, and H.-J. Müssig are with <strong>IHP</strong>, 15236<br />

Frankfurt (Oder), Germany (e-mail: wenger@ihp-microelectronics.com).<br />

L. Oberbeck and U. Schroeder are with Infineon Technologies SC300 GmbH<br />

and Co. OHG, 01099 Dresden, Germany.<br />

Digital Object Identifier 10.1109/TED.<strong>2006</strong>.877870<br />

0018-9383/$20.00 © <strong>2006</strong> IEEE<br />

Fig. 1. XTEM picture of the Al2O3/Pr2O3/Al2O3 stack MIM capacitor.<br />

III. RESULTS AND DISCUSSIONS<br />

MIM capacitors with Pr2O3 as the dielectric demonstrated high-k<br />

values (∼ 15), but the leakage current density is not sufficiently low<br />

[9]. For this reason, we use the band-offset engineering approach by<br />

sandwiching the Pr2O3 film between two layers of the wide band gap<br />

insulator Al2O3. Aside from the increase of the electric barrier height,<br />

the top Al2O3 layer also acts as a cap to protect the Pr2O3 film against<br />

humidity. The hygroscopic nature is a well-known characteristic of<br />

Pr2O3 [11]. Positive fixed charges can be created by water absorption<br />

from air, which affect the electrical characteristics in an indefinite<br />

manner.<br />

Due to the moderate k-value of Al2O3 (∼ 8), the resulting capacitance<br />

of the Al2O3/Pr2O3/Al2O3 stacked MIM capacitors will be<br />

reduced. Therefore, the Al2O3 layer thickness must be kept as thin as<br />

possible to obtain high capacitance densities, but its barrier capability<br />

against water diffusion has to be ensured. To meet both requirements,<br />

the thickness of the Al2O3 was set to 4 nm.<br />

The XTEM image of a stacked MIM capacitor with 4-nm<br />

Al2O3/8-nm Pr2O3/4-nm Al2O3 is shown in Fig. 1. The thickness of<br />

the dielectric stack was determined by XTEM and controlled by XRR.<br />

XRD experiments (not shown) indicate that the Al2O3 and the Pr2O3<br />

layers are amorphous. The capacitance density, which is measured at<br />

10 kHz as a function of dielectric thickness, is illustrated in Fig. 2.<br />

The highest capacitance value of 5.7 fF/µm 2 was obtained by a stack<br />

of 4-nm Al2O3/9-nm Pr2O3/4-nm Al2O3. A model of three serial<br />

capacitors was used to calculate the capacitance density. The fitting<br />

parameters of these procedure were the k-values of Al2O3 and Pr2O3,<br />

whereas the thicknesses of the dielectric films were determined by<br />

XRR. The derived k-values are 8 for Al2O3 and 15 for Pr2O3. The<br />

capacitance densities of pure Al2O3 and Pr2O3 capacitors, which are<br />

calculated using these k-values, are shown in Fig. 2 (dotted lines).<br />

The capacitance of thin stacked MIM capacitors is dominated by the<br />

k-value of Al2O3, whereas the effective k-value of thicker MIM stacks<br />

is regulated by Pr2O3. The dissipation factors (tan δ) obtained from<br />

MIM capacitors with different Pr2O3 thicknesses at 0 V bias voltage<br />

are shown in Fig. 3. The stacked capacitor with 5.7 fF/µm 2 exhibits<br />

a small tan δ of 0.02 at a frequency of 10 kHz. With increasing the<br />

Pr2O3 thickness, the dielectric loss increases. To extract the intrinsic<br />

A n n u A l R e p o R t 2 0 0 6<br />

113


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

1938 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 8, AUGUST <strong>2006</strong><br />

Fig. 2. Capacitance density versus thickness of the stacked Al2O3/Pr2O3/<br />

Al2O3 dielectrics. Solid line: serial capacitor model. Dotted line: single-layer<br />

Al2O3 and Pr2O3 capacitors.<br />

Fig. 3. Loss tangent (tan δ) of stacked MIM capacitors with different Pr2O3<br />

thicknesses as a function of frequency at 0 V. Solid line: simulated loss tangents.<br />

Fig. 4. Equivalent circuit for a layered Al2O3/Pr2O3/Al2O3 MIM capacitor.<br />

dissipation losses of the stacked dielectrics, the tan δ of the equivalent<br />

circuit was simulated, as shown in Fig. 4. The required capacitance<br />

values are extracted from capacitance–voltage measurements, and<br />

the conductivities of the Al2O3 and Pr2O3 layers are determined<br />

from leakage current–voltage characteristics. The leakage currents of<br />

single-layer Al2O3 and Pr2O3 MIM capacitors are shown in Fig. 5<br />

and will be discussed later in the text. The simulated loss tangents are<br />

114 A n n u A l R e p o R t 2 0 0 6<br />

Fig. 5. Leakage current density at 1 V of single-layer Pr2O3 and Al2O3 MIM<br />

capacitors as a function of dielectric film thickness. The current measurement<br />

of Al2O3 is limited by the sensitivity of the instrument.<br />

Fig. 6. Extracted access loss tangent as a function of frequency.<br />

represented as solid lines in Fig. 3. The paraboliclike behavior can be<br />

simulated, but it is clearly seen that there is an access loss, which is<br />

not included in the used equivalent circuit. The increase of tan δ in the<br />

high-frequency range above 100 kHz is caused by additional losses<br />

like contact resistances. Interfacial relaxation, which is so-called the<br />

Maxwell–Wagner effect, leads to an increase of tan δ in the frequency<br />

regime below 10 kHz. The excess dielectric loss in the middle of the<br />

frequency regime cannot be explained by the equivalent circuit model.<br />

By subtracting the simulated loss tangent from the measured losses, we<br />

extracted the access tan δ, as shown in Fig. 6. It is clearly seen that the<br />

access dissipation factor rises with increasing Pr2O3-film thickness.<br />

Various microscopic mechanisms can be listed to explain the dielectric<br />

loss in the Pr2O3 layer. For example, free carriers are stored<br />

at the dielectric–electrode interfaces, leading to space charges [12].<br />

By applying an electric field, macroscopic dipoles will be created,


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 8, AUGUST <strong>2006</strong> 1939<br />

Fig. 7. Leakage current densities of stacked MIM capacitors as a function of<br />

the applied voltage.<br />

resulting in space charge relaxation processes. The dielectric can also<br />

become a loss by dipolar relaxation of moving oxygen vacancies.<br />

Further work is on the way to determine the microscopic origin of the<br />

observed dielectric loss.<br />

The leakage currents of single-layer Al2O3 and Pr2O3 MIM capacitors<br />

are shown in Fig. 5. Over the studied film thickness range, the<br />

leakage current of Pr2O3 is strongly affected by the film thickness,<br />

whereas the leakage mechanism of Al2O3 is weakly influenced. The<br />

current measurement in this range is limited by the sensitivity of the<br />

instrument. Because of the higher band gap of Al2O3 (∼ 8.8 eV) [13],<br />

the leakage current density is lower than that of Pr2O3. The estimated<br />

band gap of Pr2O3 is around 4.6 eV [14].<br />

The observed leakage current characteristics of the stacked dielectrics<br />

are shown in Fig. 7. The dielectric stack of 4-nm<br />

Al2O3/9-nm Pr2O3/4-nm Al2O3 exhibits the lowest leakage current<br />

density of 5 × 10 −9 A/cm 2 at 1 V. The increment of the Pr2O3<br />

thickness leads to higher leakage current densities, which correspond<br />

to the loss tangent characteristics.<br />

IV. CONCLUSION<br />

The MIM capacitor with a dielectric stack composed of 4-nm<br />

Al2O3/9-nm Pr2O3/4-nm Al2O3 exhibits excellent electrical performances,<br />

such as high capacitance density, low dielectric loss, and<br />

excellent leakage current density. This laminated capacitor meets the<br />

requirements for passive MIM devices in RF applications. However,<br />

by increasing the Pr2O3-film thickness, the loss tangent as well as the<br />

leakage current density rise.<br />

REFERENCES<br />

[1] S. B. Chen, C. H Lai, A. Chin, J. C. Hsieh, and J. Liu, “High-density MIM<br />

capacitors using Al2O3 and AlTiOx dielectrics,” IEEE Electron Device<br />

Lett., vol. 23, no. 4, pp. 185–187, Apr. 2002.<br />

[2] M. Y. Yang, C. H. Huang, A. Chin, C. Zhu, M. F. Li, and D.-L. Kwong,<br />

“High-density MIM capacitors using AlTaOx Dielectrics,” IEEE Electron<br />

Device Lett., vol. 24, no. 5, pp. 306–308, May 2003.<br />

[3] H. Hu, C. Zhu, X. Yu, A. Chin, M. F. Li, B. J. Cho, D.-L. Kwong,<br />

P. D. Foo, M. B. Yu, X. Liu, and J. Winkler, “MIM capacitors using<br />

atomic-layer-deposited high-k (HfO2)1−x(Al2O3)x dielectrics,” IEEE<br />

Electron Device Lett., vol. 24, no. 2, pp. 60–62, Feb. 2003.<br />

0018-9383/$20.00 © <strong>2006</strong> IEEE<br />

[4] X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D.-L. Kwong,<br />

P. D. Foo, and M. B. Yu, “A high-density MIM capacitor (13 fF/µm 2 )<br />

using ALD HfO2 dielectrics,” IEEE Electron Device Lett., vol. 24, no. 2,<br />

pp. 63–65, Feb. 2003.<br />

[5] S.-Y. Lee, H. Kim, P. C. McIntyre, K. C. Sarawat, and J.-S. Byun, “Atomic<br />

layer deposition of ZrO2 on W for metal–insulator–metal capacitor application,”<br />

Appl. Phys. Lett., vol. 82, no. 17, pp. 2874–2876, Apr. 2003.<br />

[6] C. Durand, C. Vallée, V. Loup, O. Salicio, C. Dubourdieu, S. Blonkowski,<br />

M. Bonvalot, P. Holliger, and O. Joubert, “Metal–insulator–metal capacitors<br />

using Y2O3 dielectric grown by pulsed-injection plasma enhanced<br />

metal–organic chemical vapor deposition,” J. Vac. Sci. Technol. A, Vac.<br />

Surf. Films, vol. 22, no. 3, pp. 655–660, May 2004.<br />

[7] T. Busani and R. A. B. Devine, “The importance of network structure in<br />

high-k dielectrics: LaAlO3, Pr2O3, and Ta2O5,” J. Appl. Phys., vol. 98,<br />

no. 4, p. 044102, Aug. 2005.<br />

[8] T. Ishikawa, D. Kodama, Y. Matsui, M. Hiratani, T. Furusawa, and<br />

D. Hisamoto, “High-capacitance Cu/Ta2O5/Cu MIM structure for SoC<br />

applications featuring a single-mask add-on process,” in IEDM Tech. Dig,<br />

2002, pp. 940–942.<br />

[9] C. Wenger, J. Dabrowski, P. Zaumseil, R. Sorge, P. Formanek, G. Lippert,<br />

and H.-J. Müssig, “First investigation of metal–insulator–metal (MIM)<br />

capacitor using Pr2O3 dielectrics,” Mater. Sci. Semicond. Process., vol. 7,<br />

no. 4–6, pp. 227–230, 2004.<br />

[10] C. Wenger, R. Sorge, T. Schroeder, A. U. Mane, G. Lippert, G. Lupina,<br />

J. Dabrowski, P. Zaumseil, and H.-J. Muessig, “MIM capacitors using<br />

amorphous high-k PrtixOy dielectrics,” Micrelectron. Eng., vol. 80,<br />

pp. 313–316, Jun. 2005.<br />

[11] S. Jeon and H. Hwang, “Effect of hygroscopic nature on the electrical<br />

characteristics of lanthanide oxides (Pr2O3, Sm2O3, Gd2O3 and<br />

Dy 2 O3),” J. Appl. Phys., vol. 93, no. 10, pp. 6393–6395, May 2003.<br />

[12] R. Coelho, Physics of Dielectrics. Amsterdam, The Netherlands: Elsevier,<br />

1979, ch. 7.<br />

[13] J. Robertson, “Band offets of wide-band-gap oxides and implications for<br />

future electronic devices,” J. Vac. Sci. Technol. B, Miocroelectron, vol. 18,<br />

no. 3, pp. 1785–1789, 2000.<br />

[14] A. V. Prokofiev, A. I. Shelykh, and B. T. Melekh, “Periodicity in the band<br />

gap variation of Ln2X3 (X = O, S, Se) in the lanthanide series,” J. Alloys<br />

Compd., vol. 242, no. 1, pp. 41–44, Sep. 1996.<br />

The Improvement of Polycrystalline Silicon TFTs<br />

Fabricated by Employing Periodic Metal Pads<br />

Hsu-Yu Chang, Chao-Yu Meng, Ming-Wei Tsai,<br />

Bo-Chuan Yang, Tzu-Hung Chuang, and Si-Chen Lee<br />

Abstract—Polysilicon films with regular-sized and large grains were<br />

fabricated by employing periodic metal (Cr–Al) pads as the heat sinks<br />

and with underlying silicon oxynitride (SiON) as the heat absorption<br />

layer. The poly-Si could grow to regular hexagonal grains after excimer<br />

laser annealing (ELA). The thin-film transistors (TFTs) fabricated by<br />

this method show uniform characteristics that are suitable for large-area<br />

applications. The TFT achieves a field-effect mobility of 270 cm 2 /V · s and<br />

an ON–OFF current ratio exceeding 10 8 . It is found that the TFT with the<br />

smaller channel width and length results in a better subthreshold swing<br />

because it contains fewer grain boundaries and, thus, fewer defects. After<br />

comparing the performance of TFTs using either double-metal Cr–Al<br />

or single-metal Al photonic-crystal pads, it is found that the Cr could<br />

efficiently impede the diffusion of Al into Si during ELA.<br />

Index Terms—Photonic crystal, polycrystalline silicon, thin-film<br />

transistor (TFT).<br />

Manuscript received October 13, 2005; revised April 21, <strong>2006</strong>. This work<br />

was supported by the National Science Council of Taiwan, R.O.C., under<br />

Contract NSC 93-2215-E-002-046. The review of this brief was arranged by<br />

Editor T. Skotnicki.<br />

The authors are with the Graduate Institute of Electronic Engineering and<br />

Department of Electrical Engineering, National Taiwan University, Taipei<br />

10617, Taiwan, R.O.C.<br />

Digital Object Identifier 10.1109/TED.<strong>2006</strong>.877873<br />

A n n u A l R e p o R t 2 0 0 6<br />

115


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

Praseodymium silicate films on Si„100… for gate dielectric applications:<br />

Physical and electrical characterization<br />

G. Lupina, a� T. Schroeder, J. Dabrowski, Ch. Wenger, A. U. Mane, and H.-J. Müssig<br />

<strong>IHP</strong>-<strong>Microelectronics</strong>, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany<br />

P. Hoffmann and D. Schmeisser<br />

Angewandte Physik-Sensorik, BTU Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus, Germany<br />

�Received 9 November 2005; accepted 12 March <strong>2006</strong>; published online 12 June <strong>2006</strong>�<br />

Praseodymium �Pr� silicate dielectric layers were prepared by oxidation and subsequent N 2<br />

annealing of thin Pr metal layers on SiO 2/Si�100� substrates. Transmission electron microscopy<br />

studies reveal that the resulting dielectric has a bilayer structure. Nondestructive depth profiling by<br />

using synchrotron radiation x-ray photoelectron spectroscopy shows that, starting from the<br />

substrate, the dielectric stack is composed of a SiO 2-rich and a SiO 2-poor Pr silicate phase. Valence<br />

and conduction band offsets of about 2.9 and 1.6 eV, respectively, between the dielectric and the<br />

Si�100� substrate bands were deduced. Pr silicate films with an equivalent oxide thickness of 1.8 nm<br />

show approximately three orders of magnitude lower leakage currents than silicon oxynitride<br />

references. Capacitance versus voltage measurements of the Pr silicate/Si�100� system report a flat<br />

band voltage shift of 0.22 V, an effective dielectric constant of about 11 and a reasonably good<br />

interface quality with an interface state density on the order of 10 11 cm −2 . Experimental results are<br />

supplemented by ab initio considerations which review the most probable mechanisms of fixed<br />

charge formation in the Pr silicate layers. © <strong>2006</strong> American Institute of Physics.<br />

�DOI: 10.1063/1.2202235�<br />

I. INTRODUCTION<br />

The continuous reduction of metal-oxide-semiconductor<br />

field-effect transistor �MOSFET� dimensions was in the past<br />

the major factor contributing to the performance increase of<br />

integrated circuits �IC’s�. 1,2 However, as the MOSFET channel<br />

length is shrinked to the nanometer regime, alternative<br />

device designs, and alternative materials are necessary to<br />

continue scaling at the current rate. 3 One of the key challenges<br />

is the replacement of the traditional silicon dioxide<br />

�SiO 2� gate dielectric which has become thinner than 1.5 nm<br />

in state-of-the-art devices and does not provide sufficient insulation<br />

anymore. 4 Although considerable progress was<br />

achieved in optimizing oxynitride dielectrics to reduce the<br />

gate leakage currents as compared to SiO 2, the introduction<br />

of a material with higher dielectric constant �high k� is very<br />

desirable. 3 Therefore, different high-k dielectrics on silicon<br />

�Si� are currently studied. Many of the metal oxides that<br />

initially appeared attractive due to their high dielectric constants<br />

were eliminated because of either low conduction<br />

band offset with respect to Si �e.g., TiO 2, Ta 2O 5� or thermodynamic<br />

instability in contact with Si �e.g., ZrO 2�. 5,6 In contrast<br />

to these binary compounds, transition and rare-earth<br />

metal silicates satisfy many requirements for gate dielectrics<br />

in advanced MOSFET devices, i.e., silicate-type materials<br />

show reasonable k values and form a high quality interface<br />

on Si comparable with state-of-the-art SiO 2/Si systems. 7<br />

Based on these arguments, it is desirable to engineer a com-<br />

a� Author to whom correspondence should be addressed; electronic mail:<br />

lupina@ihp-microelectronics.com<br />

116 A n n u A l R e p o R t 2 0 0 6<br />

JOURNAL OF APPLIED PHYSICS 99, 114109 �<strong>2006</strong>�<br />

positionally graded silicate film with a SiO 2-rich interface<br />

layer on Si �high carrier mobility� and a SiO 2-poor top layer<br />

�high dielectric constant�.<br />

In this study, we take advantage of the high tendency of<br />

praseodymium �Pr� to form silicates. 8 As a main result, it is<br />

found that the solid state reaction of Pr with SiO 2/Si�100�<br />

results in a silicate film morphology of the above given bilayer<br />

structure.<br />

II. EXPERIMENT<br />

Phosphorus-doped Si�100� wafers ��=0.3–0.6 � cm�<br />

covered with thin ��1.2 nm� SiO 2 layers formed by a<br />

chemical passivation procedure 9 were used as substrates.<br />

About 2-nm-thick Pr films were deposited on these substrates<br />

by molecular beam epitaxy �MBE� while the wafer<br />

was kept at room temperature �RT�. Base pressure in the<br />

process chamber during deposition was in the 10 −8 mbar<br />

range. Following the deposition, samples were oxidised in<br />

air at RT. Subsequently, a postdeposition annealing step �<br />

1 min at 700 °C� in nitrogen �N 2� with oxygen partial pressure<br />

of 10 −5 mbar was applied. In this way Pr silicate layers<br />

with thickness of about 5 nm were obtained.<br />

Characterization of the film composition after each<br />

preparation step was performed by x-ray photoelectron<br />

spectroscopy �XPS� using nonmonochromatized AlK�<br />

�1486.6 eV� radiation and a PHI 10–360 energy analyzer. Si<br />

2p, O 1s, and Pr 3d core level spectra were collected at a<br />

takeoff angle of 45°. After preparation, the structure and the<br />

chemical composition of the dielectrics was determined.<br />

Transmission electron microscopy �TEM� images were obtained<br />

using a Philips CM 300 microscope with a point-to-<br />

0021-8979/<strong>2006</strong>/99�11�/114109/8/$23.00 99, 114109-1<br />

© <strong>2006</strong> American Institute of Physics<br />

Downloaded 19 Jun <strong>2006</strong> to 134.105.104.45. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

114109-2 Lupina et al. J. Appl. Phys. 99, 114109 �<strong>2006</strong>�<br />

point spatial resolution of 1.8 Å. Nondestructive depth profiling<br />

studies by synchrotron radiation XPS �SR-XPS� were<br />

performed at the UPGM 49/2 undulator beamline of BESSY<br />

II. 10 Incident photon energies �E 0� of 350, 600, 850, and<br />

1100 eV were chosen to allow depth profile studies covering<br />

the whole dielectric film thickness. The emitted photoelectrons<br />

were collected with an Omicron EA 125 hemispherical<br />

energy analyzer at a takeoff angle of 85° with respect to the<br />

sample surface. All XPS and SR-XPS spectra were referenced<br />

to the Au 4f 7/2 line �84 eV�. The electron inelastic<br />

mean free path �IMFP� for Si 2p, O 1s, and Pr 3d photoelectrons<br />

was estimated using the NIST Standard Reference Database<br />

71. 11 The corresponding sampling depth was defined<br />

as three times the IMFP.<br />

For electrical characterization, metal-insulator-semiconductor<br />

�MIS� capacitors were formed by in situ evaporation<br />

of gold �Au� electrodes of �200 nm thickness on the Pr<br />

silicate/Si�100� system. The backside of each sample was<br />

coated with a �300-nm-thick Al layer. Finally, a postmetallization<br />

annealing step �15 min at 300 °C� in forming gas<br />

�5% H 2 in N 2� was applied. The capacitance versus voltage<br />

�CV� measurements were performed in serial mode at a frequency<br />

of 10 kHz using an Agilent 4294A impedance analyzer.<br />

Equivalent oxide thickness �EOT� was extracted from<br />

the accumulation capacitance using the QITFIT software<br />

which applies a quantum-mechanical correction for charge<br />

quantization in the Si substrate. 12 Current versus voltage<br />

�JV� characteristics were obtained using an AVT 110 wafer<br />

tester.<br />

Ab initio calculations were done with the ab initio<br />

pseudopotential plane wave code FHI96MD. 13,14 We applied<br />

the local density approximation �LDA� for the exchange and<br />

correlation energy 15,16 and nonlocal pseudopotentials in the<br />

Trouller-Martins scheme 17,18 with 40 Ry cutoff for plane<br />

waves. Since numerous defect structure have been investigated<br />

for the purpose of this work and the typical cells have<br />

no symmetry but many atoms, a low-symmetry special<br />

k-point sampling scheme would require a prohibitively high<br />

numerical effort. The Brillouin zone was thus sampled at the<br />

� k point corresponding to the cell of dimensions as close as<br />

possible to the dimensions of the Pr 2O 3 2�2�1 cell<br />

�orthorombic with dimensions 1.08�1.73�1.30 nm 3 �. Tests<br />

with more converged samplings indicate that although more<br />

exact calculations are needed to confirm the quantitative results,<br />

the qualitative picture presented here is valid.<br />

III. RESULTS AND DISCUSSION<br />

A. XPS study of film formation<br />

The results of the in situ XPS study at the various film<br />

preparation steps are presented in Fig. 1.<br />

The SiO 2/Si�100� substrate exhibits Si 2p emission �Fig.<br />

1�a�� from bulk Si and from SiO 2 located at 99.3 and<br />

103.3 eV, respectively. The corresponding O 1s spectrum<br />

�Fig. 1�b�� shows a single emission peak at 533.3 eV which<br />

is typical for SiO 2. 19<br />

After deposition of about 2 nm metallic Pr, both the Si<br />

bulk and the small oxide related Si 2p peak �Fig. 1�a�� move<br />

towards higher binding energy by nearly the same amount<br />

FIG. 1. XPS Si 2p �a�, O 1s �b�, and Pr 3d �c� spectra during Pr silicate film<br />

preparation. Labels indicate preparation steps.<br />

��0.3 eV�. The direction of this shift is consistent with<br />

downward band bending in the Si substrate and the presence<br />

of a dipole over the dielectric, probably induced by charge<br />

transfer from the Pr layer to the Si substrate in the process of<br />

Downloaded 19 Jun <strong>2006</strong> to 134.105.104.45. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp<br />

A n n u A l R e p o R t 2 0 0 6<br />

117


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

114109-3 Lupina et al. J. Appl. Phys. 99, 114109 �<strong>2006</strong>�<br />

Fermi level equilibration. 20 Furthermore, an additional component<br />

centered at 98.2 eV appears �arrow�. Its position at<br />

lower binding energy than the Si bulk signal suggests that Pr<br />

silicide is formed. 21 The O 1s emission �Fig. 1�b�� exhibits a<br />

broad feature, resulting from the overlap of Pr 2O 3, Pr silicate<br />

and SiO 2 peaks centered at 530.1, 531.9, and 533.1 eV, respectively.<br />

This broadening proves that the metallic Pr layer<br />

is not stable on SiO 2 at RT. 21 The SiO 2 layer is partially<br />

reduced by Pr and the reaction products are Pr oxide, Pr<br />

silicate and Pr silicide. The Pr 3d spectrum shows a complex<br />

emission pattern characteristic of rare-earth elements. Due to<br />

initial- and final state hybridization effects, it is difficult to<br />

assign chemical states to the features observed in the XPS Pr<br />

3d lines. 22,23 Despite this, some insight can be gained by<br />

comparing the shape of the Pr 3d emission at the various<br />

preparation steps. After Pr deposition, the Pr 3d 5/2 and 3d 3/2<br />

spin-orbit components are located at 932.5 and 952.8 eV,<br />

respectively. These values and the observed spectrum shape<br />

are in good agreement with those reported for pure Pr. 24 The<br />

Pr 3d spectrum reflects only the metallic part of the deposited<br />

Pr layer because the high binding energy of this core<br />

level results in such a low kinetic energy of the emitted photoelectrons<br />

that only the film surface is probed.<br />

After air exposure, there is no evidence of Pr–Si bonds<br />

in the Si 2p emission range anymore �Fig. 1�a��. This proves<br />

the thermodynamic instability of Pr silicides in oxygen-rich<br />

environments. Furthermore, the bulk Si peak shifts to its<br />

original clean substrate position showing that band bending,<br />

introduced in the system by Pr deposition, is reduced during<br />

the oxidation process. Such a reversibility of the Si substrate<br />

core level peak positions was reported before and associated<br />

with the change of the topmost layer of the stack from a<br />

metallic source of electrons to an electrically inactive insulator<br />

as the deposited overlayer is oxidized. 21 The Si oxide<br />

related peak gains intensity and appears at 101.9 eV. This is<br />

an intermediate value with respect to bulk Si �99.3 eV� and<br />

SiO 2 �103.3 eV�, indicating the formation of a Pr silicate<br />

compound. 19 It can therefore be concluded that at least part<br />

of the Pr atoms have intermixed with SiO 2. 22 The O 1s main<br />

peak �Fig. 1�b�� is observed at 531.4 eV, consistent with Pr<br />

silicate being the dominant specie in the dielectric. A shoulder<br />

structure at 530 eV points to the presence of Pr 2O 3. In<br />

the corresponding Pr 3d spectrum �Fig. 1�c�� the Pr 3d 5/2 and<br />

3d 3/2 lines appear at 933.6 and 954.0 eV, respectively. In<br />

addition, satellite structures on the lower binding energy side<br />

of the main peaks show up, producing an emission pattern<br />

typical for Pr oxides. 24 The fact that the surface sensitive Pr<br />

3d spectrum reports only Pr oxide and no Pr silicate formation<br />

shows that the oxide is situated on top of the Pr silicate.<br />

After N 2 annealing, the Si 2p substrate emission peak<br />

�Fig. 1�a�� undergoes a shift by about 0.4 eV towards higher<br />

binding energy �99.7 eV�. This downward bend of the energy<br />

bands in the silicon at the dielectric/Si interface is associated<br />

with an accumulation of negative charge in the silicon<br />

in the interface region. If this charge is compensated by<br />

positive charge in the film, an electrical field must exist in<br />

the dielectric. Such a potential drop over the dielectric is<br />

observed in CV measurements described in one of the following<br />

sections and is at most of the order of 0.2 eV. As the<br />

118 A n n u A l R e p o R t 2 0 0 6<br />

FIG. 2. SR-XPS Si 2p �a� and O 1s �b� photoelectron lines of the Pr silicate<br />

film. Labels indicate incident photon energies.<br />

Si 2p silicate component �Fig. 1�a�� is found to shift during<br />

N 2 annealing by more than 0.2 eV, namely, by about 1 eV<br />

towards higher binding energy �102.9 eV�, it is safe to attribute<br />

this Si 2p silicate line position change mostly to a<br />

modified Pr silicate stoichiometry. 25 In addition, the rather<br />

broad full width at half maximum �FWHM� of the peak<br />

��2.4 eV� suggests contributions from various silicate-type<br />

compounds.<br />

The change in the Pr silicate stoichiometry during N 2<br />

annealing is also reflected in the shape of the O 1s spectrum.<br />

The O 1s spectrum �Fig. 1�b�� is dominated by a Pr silicate<br />

peak at 531.6 eV. 19 The formerly observed Pr 2O 3 peak<br />

�530 eV� has disappeared and a shoulder on the higher binding<br />

energy side of the main peak shows up. The latter feature<br />

at 533 eV indicates the growth of an SiO 2-rich Pr silicate<br />

compound during the thermal N 2 treatment �discussed in<br />

more detail in the nondestructive depth profiling SR-XPS<br />

study below�. The shape of the Pr 3d core level emission<br />

�Fig. 1�c�� does not significantly change after N 2 annealing<br />

but the main peaks shift toward higher binding energy by<br />

�0.9 eV. Again, this shift exceeds the potential in the<br />

dielectric ��0.2 eV� after N 2 annealing. The Pr 3d peak<br />

position change must therefore mainly result from a modified<br />

chemical environment of the Pr atoms on the surface of the<br />

dielectric layer. In fact, the shift direction is consistent with<br />

Pr silicate formation, a conclusion supported by simple<br />

electronegativity arguments. 26 The electronegativities of Pr,<br />

Si, and O on the Pauling scale are 1.1, 1.9, and 3.4,<br />

respectively. 27 Thus, Pr–O–Pr bonds are substantially more<br />

ionic than Si–O–Si bonds. If these two units are intermixed<br />

�Pr–O–Si�, the relative covalency of Si makes Pr in Pr xSi yO z<br />

even more ionic than in bulk Pr mO n. As a result, the XPS<br />

binding energy of Pr in Pr xSi yO z is larger than in Pr mO n. This<br />

trend is consistent with observations of core level shifts in<br />

other silicate alloys. 28<br />

B. SR-XPS depth profiling study<br />

Figure 2 summarizes the SR-XPS data obtained for prepared<br />

Pr silicate dielectrics on Si�100�.<br />

The Si 2p spectrum �Fig. 2�a�� taken at the substrate<br />

sensitive excitation of 1100 eV shows the Si substrate spin-<br />

Downloaded 19 Jun <strong>2006</strong> to 134.105.104.45. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

114109-4 Lupina et al. J. Appl. Phys. 99, 114109 �<strong>2006</strong>�<br />

orbit doublet with its maximum at 99.4 eV. This signal is<br />

accompanied by the broad Pr silicate feature at 102 eV. The<br />

observed line shape is best fitted by assuming the presence a<br />

SiO 2-rich ��103 eV� and a SiO 2-poor ��102 eV� silicate<br />

phase in the dielectric layer. The peak of the SiO 2-rich Pr<br />

silicate phase is visible as a shoulder structure in the spectrum<br />

excited at E=1100 eV, but its intensity is strongly decreased<br />

in the surface sensitive mode �E=350 eV�. This behavior<br />

clearly demonstrates that the SiO 2-rich Pr silicate<br />

phase is situated close to the Si substrate interface. At<br />

E=350 eV, no Si substrate peak is observed anymore. The<br />

spectrum is dominated by the Si 2p core level at 102.6 eV,<br />

indicative of the SiO 2-poor silicate phase. Furthermore, by<br />

measuring the photoelectron line position as a function of the<br />

excitation energy, SR-XPS allows to study potential gradients<br />

in the dielectric/Si system in more detail. For example,<br />

the Si 2p bulk peak shifts towards higher binding energy<br />

by 0.4 eV, as the excitation energy is varied from<br />

1100 to 600 eV. As already discussed above, this demonstrates<br />

downward band bending in the Si substrate. The resulting<br />

potential difference across the Pr silicate dielectric is<br />

detected in SR-XPS by the gradual shift of the Si 2p silicate<br />

peak towards higher binding energy ��0.4 eV� as a function<br />

of decreasing excitation energy.<br />

It is interesting to note that the potential difference<br />

across the dielectric film is determined below in the CV<br />

study and amounts to at most 0.2 eV. The higher Si 2p silicate<br />

line position variation in SR-XPS results probably from<br />

chemical contributions, i.e., the presence of a compositionally<br />

graded silicate layer.<br />

The corresponding O 1s spectra are displayed in Fig.<br />

2�b�. At E=1100 eV, the main feature is centered at<br />

531.6 eV proving the presence of Pr–O–Si bonds. A shoulder<br />

at the high binding energy side ��533 eV� is also clearly<br />

visible. In accordance with the Si 2p data, we assign it to the<br />

SiO 2-rich silicate at the interface. Changing E 0 from<br />

1100 to 600 eV decreases the intensity of the latter feature<br />

with respect to the main Pr silicate line. However, it is still<br />

present in the most surface sensitive mode. This implies that<br />

the shoulder structure of the O 1s peak is not only caused by<br />

the SiO 2-rich Pr silicate interface layer but also by OH species<br />

at the surface. 24 For completeness, it is noted that both<br />

O 1s lines follow the trend observed for the Si 2p lines and<br />

shift towards higher binding energy by �0.35 eV, when E 0<br />

is varied from 1100 to 600 eV.<br />

C. TEM analysis<br />

The cross-section TEM image �Fig. 3� confirms the bilayer<br />

structure of the dielectric deduced from SR-XPS. Three<br />

regions can be distinguished over the Si�100� substrate. The<br />

1.7-nm-thick bright bottom layer with a smooth interface to<br />

Si is, given the photoemission results, attributed to the<br />

SiO 2-rich Pr silicate compound. The darker film region with<br />

a thickness of about 3.5 nm represents the SiO 2-poor Pr silicate<br />

layer. The bright layer on top is glue used for TEM<br />

sample preparation. Note that no lattice fringes are observed<br />

in the Pr silicate region indicating an amorphous character of<br />

FIG. 3. Cross-section TEM image of a Pr silicate film on Si�100�.<br />

the dielectric. As recently demonstrated by combined x-ray<br />

diffraction �XRD� and XPS studies, Pr silicate films on<br />

Si�100� remain amorphous up to 900 °C. 29<br />

D. Electronic structure<br />

Any dielectric discussed as post-SiO 2 gate oxide must<br />

exhibit valence and conduction band offsets of at least 1 eV<br />

with respect to Si to fulfill the important requirement of low<br />

leakage current. 30<br />

The valence band �VB� offset can be directly derived<br />

from photoemission measurements. 31 Figure 4�a� displays<br />

SR-XPS VB spectra of the hydrogen terminated Si�100� substrate<br />

and of the Pr silicate/Si�100� system measured both<br />

with an excitation energy of 350 eV. The VB spectrum of<br />

pure Si�100�, described in detail elsewhere, 32 acts here as<br />

reference to determine the position of the Si VB maximum.<br />

As indicated in Fig. 4, this value is derived from the measurement<br />

by determining the point where the tangent of the<br />

VB edge crosses the energy axes. In this way, it is found that<br />

the VB maximum of the Pr silicate dielectric is displaced by<br />

about 2.9 eV towards higher binding energy with respect to<br />

Si. This value of the VB offset is reasonable, as it is placed<br />

between the experimentally determined VB offsets of the<br />

Pr 2O 3/Si�100� �1.1 eV� �Ref. 33� and SiO 2/Si�100� �4.4 eV�<br />

�Ref. 34� system. It was recently reported in the literature<br />

that the VB offset of �ZrO 2� x�SiO 2� 1−x silicates with respect<br />

to Si varies as a function of composition �x ranging from 0 to<br />

1� between the limiting values of the components SiO 2 and<br />

ZrO 2. 35 Pr silicate layers probably follow the same trend, an<br />

assumption supported by a previous in situ VB study of the<br />

solid state reaction between Pr and SiO 2/Si�111�. 21 These<br />

authors demonstrate the evolution of the Pr 4f peak structure<br />

as a function of Pr coverage right above the VB maximum of<br />

SiO 2. In this way, the VB offset with respect to Si is reduced.<br />

In the VB spectrum of the Pr silicate film �Fig. 4� emission<br />

from Pr 4f states is responsible for the main peak at 4.7 eV.<br />

Towards lower binding energies, three further shoulders are<br />

Downloaded 19 Jun <strong>2006</strong> to 134.105.104.45. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp<br />

A n n u A l R e p o R t 2 0 0 6<br />

119


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

114109-5 Lupina et al. J. Appl. Phys. 99, 114109 �<strong>2006</strong>�<br />

FIG. 4. �a� VB spectra of pure Si and the Pr silicate film, �b� O 1s energyloss<br />

feature of the SiO 2 reference sample and the Pr silicate film.<br />

visible at 7.1, 9, and 11.2 eV. The position and shape of the<br />

shoulder at 11.2 eV is very similar to the O 2p–Si 3s, 3p<br />

valence band region of SiO 2, indicating that the character of<br />

Si–O bonds does not substantially change in the Pr-silicate<br />

film. This result is supported by the fact that electropositve<br />

metal atoms in silica are known to create their specific oxygen<br />

coordination polyhedra by strong interaction with the<br />

more delocalized nonbonding O 2p orbitals of the Si–O<br />

bonds. 36 Emission from nonbonding O 2p orbitals results in<br />

VB spectra of clean SiO 2 in a broad unstructured peak in the<br />

binding energy region from about 6 to 10 eV. Clearly, this<br />

featureless VB region of SiO 2 is replaced in the Pr-silicate<br />

film by an emission signal characterized by two shoulders<br />

�7.1 and 9 eV�. It is interesting to note that such a two peak<br />

structure was observed in the VB spectrum of cubic Y 2O 3, a<br />

compound isomorphic with Pr 2O 3. Based on semiempirical<br />

tight binding calculations, the main peak at 7.1 eV was attributed<br />

to O 2p VB orbitals in a pure or distorted tetrahedral<br />

cation environment and the existence of direct hopping terms<br />

between neighboring oxygen atoms was invoked to account<br />

for the weaker shoulder at 9 eV. This similarity suggests that<br />

Pr atoms in Pr-silicate films locally create the same oxygen<br />

coordination polyhedron, as encountered in the bulk oxide<br />

compounds. 37,38 However, this preliminary interpretation of<br />

the Pr-silicate VB spectrum needs further corroboration by<br />

experimental and theoretical studies, as photoemission spectra<br />

of Pr compounds are known to be complicated by hybridization<br />

effects between Pr 4f and O 2p states. 23<br />

The conduction band �CB� offset between Pr silicate and<br />

Si�100� is deduced in the following. Photoelectron scattering<br />

by creation of electron-hole pairs often allows to estimate<br />

indirectly the band gap of insulators by XPS studies. 39,40 In<br />

120 A n n u A l R e p o R t 2 0 0 6<br />

FIG. 5. Schematic illustration of band offset energies of SiO 2 and Pr silicate<br />

with respect to Si.<br />

this procedure, the energy difference between the position of<br />

the photoelectron peak and the onset of the corresponding<br />

loss peak structure on its high binding energy side is taken as<br />

a fingerprint of the insulator band gap. This is illustrated in<br />

Fig. 4�b� using the O 1s loss region of the SiO 2 and the Pr<br />

silicate film. In case of the 2-nm-thick SiO 2/Si�100� reference<br />

sample, a band gap of 8.1 eV is obtained. This result<br />

shows that the method tends to underestimate the real band<br />

gap of about 8.9 eV whenever competing scattering processes<br />

of lower energy occur. The same trend could be responsible<br />

for the fact that the here deduced band gap of<br />

5.6 eV of the Pr silicate film is by about 0.9 eV smaller than<br />

the value recently reported for Pr silicate films on Si�111�. 41<br />

However, this discrepancy of the reported band gap values<br />

could also result from different Pr silicate film stoichiometries.<br />

Hofmann et al. prepared Pr silicate films on Si�111� by<br />

solid state reaction between Pr and SiO 2/Si�100� using a<br />

metal/oxide ratio similar to our study. 21 A Pr silicate band<br />

gap of about 5.5 eV can be deduced from their combined<br />

ultraviolet photoemission spectroscopy �UPS� -inverse photoemission<br />

electron spectroscopy �IPES� study which is close<br />

to the value of our study. This is a reasonable value because<br />

it is intermediate between the band gaps found for Pr 2O 3<br />

�3.9 eV, 33 5.2 eV �Ref. 42�� and SiO 2 �9 eV�. 34 Furthermore,<br />

the reported band gaps of rare-earth silicates generally range<br />

from 4 to 6 eV. 43 Relying that way on the band gap measurement,<br />

we deduce a CB offset of 1.6 eV by subtracting<br />

from the Pr-silicate band gap of 5.6 eV the Si band gap<br />

�1.1 eV� and the value of the above determined VB offset<br />

�2.9 eV�. A scheme of the band discontinuities at the Pr<br />

silicate/Si interface is drawn in Fig. 5 and the band offsets of<br />

the SiO 2/Si system are included for comparison. 34 It is<br />

clearly seen that Pr silicate layers on Si are characterized by<br />

�a� substantially lower band offsets than SiO 2 films and that<br />

�b� the band offsets are quite asymmetric, namely, 1.6 eV for<br />

electrons and 2.9 eV for holes. Both statements are true for<br />

many high-k oxides because �a� the band gap is roughly inversely<br />

proportional to the dielectric constant and �b� the VB<br />

offset is almost uniquely determined by the position of the<br />

oxide O 2p orbitals but the CB offset is a strong function of<br />

the metal wave functions which constitute the CB edge. 44<br />

Downloaded 19 Jun <strong>2006</strong> to 134.105.104.45. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

114109-6 Lupina et al. J. Appl. Phys. 99, 114109 �<strong>2006</strong>�<br />

FIG. 6. JV characteristics of the SiO xN y reference sample and the Pr silicate<br />

dielectric both with EOT=1.8 nm.<br />

E. Electrical characterization<br />

The photoemission study on the Pr silicate/Si�100� system<br />

gives thus evidence for band discontinuities at the<br />

dielectric/Si interface high enough to act as effective charge<br />

injection barriers. This important insight is corroborated by<br />

JV measurements displayed in Fig. 6. The JV curve for nitrided<br />

SiO 2 serves as reference. The Pr silicate and nitrided<br />

SiO 2 films have the same EOT of about 1.8 nm to allow a<br />

direct comparison. In the depletion region of the MOS capacitor<br />

�negative gate bias�, the JV curves show behavior<br />

typical of a reverse biased p-n junction. 45 Here, the current<br />

flowing through the MOS structure is limited by the reverse<br />

biased p + -n junction induced by the electric field under the<br />

gate oxide. The magnitude of the reverse current is governed<br />

mainly by the minority carrier generation in bulk Si.<br />

In the accumulation regime �positive gate bias�, the JV<br />

curve is determined by various current conduction mechanisms<br />

through the dielectric layer and provides a measure of<br />

the gate dielectric quality. 4 It is seen that the leakage current<br />

across the Pr silicate is significantly lower than that across<br />

the SiO xN y reference layer. For example, the leakage current<br />

measured at +1 V above the flatband voltage �V FB� is of the<br />

order of 5�10 −5 A/cm 2 which is about three orders of magnitude<br />

lower than in case of the high-quality nitrided SiO 2<br />

layer.<br />

Insight into the conduction mechanism involved is<br />

gained from analysis of the JV curve in the accumulation<br />

region. The measured linear relation of the logarithm of the<br />

leakage current density versus the square root of the applied<br />

electric field �inset in Fig. 6� points either to Schottky �S� or<br />

Poole-Frenkel �PF� emission. 45 The current density in the<br />

Schottky model is given by<br />

J = A * T 2 exp� � SE 1/2 − � S<br />

k BT �, �1�<br />

where � S=�e 3 /4�� 0�� 1/2 , A * is the effective Richardson constant,<br />

T is temperature, � S is the potential barrier between the<br />

Si substrate and dielectric layer conduction band, k B is the<br />

FIG. 7. CV curve of the Pr silicate dielectric on Si�100�.<br />

Bolzmann constant, e is the electronic charge, E is the applied<br />

electric field, � 0 is the dielectric constant of free space,<br />

and � is the high frequency dielectric constant.<br />

In the Poole-Frenkel model, the corresponding relationship<br />

is given by<br />

J = J 0 exp� � PFE 1/2 − � PF<br />

k BT �, �2�<br />

where � PF=�e 3 /�� 0�� 1/2 , J 0 is the low-field current density,<br />

and � PF the depth of the trap potential well.<br />

The fact that the quantity � PF is larger than � S by<br />

a factor of two allows to discriminate between S and<br />

PF processes by determining the slope of the ln J−E 1/2<br />

plot. The experimental value of � obtained equals<br />

1.35�10 −4 �cm eV� 1/2 . This is clearly closer to the theoretical<br />

value of � S=1.8�10 −4 �cm eV� 1/2 than to the theoretical<br />

value of � PF=3.6�10 −4 �cm eV� 1/2 pointing to the Schottky<br />

emission as the main conduction mechanism. The potential<br />

barrier height � S is estimated form the ordinate value at<br />

E=0. A height of �1.4 eV is found which is in line with the<br />

CB offset determined above by photoemission.<br />

Figure 7 shows the CV characteristics of the Pr silicate<br />

dielectric on Si�100�. A primary interesting point concerns<br />

the overall shape of the measured CV curve. From the fact<br />

that it is not streched out, a good interface quality between<br />

the Pr silicate dielectric layer and the Si�100� substrate can<br />

be deduced. A quantitative fit by the QITFIT software tool<br />

results in an interface state density �D it� of the order of<br />

10 11 cm −2 . Secondly, interesting insights can be gained from<br />

the measured capacitance in accumulation. From this value,<br />

an EOT of around 1.8 nm is extracted. By using the total<br />

physical thickness of the dielectric stack, the same capacitance<br />

value allows to calculate the effective dielectric constant<br />

which is on the order of 11. Certainly, the effective k<br />

value is strongly limited by the presence of the SiO 2-rich Pr<br />

silicate layer of lower dielectric constant close to the Si substrate.<br />

To extract the dielectric constant of the latter layer, a<br />

simple model of two capacitors in series is assumed. The<br />

interface SiO 2-rich Pr silicate layer and the SiO 2-poor Pr<br />

silicate layer on top of it are modeled by the permittivities k 1<br />

Downloaded 19 Jun <strong>2006</strong> to 134.105.104.45. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp<br />

A n n u A l R e p o R t 2 0 0 6<br />

121


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

114109-7 Lupina et al. J. Appl. Phys. 99, 114109 �<strong>2006</strong>�<br />

and k 2, respectively. The inset in Fig. 7 shows possible combinations<br />

of k 1 and k 2 to reproduce the measured effective k<br />

value of 11. Using the reported dielectric constant k 2 of<br />

�19.7 for the SiO 2-poor Pr silicate, 41 a k 1 value of �5.7 is<br />

deduced. As this value is higher than the dielectric constant<br />

of SiO 2 �k=3.9�, the electrical measurement is in line with<br />

the SR-XPS study and provides support for the formation of<br />

a SiO 2-rich Pr silicate layer at the interface. Thirdly, the flatband<br />

voltage shift of the measured CV curve corroborates the<br />

presence of a potential difference across the Pr silicate layer<br />

of about 0.2 eV detected above by SR-XPS. For this purpose,<br />

the data of the Au/Pr silicate/Si system is compared<br />

with a simulated CV curve of a Au/SiO 2/Si MOS structure.<br />

In the simulation of the latter, an ideal SiO 2 layer �no fixed<br />

charge, interface dipoles, etc.� and the same Si substrate doping<br />

concentration as in our Pr silicate study was applied.<br />

Under the reasonable assumption that the work function of<br />

the Au top electrode on Pr silicate is the same as on SiO 2, the<br />

measured and simulated CV curves are expected to coincide<br />

for the case of an ideal Pr silcate film. However, Fig. 7<br />

clearly shows that the flatband voltage of the Au/Pr xSi yO z/Si<br />

system is shifted with respect to the Au/SiO 2/Si structure by<br />

�0.22 V towards negative voltage. It is important to note<br />

that magnitude and direction of this flatband voltage shift<br />

correlate well with the potential gradient across the Pr silicate<br />

dielectric layer detected by SR-XPS. Clearly, a possible<br />

microscopic origin of these experimental findings is the presence<br />

of positive fixed charge in the Pr silicate layer. However,<br />

as pointed out in more detail in the next section, the<br />

physics of electrically active defect sites in the Pr silicate/Si<br />

system is more complicated and further contributing factors<br />

can not be ruled out at present.<br />

F. Ab initio calculations<br />

In order to understand the origin of the positive charge in<br />

the silicate films, we have analyzed the formation and the<br />

electrical properties of various point defects in Pr silicates.<br />

The details of this calculations will be given elsewhere; 46<br />

here we summarize the main ideas and results sketching the<br />

most probable scenarios of fixed charge formation.<br />

Pr silicate can be regarded as a mixture<br />

n�Pr 2O 3�m�SiO 2� with Si–O–Si, Pr–O–Si, and Pr–O–Pr<br />

bonds. To describe theoretically point defect formation in<br />

these bonding configurations, we use SiO 2 �n=0�, G-type<br />

Pr 2Si 2O 7 �m=2n� �Ref. 47�, and cubic Pr 2O 3 �m=0� as<br />

model substances, respectively. The current discussion of<br />

nonstoichiometric silicate is based solely on the dependence<br />

of point defect formation energies on the chemical potential<br />

of oxygen, ��O�. The coexistence of various stoichiometries<br />

of the Pr silicate in our system indicates that during the silicate<br />

formation at high temperature the chemical potential<br />

��O� is situated between the equilibrium of stoichiometric<br />

Pr 2Si 2O 7 and SiO 2 and the equilibrium of stoichiometric<br />

Pr 2Si 2O 7 and Pr 2O 3. We assume that this range of ��O�<br />

roughly reflects the change of ��O� over the composition of<br />

our Pr silicate system.<br />

122 A n n u A l R e p o R t 2 0 0 6<br />

In most of this range, it is found that the formation en-<br />

3+<br />

ergy of Pr interstitial PrI is negative: it varies from about<br />

−1.5 eV at the Pr oxide end to about +0.5 eV at the Si oxide<br />

3+<br />

end. A negative PrI formation energy means that it is energetically<br />

more favorable to dissolve some Pr atoms as triple<br />

positively charged interstitials in the silicate. In other words,<br />

the silicate may be enriched in SiO2 by the oxidation of Si<br />

whereby the required oxygen not only stems from the ambient<br />

but to certain extent also from the reduction of Pr2O3 moieties in the Pr silicate. This is described by the following<br />

relationship<br />

2Pr 2Si 2O 7 + 3Si → 2Pr I 3+ + Pr2Si 2O 7:SiO 2, �3�<br />

where Pr2Si2O7:SiO2 stands for Pr2Si2O7 slightly enriched<br />

in SiO2. As the silicate composition changes towards the<br />

SiO2 end, the energy gain from Pr2O3 reduction decreases<br />

and eventually changes sign to become a loss of 0.5 eV at<br />

the SiO2 limit. Over the same range of ��O�, the formation<br />

2+<br />

energies of oxygen vacancies OV and valence alternated �un-<br />

+<br />

deroxidized� Pr atoms PrVA are small �around +0.5 eV� in<br />

Pr2O3. The latter defect corresponds formally to a half oxygen<br />

vacancy. One would expect a comparable energy cost<br />

when an oxygen deficiency defect is created at a Pr-rich site<br />

in the network of the silicate, that is, at a site where the<br />

removal of the oxygen atom does not break any covalent<br />

bonds with Si.<br />

3+ 2+ +<br />

Can some of these defects �PrI , OV , PrVA�<br />

be responsible<br />

for the positive fixed charge detected by photoemission<br />

3+<br />

and CV studies in our Pr silicate film? The energy of PrI decreases �by approximately 0.2 eV� when the defect moves<br />

from Pr2Si2O7 to Pr2O3. Therefore, one expects that Pr interstitials<br />

created during mixing diffuse away across the Pr oxide<br />

to the surface of the film, where they are oxidized by<br />

oxygen from the ambient. The oxygen vacancies are expected<br />

to become eventually filled by oxygen as the ultrathin<br />

film interacts with oxygen from the ambient. But underoxi-<br />

+<br />

dized praseodymium, PrVA, may survive because in order to<br />

become oxidized, such defects would need to pair into oxy-<br />

+<br />

gen vacancies. Therefore, we suspect that PrVA in<br />

Pr2O3—rich Pr silicates may be responsible for the positive<br />

charge observed in the films. Another possibility that is<br />

pointed to by the results of our calculations is that the positive<br />

charge is trapped in the Si-rich region of the Pr silicate<br />

in form of over-coordinated oxygen atoms. This model will<br />

be discussed in detail in a separate publication. 46<br />

IV. CONCLUSIONS<br />

We demonstrated that the solid state reaction of Pr with<br />

native SiO 2 layers on Si�100� can be tailored to prepare compositionally<br />

graded Pr silicate dielectrics with good interface<br />

properties, low leakage currents, and appropriate k values.<br />

These results along with the reported good thermal stability<br />

against crystallization and phase separation make Pr silicate<br />

films attractive for high-k applications. 29 Further work is under<br />

way to optimize the system �i.e., achieve EOT values<br />

below 1 nm �Ref. 48�� and integrate the alternative high-k<br />

dielectric in conventional complementary metal-oxide semiconductor<br />

�CMOS� process technology. 49,50<br />

Downloaded 19 Jun <strong>2006</strong> to 134.105.104.45. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

114109-8 Lupina et al. J. Appl. Phys. 99, 114109 �<strong>2006</strong>�<br />

1<br />

E. J. Nowak, IBM J. Res. Dev. 46, 169 �2002�.<br />

2<br />

Y. Taur, IBM J. Res. Dev. 46, 213 �2002�.<br />

3<br />

International Technology Roadmap for Semiconductors, 2004 Update,<br />

http://public.itrs.net.<br />

4<br />

H. R. Huff and D. C. Gilmer, High Dielectric Constant Materials<br />

�Springer, New York, 2005�.<br />

5<br />

E. P. Gusev, E. Cartier, D. A. Buchanan, M. Gribelyuk, M. Copel, H.<br />

Okorn-Schmidt, and C. D’Emic, Microelectron. Eng. 59, 341 �2001�.<br />

6<br />

C. C. Fulton, T. E. Cook, Jr., G. Lucovsky, and R. J. Nemanich, J. Appl.<br />

Phys. 96, 2665 �2004�.<br />

7<br />

G. D. Wilk, R. M. Wallace, and J. M. Anthony, J. Appl. Phys. 87, 484<br />

�2000�.<br />

8<br />

H. Ono, Appl. Phys. Lett. 78, 1832 �2001�.<br />

9<br />

Handbook of Semiconductor Cleaning Technology, edited by W. Kern<br />

�Noyes, Park Ridge, New York, 1993�.<br />

10<br />

D. Schmeisser, P. Hoffmann, and G. Beuckert, in Materials for Information<br />

Technology, Devices, Interconnects and Packaging, Engineering Materials<br />

and Processes, edited by E. Zschech, C. Whelan, and T. Mikolajick<br />

�Springer, New York, 2005�.<br />

11<br />

NIST Electron Inelastic-Mean-Free-Path Database, http://www.nist.gov/<br />

srd/nist71.htm, and references therein.<br />

12<br />

G. Apostolopoulos, G. Vellianitis, A. Dimoulas, J. C. Hooker, and T. Conrad,<br />

Appl. Phys. Lett. 84, 260 �2004�.<br />

13<br />

M. Bockstedte, A. Kley, J. Neugebauer, and M. Scheffer, Comput. Phys.<br />

Commun. 107, 187 �1997�.<br />

14<br />

A. Fissel, J. Dabrowski, and H. J. Osten, J. Appl. Phys. 91, 8986 �2002�.<br />

15<br />

D. M. Ceperley and B. J. Alder, Phys. Rev. Lett. 45, 567 �1980�.<br />

16<br />

J. P. Perdew and A. Zunger, Phys. Rev. B 23, 5048 �1981�.<br />

17<br />

D. R. Haman, Phys. Rev. B 40, 2980 �1989�.<br />

18<br />

G. B. Bachelet, D. R. Hamann, and M. A. Schlüter, Phys. Rev. B 26, 4199<br />

�1982�.<br />

19<br />

J. F. Moulder, W. F. Stickle, P. E. Sobol, and K. D. Bomben, Handbook of<br />

X-ray Photoelectron Spectroscopy �Perkin Elmer, Eden Prairie, 1992�.<br />

20<br />

H. Lüth, Surfaces and Interfaces of Solids �Springer-Verlag, Berlin, 1993�.<br />

21<br />

R. Hofmann, W. A. Henle, H. Öfner, M. G. Ramsey, F. P. Netzer, W.<br />

Braun, and K. Horn, Phys. Rev. B 47, 10407 �1993�.<br />

22<br />

D. Schmeisser, Mater. Sci. Semicond. Process. 6, 59 �2003�.<br />

23<br />

A. Kotani and H. Ogasawara, J. Electron Spectrosc. Relat. Phenom. 60,<br />

257 �1992�.<br />

24<br />

S. Lütkehoff, M. Neumann, and A. Slebarski, Phys. Rev. B 52, 13 808<br />

�1995�.<br />

25<br />

G. B. Rayner, D. Kang, Y. Zhang, and G. Lucovsky, J. Vac. Sci. Technol.<br />

B 20, 1748 �2002�.<br />

26<br />

T. L. Barr, J. Vac. Sci. Technol. A 9, 1793 �1991�.<br />

27<br />

L. Pauling, The Nature of the Chemical Bond and the Structure of Molecules<br />

and Crystals �Cornell University Press, Ithaca, NY, 1960�, p. 93.<br />

28<br />

T. Gougousi, M. J. Kelly, D. B. Terry, and G. N. Parsons, J. Appl. Phys.<br />

93, 1691 �2003�.<br />

29<br />

G. Lupina, T. Schroeder, J. Dabrowski, Ch. Wenger, A. Mane, G. Lippert,<br />

H.-J. Müssig, P. Hoffmann, and D. Schmeisser, Appl. Phys. Lett. 87,<br />

092901 �2005�.<br />

30<br />

J. Robertson, J. Vac. Sci. Technol. B 18, 1785 �2000�.<br />

31<br />

S. Hüfner, Photoelectron Spectroscopy �Springer-Verlag, Berlin, 2003�.<br />

32<br />

L. Ley, S. Kowalczyk, R. Pollak, and D. A. Shirley, Phys. Rev. Lett. 29,<br />

1088 �1972�.<br />

33<br />

H. J. Osten, J. P. Liu, and H. J. Müssig, Appl. Phys. Lett. 80, 297 �2002�.<br />

34<br />

G. D. Wilk, R. M. Wallace, and J. M. Anthony, J. Appl. Phys. 89, 5243<br />

�2001�.<br />

35<br />

G. Lucovsky, G. B. Rayner, Y. Zhang, C. C. Fulton, and R. J. Nemanich,<br />

Appl. Surf. Sci. 212–213, 563 �2003�.<br />

36<br />

N. F. Mott, Adv. Phys. 26, 363 �1977�.<br />

37<br />

B. F. Hoskins and R. L. Martin, J. Chem. Soc. Dalton Trans. 1975, 576.<br />

38<br />

R. L. Martin, J. Chem. Soc. Dalton Trans. 1974, 1335.<br />

39<br />

F. G. Bell and L. Ley, Phys. Rev. B 37, 8383 �1988�.<br />

40<br />

S. Miyazaki, J. Vac. Sci. Technol. B 19, 2212 �2001�.<br />

41<br />

A. Sakai, S. Sakashita, S. Zaima, and S. Miyazaki, Appl. Phys. Lett. 85,<br />

5322 �2004�.<br />

42<br />

S. Kimura, F. Arai, and M. Ikezawa, J. Electron Spectrosc. Relat. Phenom.<br />

78, 135 �1996�.<br />

43<br />

F. P. Netzer, J. Phys.: Condens. Matter 7, 991 �1995�.<br />

44<br />

J. Robertson, Appl. Surf. Sci. 190, 2 �2002�.<br />

45<br />

S. M. Sze, Physics of Semiconductor Devices �Wiley, New York, 1981�.<br />

46<br />

J. Dabrowski, A. Fleszar, G. Lippert, G. Lupina, A. Mane, and Ch.<br />

Wenger, in Topics in Applied Physics: Rare earth oxide thin films: growth,<br />

characterization, and applications, edited by M. Fanciulli and G. Scarel<br />

�Springer, Berlin, <strong>2006</strong>�.<br />

47<br />

Y. I. Smolin and Y. F. Shepelev, Acta Crystallogr., Sect. B: Struct. Crystallogr.<br />

Cryst. Chem. 26, 484 �1970�.<br />

48<br />

T. Schroeder, G. Lupina, J. Dabrowski, Ch. Wenger, A. Mane, G. Lippert,<br />

and H.-J. Müssig, Appl. Phys. Lett. 87, 022902 �2005�.<br />

49<br />

A. U. Mane, Ch. Wenger, G. Lupina, T. Schroeder, P. Zaumseil, G. Lippert,<br />

G. Weidner, and H.-J. Müssig, Microelectron. Eng. 82, 148 �2005�.<br />

50<br />

A. U. Mane, T. Schroeder, Ch. Wenger, P. Zaumseil, G. Lippert, G.<br />

Weidner, and H.-J. Müssig, J. Electrochem. Soc. 152, C399 �2005�.<br />

Downloaded 19 Jun <strong>2006</strong> to 134.105.104.45. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp<br />

A n n u A l R e p o R t 2 0 0 6<br />

123


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

Residual stress in Si nanocrystals embedded in a SiO 2 matrix<br />

T. Arguirov, a� T. Mchedlidze, and M. Kittler<br />

<strong>IHP</strong>, Im Technologiepark 25, D-15236 Frankfurt (Oder), Germany and <strong>IHP</strong>/BTU Joint Lab,<br />

Konrad Wachsmann Allee 1, D-03046 Cottbus, Germany<br />

R. Rölver, B. Berghoff, M. Först, and B. Spangenberg<br />

Institute of Semiconductor Electronics, RWTH Aachen University, D-52074 Aachen, Germany<br />

�Received 23 March <strong>2006</strong>; accepted 13 June <strong>2006</strong>; published online 2 August <strong>2006</strong>�<br />

Multiple quantum wells consisting of alternating Si and SiO 2 layers were studied by means of<br />

Raman scattering. The structures were fabricated by the remote plasma enhanced chemical vapor<br />

deposition of amorphous Si and SiO 2 layers on quartz substrate. The structures were subjected to a<br />

rapid thermal annealing procedure for Si crystallization. The obtained results suggest that the Si<br />

layers consist of nanocrystals embedded in an amorphous Si phase. It was found that the silicon<br />

nanocrystals inside 2 nm thin layers are under high residual compressive stress. Moreover, the<br />

metastable Si III phase was detected in these samples supporting the presence of large compressive<br />

stresses in the structures. The compressive stress could be relaxed upon local laser annealing.<br />

© <strong>2006</strong> American Institute of Physics. �DOI: 10.1063/1.2260825�<br />

Multiple quantum wells �MQWs� consisting of alternating<br />

Si and SiO 2 layers attract considerable interest due to<br />

their luminescent properties and possibilities of band gap<br />

adjustment, related mainly to carrier confinement effects. 1<br />

The MQW structures are fabricated by deposition of alternating<br />

amorphous silicon �a-Si� and silicon dioxide �SiO 2� layers.<br />

An appropriate heat treatment is applied after deposition<br />

for recrystallization of a-Si. The amorphous-to-crystalline<br />

phase transition in MQWs occurs through random nucleation<br />

of crystalline Si �c-Si� clusters surrounded by a-Si and is<br />

influenced by stress fields existing in the system. It was reported<br />

that nucleation of c-Si is suppressed near the Si/SiO 2<br />

interface in the adjacent 0.5–1.0 nm of the Si layer. 2 A careful<br />

analysis of the crystallization process was presented in<br />

Ref. 3, where the authors showed that the major factor restricting<br />

the crystallization of the nanolayers is the strain<br />

exerted by the SiO 2 to the Si layers due to the lattice mismatch<br />

of the materials. The screening distance after which<br />

the c-Si nucleation centers in the a-Si phase are not influenced<br />

by the Si/SiO 2 interface was estimated to be about<br />

2.5 nm. Consequently, an equilibriumlike furnace annealing<br />

will not allow a complete crystallization of Si nanolayers<br />

with thicknesses less than 5 nm due to the influence of the<br />

interfaces. The rapid thermal annealing �RTA� procedure<br />

should allow heating of the a-Si nanolayers directly. Therefore,<br />

proper adjustment of the RTA procedure may minimize<br />

influence of interfaces. However, compressive stress during<br />

RTA can be generated in the layers due to difference in the<br />

expansion coefficients of Si and SiO 2, and this stress may<br />

influence the crystallization. The aim of the present work<br />

was an investigation of stresses in the MQW samples during<br />

RTA procedure.<br />

Stacks consisting of ten periods of Si/SiO 2 layers were<br />

deposited on quartz substrates by remote plasma enhanced<br />

chemical vapor deposition. 4 The thickness of a-Si layers was<br />

varied in the range from 2 to 5 nm, and the thickness of<br />

SiO 2 layers was kept at 3 nm for all MQW samples. The<br />

RTA procedure was carried out at 1100 °C for 30 s. For<br />

a� Electronic mail: arguirov@tu-cottbus.de<br />

124 A n n u A l R e p o R t 2 0 0 6<br />

APPLIED PHYSICS LETTERS 89, 053111 �<strong>2006</strong>�<br />

better heat absorption, the side of the samples with deposited<br />

layers was brought in thermal contact with a silicon wafer<br />

during the RTA process. The heating of the structures is then<br />

caused by light directly absorbed in the layers and by the<br />

heat exchange between the silicon wafer and the sample. An<br />

additional annealing step at 400 °C in forming gas was conducted<br />

to further improve the interface quality and for hydrogen<br />

passivation of the defects. The MQW samples were<br />

analyzed by micro-Raman measurements on a Dilor XY<br />

triple spectrometer equipped with frequency doubled<br />

Nd:YVO 4 laser �Coherent Verdi� at a wavelength of<br />

532 nm. The illuminated spot was 1 �m in diameter, and the<br />

power of the laser during the measurements was kept below<br />

4 mW to avoid unintentional heating of the structures. The<br />

absolute positions of the Raman peaks were determined by<br />

comparison with the spectrum of a Hg calibration lamp. To<br />

investigate an influence of local annealing by laser beam,<br />

single spot on the sample surface with 2 nm thick a-Si layers<br />

was subjected to illumination by the probe beam using high<br />

laser powers.<br />

All MQW samples showed blueshifted band edge photoluminescence,<br />

which can be attributed to quantum confinement<br />

of charge carriers in nanocrystalline Si �nc-Si�. 4 The<br />

Raman spectra in Fig. 1 show that all measured samples<br />

contain a-Si and nc-Si fractions. The scattering from amorphous<br />

material has a maximum at about 480 cm −1 and can be<br />

deconvoluted in three broad peaks 5 �Fig. 1, inset�. The sharp,<br />

asymmetric peak at higher wavenumbers is associated with<br />

nc-Si. Changes in the shape of the spectra with the changes<br />

in the Si layer thickness are mainly related to the contribution<br />

of nc-Si phase. It is not possible to determine exactly a<br />

value of crystallinity, i.e., the absolute volume fraction of<br />

nc-Si and a-Si in the layers, because the Raman scattering<br />

cross section for nc-Si is strongly dependent on the size of<br />

the nanocrystals. 6 As a rough estimate for this value, one can<br />

use a ratio of integrated intensities for signals related to nc-Si<br />

and a-Si. We obtained crystallinity of �5% for the 2 nm<br />

thick layers and �25% for the 5 nm thick layers. A comparison<br />

between the volume fraction estimated from transmission<br />

electron microscopy images and that obtained from the<br />

0003-6951/<strong>2006</strong>/89�5�/053111/3/$23.00 89, 053111-1<br />

© <strong>2006</strong> American Institute of Physics<br />

Downloaded 01 Sep <strong>2006</strong> to 141.43.75.152. Redistribution subject to AIP license or copyright, see http://apl.aip.org/apl/copyright.jsp


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

053111-2 Arguirov et al. Appl. Phys. Lett. 89, 053111 �<strong>2006</strong>�<br />

FIG. 1. Raman spectra from MQW samples, thickness of Si layers is indicated<br />

on the related curves. The position of Raman peak in bulk Si is<br />

indicated by a doted line c-Si. The inset shows fitting of the experimental<br />

spectra with three peaks related to the amorphous material and the residual<br />

peak related to nc-Si.<br />

Raman results on similar samples showed that latter gives an<br />

overestimation of the volume fraction within �10%.<br />

Figure 2 compares the dependencies of positions and of<br />

widths of nc-Si Raman peaks on the layer thickness with the<br />

results of the calculations. 7,8 The peak width �full width at<br />

half maximum �FWHM�� follows the theoretical predictions<br />

quite well. The asymmetry of the nc-Si peak �Fig. 1, inset�<br />

can originate from scatter in the sizes of the nanocrystals<br />

and/or from the variation of their residual stress. Since the<br />

dependence of the FWHM corresponds well to that predicted<br />

theoretically, we suppose that the main source of asymmetry<br />

is homogeneous broadening. Contrary to the theoretical<br />

predictions, 7,8 a shift to higher Raman frequencies in the<br />

peak position was observed upon decreasing the layer thickness.<br />

Similar results were reported previously. 9 It was<br />

shown 10 that the width of the Si Raman peak is barely influenced<br />

by compressive stress, although the position of the<br />

FIG. 2. Symbols represent the experimental data for the position shift �a�<br />

and FWHM �b� of the nc-Si related peak. The peak shift is given relative to<br />

the position of the crystalline bulk Si material. The model calculations are<br />

presented by dashed �Ref. 7� and dotted �Ref. 8� lines.<br />

FIG. 3. Change of the gap between Raman peak positions �Pos�c-Si�<br />

−Pos�nc-Si�� detected in the sample with 2 nm layers with the increase of<br />

power of the probe beam; the line serves as a guide for the eye. Inset: Si III<br />

peak detected before �solid line� and after �dotted line� laser annealing.<br />

peak maximum is strongly shifted. Therefore we attributed<br />

the observed anomalous behavior to a residual hydrostatic<br />

stress exerted from the a-Si phase on the nc-Si grains during<br />

RTA.<br />

We investigated response of the system to the laser annealing.<br />

For this, the power of the probing laser was increased<br />

stepwise at one spot from 4 to 120 mW. The exposure<br />

time was varied in order to keep the exposition constant<br />

for various laser powers. It should be noted that in contrast to<br />

the RTA, the laser annealing is a steady state process where<br />

the steady state conditions are reached within several<br />

microseconds. 11 Thus the crystallization conditions are determined<br />

mainly by the acting laser power and not by the exposure<br />

time.<br />

Figure 3 shows change of a gap between Raman peak<br />

positions �Pos�c-Si�−Pos�nc-Si�� with the increase in the applied<br />

laser power. The position of the nc-Si peak in the spectra<br />

was determined by subtracting the reference spectrum,<br />

i.e., the spectrum measured at 120 mW from all other spectra.<br />

This procedure highlighted the nc-Si peak, because the<br />

a-Si related scattering was not shifted and does not contribute<br />

to the resulting curve. As seen in Fig. 3, a shift in the<br />

Raman peak position to lower wavenumbers was observed<br />

upon increasing the laser power. After the peak reached a<br />

value of �10 cm−1 below the position for bulk c-Si, the shift<br />

leveled off. To exclude possible influence of local temperature<br />

change on the Raman shift and the peak width, 10,12,13 we<br />

measured the Raman spectrum at the low laser power at the<br />

same spot after the laser annealing. The spectral shapes at the<br />

high and the low power measurements were identical within<br />

the experimental error. This fact suggests that the change in<br />

the nc-Si peak position in our experiment is related to the<br />

stress relaxation caused by annealing and not to changes in<br />

experimental conditions.<br />

The final position for the nc-Si peak roughly corresponds<br />

to that expected for �2 nm size crystallites of relaxed<br />

nc-Si. 7,8 Then from the obtained change in the peak position<br />

caused by laser annealing ��10 cm−1� and by applying the<br />

approximation for influence of stress in c-Si, 14 the compressive<br />

stress in the initial state of nc-Si after RTA was estimated<br />

as �5 GPa.<br />

Downloaded 01 Sep <strong>2006</strong> to 141.43.75.152. Redistribution subject to AIP license or copyright, see http://apl.aip.org/apl/copyright.jsp<br />

A n n u A l R e p o R t 2 0 0 6<br />

125


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

053111-3 Arguirov et al. Appl. Phys. Lett. 89, 053111 �<strong>2006</strong>�<br />

As an additional confirmation for the presence of high<br />

compressive stresses in the system, a peak at about 180 cm −1<br />

was observed in the low energetic part of the Raman spectrum<br />

in the samples before laser annealing �Fig. 3, inset�.<br />

This peak can be related to the metastable Si III phase. 5,15<br />

The Si III phase is formed by expansion and cooling of the<br />

high-temperature, high-pressure stable metallic silicon<br />

phase—Si II �Refs. 15 and 16�—and requires compressive<br />

stresses of about 10 GPa at elevated temperatures. 16 Therefore,<br />

the presence of Si III phase confirms that the silicon<br />

was exposed to high compressive stresses during RTA. Si III<br />

is a metastable phase and transforms to Si I by relaxation of<br />

the compressive stress during annealing. Such relaxation of<br />

the Si III related peak was indeed observed in the Raman<br />

spectra upon the laser treatment. The inset in Fig. 3 shows<br />

that the peak related to the Si III phase is strongly reduced<br />

after the laser annealing. The dependence of the Raman peak<br />

position on the Si layer thickness �Fig. 2�a�� probably should<br />

be attributed to a screening of the compressive stress by<br />

a-Si material in thicker Si layers.<br />

In summary, RTA annealed MQWs showed an anomalous<br />

blueshift of nc-Si related Raman peak on decreasing of<br />

Si layer thickness. Such behavior could be ascribed to residual<br />

compressive stresses in the layers. This supposition<br />

was supported by presence of high-pressure Si III phase in<br />

the samples after RTA. Laser annealing led to redshift of<br />

126 A n n u A l R e p o R t 2 0 0 6<br />

nc-Si Raman peak and suppression of Si III related peak.<br />

This indicates relaxation of stress by local laser annealing.<br />

This work has been partly funded by the European Commission<br />

under the frame of SINANO �IST-506844� and by<br />

the BMBF, Germany �Contract 03SF0308�.<br />

1<br />

Y. Rui, D. Chen, J. Xu, Y. Zhang, L. Yang, J. Mei, Z. Ma, Z. Cen, W. Li,<br />

L. Xu, X. Huang, and K. Chen, J. Appl. Phys. 98, 033532 �2005�, and<br />

references therein.<br />

2<br />

J. Gonzalez-Hernandez and R. Tsu, Appl. Phys. Lett. 42, 90 �1983�.<br />

3<br />

M. Zacharias and P. Streitenberger, Phys. Rev. B 62, 8391 �2000�.<br />

4<br />

R. Rölver, M. Först, O. Winkler, B. Spangenberg, and H. Kurz, J. Vac. Sci.<br />

Technol. A 24, 141 �<strong>2006</strong>�.<br />

5<br />

V. Domnich, Yu. Gogotsi, and S. Dub, Appl. Phys. Lett. 76, 2214 �2000�.<br />

6<br />

R. Tsu, J. Gonzalez-Hernandez, S. S. Chao, S. C. Lee, and K. Tanaka,<br />

Appl. Phys. Lett. 40, 534 �1982�.<br />

7<br />

Ch. Ossadnik, S. Veprek, and I. Gregora, Thin Solid Films 337, 148<br />

�1999�.<br />

8<br />

W. Cheng and S. Ren, Phys. Rev. B 65, 205305 �2002�.<br />

9<br />

L. Khriachtchev, O. Kilpelä, S. Karirinne, J. Keränen, and T. Lepistö,<br />

Appl. Phys. Lett. 78, 323 �2001�.<br />

10<br />

S. Kouteva-Arguirova, Tz. Arguirov, D. Wolfframm, and J. Reif, J. Appl.<br />

Phys. 94, 4946 �2003�.<br />

11<br />

M. Lax, J. Appl. Phys. 48, 3919 �1977�.<br />

12<br />

H. W. Lo and A. Compaan, J. Appl. Phys. 51, 1565 �1980�.<br />

13<br />

M. Balkanski, R. F. Wallis, and E. Haro, Phys. Rev. B 28, 1928 �1983�.<br />

14<br />

I. De Wolf, Semicond. Sci. Technol. 11, 139 �1996�.<br />

15<br />

A. Kailer, Yu. Gogotsi, and K. Nickel, J. Appl. Phys. 81, 3057 �1997�.<br />

16<br />

J. Hu, L. Merkle, C. Menomi, and I. Spain, Phys. Rev. B 34, 4679 �1986�.<br />

Downloaded 01 Sep <strong>2006</strong> to 141.43.75.152. Redistribution subject to AIP license or copyright, see http://apl.aip.org/apl/copyright.jsp


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

1.5 µm Emission from a Silicon MOS-LED Based on a Dislocation Network<br />

M. Kittler 1,2 , M. Reiche 3 , X. Yu 1,2 , T. Arguirov 1,2 , O.F. Vyvenko 2,4 , W. Seifert 1,2 ,<br />

T. Mchedlidze 2 , G. Jia 2 and T. Wilhelm 3<br />

Abstract<br />

A novel Si MOS-LED is demonstrated, which is fully<br />

compatible with Si technology. It is based on a dislocation<br />

network fabricated by wafer direct bonding. Light emission<br />

at 1.5 µm was observed when the network was near the<br />

Si/SiO 2 interface close to/inside the accumulation layer<br />

induced by the gate voltage.<br />

Introduction<br />

On-chip optical interconnects will be essential for future<br />

integrated circuits. Many key components that can be<br />

integrated on the chip have already been demonstrated by Si<br />

technology. A CMOS-compatible electrically pumped Sibased<br />

light emitter is still lacking. Different approaches for<br />

light emitters have been studied, e.g. (1-6). The desired light<br />

emitter should not only exhibit a high luminescence<br />

efficiency at room temperature (RT), but also be spatially<br />

confined and emit at about 1.5 or 1.3 µm.<br />

LEDs based on Er-doped layers consisting of Si nanoparticles<br />

embedded in Si oxide (7) or Si nitride (4), respectively, are<br />

known to emit at 1.55 µm. However, a rather high bias<br />

voltage is needed to cause efficient electroluminescence<br />

(EL). Another LED exhibiting 1.5 µm light emission with a<br />

RT efficiency > 0.1% (8) makes use of the luminescent<br />

properties of dislocations introduced by plastic deformation.<br />

Furthermore, also Si nanowires containing crystal<br />

defects/dislocations were observed to produce a dominating<br />

1.5 µm light emission at RT (9). In order to utilize<br />

dislocations as active elements in devices, their wellcontrolled,<br />

reproducible formation is an essential<br />

prerequisite.<br />

Recently, it has been demonstrated that Si wafer bonding<br />

allows the reproducible formation of dislocation networks<br />

that exhibit a dominating light emission at the desired<br />

wavelength of about 1.5 µm (6). EL at about 1.5 µm from a<br />

p-n junction formed by direct bonding of p- and n-type<br />

wafers was already observed a few years ago (10). The<br />

present paper reports an efficient light emission at 1.5 µm<br />

from a MOS-LED containing a regular dislocation network.<br />

1-4244-0439-8/06/$20.00 © <strong>2006</strong> IEEE<br />

IeDM technical Digest, 845 (<strong>2006</strong>)<br />

1<br />

<strong>IHP</strong>, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany<br />

2<br />

<strong>IHP</strong>/BTU Joint Lab, Konrad-Wachsmann-Allee 1, 03046 Cottbus, Germany<br />

3<br />

MPI für Mikrostrukturphysik, Weinberg 2, 06120 Halle, Germany<br />

4 St. Petersburg State University, Uljanovskaja 1, 198904 St. Petersburg, Russia<br />

The light emission was observed when the dislocation<br />

network was within/close to the accumulation layer formed<br />

by the gate bias.<br />

Dislocation-related luminescence in silicon<br />

A typical luminescence spectrum for dislocated Si with the<br />

quartet of defect-related D1-D4 lines (11) is shown in the<br />

insert of Fig. 1. The D1 and D3 lines appear at about 1.5 or<br />

1.3 µm, respectively, i.e. at the required wavelengths.<br />

For application of dislocations as active parts of LEDs their<br />

formation must be reproducible regarding both structure and<br />

location. Si wafer direct bonding using hydrophobic surfaces<br />

is a promising technique to form a regular dislocation<br />

network. Details of the bonding procedure used are described<br />

in (12). An example TEM micrograph of a periodic<br />

dislocation network consisting of closely spaced screw and<br />

edge dislocations is represented in Fig. 2. The structure of a<br />

dislocation network, i.e., density and type of the dislocations<br />

Fig. 1: Example of impact of misorientation/structure on the luminescence<br />

spectra of dislocation networks.<br />

(A) Twist angle of α = 9°, dominating D1 line; (B) twist angle of α = 8.2°,<br />

dominating D3 line. Same tilt angle of β = 0.2° in both cases. (C)<br />

Appearance of D1 line for the network shown in Fig. 2. The insert shows a<br />

typical spectrum of dislocated Si, exhibiting the D1-D4 lines formed by<br />

dislocations and the BB line.<br />

A n n u A l R e p o R t 2 0 0 6<br />

127


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

Fig. 2: TEM plan view of a periodic dislocation network fabricated by direct<br />

bonding of (100) Si wafers. The directions of screw and edge dislocations<br />

are indicated. Note, for this network only the D1-line was detected (see<br />

spectrum C in Fig. 1).<br />

formed, depends on the misorientation angles for twist, α,<br />

and tilt, β, during wafer bonding. The dislocation network<br />

can be well reproduced by appropriately adjusting the angles.<br />

Fig. 1 demonstrates that the luminescence spectra strongly<br />

correlate with the structure of the dislocation network. Hence,<br />

the luminescence spectrum can be tailored by the<br />

misorientation angles in a controlled manner and dominance<br />

of either D1 or D3 radiation can be attained.<br />

A layer transfer treatment allows positioning the dislocation<br />

network close to the wafer surface, e.g. (13). The network<br />

can be placed at depths ranging from less than 50 nm (see<br />

Fig. 6) to micrometers below the surface.<br />

Fig. 3: EL of a MOS tunnel diode on p-Si exhibiting BB luminescence at<br />

300 K with an efficiency > 0.1 %. The insert shows the dependence of the<br />

EL signal on the current level.<br />

128 A n n u A l R e p o R t 2 0 0 6<br />

Silicon MOS-LED<br />

EL with emission of the band-to-band (BB) line at about<br />

1.1 µm has been reported from a MOS tunnel diode<br />

prepared on n-type Si (14). Under positive gate bias electrons<br />

EL intensity (a.u.)<br />

300 K<br />

EL intensity (a.u.)<br />

900 1000 1100 1200 1300 1400<br />

Wavelength (nm)<br />

1000 1200 1400 1600<br />

Wavelength (nm)<br />

5<br />

60 mA<br />

20<br />

10<br />

(a) (b)<br />

Fig. 4: Scheme of MOS-LED, (a) p-type material with dislocation network,<br />

capable of yielding both dislocation and BB luminescence, (b) n-type Si<br />

without network yielding BB luminescence only.<br />

are attracted, building an accumulation layer close to the<br />

Si/oxide interface, and a hole current is formed by tunneling<br />

through the oxide layer.<br />

Also MOS tunnel diodes on p-type Si yield comparable<br />

results. Fig. 3 shows the EL spectrum observed at RT<br />

exhibiting the BB line with an efficiency > 0.1%. As shown<br />

in the insert the EL intensity increases sub-linearly with<br />

increasing tunneling current. The basic processes in MOS-<br />

LEDs on n-type and p-type Si, respectively, are schematically<br />

represented in Fig. 4.<br />

Dislocation-based MOS-LED<br />

When a dislocation network with appropriate structure is<br />

positioned near the Si/oxide interface, close to/within the<br />

accumulation layer, the radiative recombination is dominated<br />

by the D1 line at about 1.5 µm instead of the BB line.<br />

280000<br />

260000<br />

EL intensity (a.u.)<br />

240000<br />

220000<br />

200000<br />

180000<br />

160000<br />

140000<br />

Current (A)<br />

0,01<br />

1E-3<br />

1E-4<br />

1E-5<br />

1E-6<br />

1E-7<br />

1.1<br />

Energy (eV)<br />

1.0 0.9<br />

0 1<br />

Voltage (V)<br />

2 3<br />

120000<br />

1000 1100 1200 1300 1400 1500 1600 1700<br />

Wavelength (nm)<br />

Fig. 5: Electroluminescence at 80 K of a MOS-LED (gate area of about<br />

7.9 x 10 -3<br />

cm 2<br />

) under negative gate bias with 1.5 µm radiation caused by the<br />

dislocation network near the Si/oxide interface. The intensity is found to<br />

increase sub-linearly with increasing tunneling current as seen from the<br />

spectra measured at 2, 5 and 8 mA, respectively. The insert represents the<br />

I-V characteristic of the LED at 300K.<br />

0.8<br />

8 mA<br />

5<br />

2


Fig. 6: XTEM of the MOS-LED consiting of a 134 nm Ti layer on 1.8 nm Si<br />

oxide. The dislocation network is positioned in a depth of about 45 nm and<br />

was fabricated by direct bonding of p-type Si wafers, ρ ~ 10 Ωcm, with<br />

(100) orientation.<br />

This is clearly seen from the EL spectra shown in Fig. 5. The<br />

MOS-LED on p-type Si, with the dislocation network at a<br />

depth of about 45 nm, consisted of a 134 nm thick Ti gate<br />

(7.9 x 10 -3 cm 2 ) deposited on 1.8 nm thick Si oxide, see TEM<br />

micrographs shown in Fig. 6. The I-V characteristic is given<br />

in the insert of Fig. 5. The tunneling current increases with<br />

increasing gate voltage, leading to an enhancement of the EL<br />

intensity.<br />

To estimate the efficiency, we compared the EL of a Si p-n<br />

diode under forward bias with that of the MOS-LED (Fig. 7),<br />

obtaining a value of about 0.1% for the 1.5 µm emission<br />

generated by the MOS-LED at 80 K. Fig. 8 shows that<br />

increasing the temperature (T) from 80 to 210 K causes a<br />

red-shift of the D1 line and a reduction of the EL intensity /<br />

EL power intensity (pW nm -1<br />

)<br />

N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

12<br />

10<br />

8<br />

6<br />

4<br />

2<br />

1.6 1.4<br />

Wavelength (µm)<br />

1.2 1<br />

MOS-LED<br />

p-n diode<br />

0.6 µW /A 1.35 µW/A<br />

0<br />

0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4<br />

Energy (eV)<br />

Fig. 7: Comparison of the EL internal quantum efficiencies of a Si p-n diode<br />

and the MOS-LED. The p-n diode was measured at RT under forward bias,<br />

yielding an efficiency of 0.15%. For the MOS-LED, the estimated efficiency<br />

at 80K was about 0.1%.<br />

EL intensity (a.u.)<br />

W a ve le ng th (µm )<br />

1 .7 1.6 1 .5 1.4 1 .3 1.2 1 .1<br />

T = 21 0 K<br />

T = 8 0 K<br />

0.7 0.8 0.9 1.0 1.1 1.2<br />

Energy (eV )<br />

Fig. 8: Comparison of EL of the MOS-LED at 80 and 210 K. The EL data<br />

are normalized on tunneling current values.<br />

efficiency by a factor of about 2. Increase of temperature to<br />

300 K was found to further reduce the EL efficiency.<br />

Nevertheless, we suppose that sufficient 1.5 µm<br />

luminescence at 300 K is achievable with dislocation<br />

networks, since clearly detectable D1 emission at 300 K<br />

(efficiency > 0.1%) was demonstrated already for a p-n LED<br />

containing a dislocation network.<br />

Prospects for future improvements<br />

We suppose that elimination of non-radiative recombination<br />

channels, such as states at the Si/oxide interface, will<br />

significantly improve the efficiency. The reported upper limit<br />

of efficiency of radiative recombination in Si at RT is about<br />

20% (15).<br />

Utilization of gate dielectrics with smaller band-gap, e.g. Hf<br />

oxide, and stacks of multiple parallel networks could enhance<br />

efficiency further.<br />

Moreover, a bias voltage applied to the network significantly<br />

enhances the D-line emission in the cathodoluminescence<br />

mode (Fig. 9a), probably due to modification of the<br />

occupancy of the dislocation defect levels (16,17).<br />

Accordingly, a combination of a MOS diode or a p-n junction<br />

under forward bias, for injection of excess carriers with<br />

additional bias voltage applied to the network could be an<br />

alternative LED design (Fig. 9b). This might even allow<br />

modulation of the LED via the bias voltage.<br />

We also observed that, for the same structure of the<br />

dislocation network, the intensity of the D1 luminescence is<br />

significantly enhanced (about three times) by a trace of<br />

oxygen accommodated at the bonded interface (18). Oxygen<br />

exhibits this positive influence when its content is just above<br />

the SIMS detection limit and when no Si oxide precipitates<br />

are observed at the bonded interface. Hence, controlled<br />

placement of a trace of oxygen (Si oxide) before wafer direct<br />

bonding may help to increase the efficiency of a dislocationbased<br />

light emitter.<br />

A n n u A l R e p o R t 2 0 0 6<br />

129


N A C H D R U C K E A U S G E W Ä H L T E R P U b L i K A T i o N E N – R E P R i N T S o F S E L E C T E D P U b L i C A T i o N S<br />

Fig. 9: Influence of a bias voltage: (a) Enhancement of D-line CL emission<br />

caused by a bias applied to the dislocation network. The maximum intensity<br />

appears at about 2.5 V. The insert represents the sample configuration. (b)<br />

Suggested LED design to exploit this effect.<br />

130 A n n u A l R e p o R t 2 0 0 6<br />

Summary<br />

A novel Si LED for IR emission has been demonstrated,<br />

which does not require additional Er doping and is fully<br />

compatible with Si technology. According to our estimates<br />

and the supposed improvements it offers the capability for an<br />

efficiency around 1% at RT. We consider the proposed<br />

network-based MOS-LED a promising concept for the<br />

realization of a Si-based on-chip light emitter.<br />

Acknowledgements<br />

(a)<br />

(b)<br />

The authors would like to thank G. Weidner for X-TEM.<br />

Parts of this work have been supported by the<br />

Volkswagenstiftung Hannover, Germany.<br />

References<br />

(1) W.L. Ng, M.A. Lourenco, R.M. Gwilliam, S. Ledain, G. Shao, K.P.<br />

Homewood, Nature 410, 192 (2001).<br />

(2) L. Pavesi, J. Phys.: Condens. Matter 15, R1169 (2003).<br />

(3) G.Z. Pan, R.P. Ostroumov, Y.G. Lian, K.N. Tu, K.L. Wang, IEDM<br />

Tech. Digest (2004) pp. 343-346.<br />

(4) L. Dal Negro, J.H. Yi, M. Stolfi, J. Michel, J. LeBlanc, J. Haavisto,<br />

L.C. Kimerling, IEDM Tech. Digest (2005) pp. 1019-1022.<br />

(5) M.H. Liao, C.-Y. Yu, C.-F. Huang, C.-H. Lin, C.-J. Lee, M.-H. Yu,<br />

S.T. Chang, C.-Y. Liang, C.-Y. Lee, T.-H. Guo, C.-C. Chang, C.W.<br />

Liu, IEDM Tech. Digest (2005) pp. 1023-1026<br />

(6) M. Kittler, M. Reiche, T. Arguirov, W. Seifert, X. Yu, IEDM Tech.<br />

Digest (2005) pp. 1027-1030.<br />

(7) F. Iacona, D. Pacifici, A. Irrera, M. Miritello, G. Franzo, F. Priolo,<br />

D. Sanfilippo, G. Di Stefano, P.G. Fallica, Appl. Phys. Lett. 81, 3242<br />

(2002)<br />

(8) V. Kveder, M. Badylevich, E. Steinman, A. Izotov, M. Seibt, W.<br />

Schröter, Appl. Phys. Lett. 84, 2106 (2004).<br />

(9) G. Jia, M. Kittler, Z. Su. D. Yang, J. Sha, phys. stat. sol. (a) 203, R55<br />

(<strong>2006</strong>).<br />

(10) E.Ö. Sveinbjörnsson, S. Bengtsson, J. Weber, N. Keskitalo, ECS Proc.<br />

Vol. 97-36 (1998) pp. 264-271.<br />

(11) N.A. Drozdov, A.A. Patrin, V.D. Tkachev, JETP Lett. 23, 597 (1976).<br />

(12) M. Reiche, K. Scheerschmidt, D. Conrad, R. Scholz, A. Plößl, U.<br />

Gösele, K.N. Tu, Inst. Phys. Conf. Ser. 157, 447 (1997).<br />

(13) B. Aspar, M. Bruel, Moriceau, C. Maleville, T. Poumerol, A.M.<br />

Papon, A. Claverie et al., Microeletr. Eng. 36, 233 (1997).<br />

(14) C.W. Liu, M.H. Lee, M.-J. Chen, I.C. Lin, C.-F. Lin, Appl. Phys. Lett.<br />

76, 1516 (2000).<br />

(15) M. Trupke, J. Zhao, J. Zhao, A. Wang, R. Corkish, M.A. Green, Appl.<br />

Phys. Lett. 82, 2996 (2003).<br />

(16) A. Broniatowski, Phys. Rev. B 36, 589 (1987).<br />

(17) X. Yu, O.F. Vyvenko, M. Kittler, W. Seifert, T. Mchedlidze,<br />

T. Arguirov, M. Reiche, “Combined CL/EBIC/DLTS Investigation of a<br />

Regular Dislocation Network Formed by Silicon Wafer Direct<br />

Bonding”, “Beam Injection Assessment of Microstructures in<br />

Semiconductors - BIAMS <strong>2006</strong>”, St. Petersburg, June <strong>2006</strong>, BIAMS<br />

Proceedings in press.<br />

(18) M. Kittler, M. Reiche, W. Seifert, X. Yu, T. Arguirov, O.F. Vyvenko,<br />

T. Mchedlidze, T. Wilhelm, "Regular Dislocation Networks in Silicon<br />

as a Tool for Novel Device Application", "High Purity Silicon 9” ,<br />

ECS Transactions - Cancun, Volume 3 (<strong>2006</strong>), in press.


Erschienene Publikationen<br />

Published Papers<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(1) Determination of Low Concentrations of<br />

N and C in CZ-Si by Precise FTiR<br />

Spectroscopy<br />

V.D. Akhmetov, H. Richter, n. Inoue<br />

Materials Science and engineering B 134(2-3),<br />

207 (<strong>2006</strong>)<br />

Adding of relatively small amounts of n and C, on<br />

the order of 1 × 10 14 cm -3 in the growing crystals of<br />

Czochralski Si (CZ-Si) is considered now as a tool for<br />

the control of the internal gettering processes based<br />

on the precipitation of oxygen. the sensitivity of the<br />

conventional procedure of measurements by Fourier<br />

transform infrared (Ft-IR) spectroscopy measurements<br />

is not sufficient to determine, in a reliable<br />

manner, such concentrations of n and C. this report<br />

contains results of the implementation of the modified<br />

method of Ft-IR measurements, which allows<br />

one to improve the sensitivity for more than one order<br />

of magnitude. the new method is based mainly<br />

on (1) the modified Ft-IR system with enhanced photometric<br />

accuracy achieved by a suppression of the<br />

influence of the instabilities, and (2) using Brewster<br />

geometry to suppress the interference effects. the<br />

method contains built-in checking of the achieved<br />

accuracy of the recorded spectrum. the examples of<br />

the determination of [n] and [C] on the 10 14 cm -3 -level<br />

in 2 mm thick samples as well as in industrial wafers<br />

are presented.<br />

(2) FTiR Spectroscopic System with improved<br />

Sensitivity<br />

V.D. Akhmetov, H. Richter<br />

Materials Science in Semiconductor<br />

processing 9(1-3), 92 (<strong>2006</strong>)<br />

the problem of the determination of the intensity of<br />

weak infrared (IR) absorption bands by differential<br />

IR spectroscopy is considered for the case of a noise-limited<br />

sensitivity. A spectroscopic system based<br />

on a Fourier transform IR (FtIR) spectrometer which<br />

improves the sensitivity of the IR method by at least<br />

30 times, is described. In the conventional differen-<br />

tial FtIR measurements, only a single pair of spectra<br />

(from the analyzed sample and from the reference) is<br />

taken during the whole acquisition time. In contrast,<br />

in our system, we take the data interchangeably from<br />

the analyzed pair of sample and reference during the<br />

same acquisition time. this “modulation” of samples<br />

suppresses the contribution of the low-frequency<br />

noise as well as long-term instabilities in the differential<br />

spectrum. the described system consists of an<br />

FtIR spectrometer, a computer-controlled optimized<br />

sample changer, and software for fully automated<br />

multiple measurements. the main steps of data processing<br />

as well as an example of application of multiple<br />

measurements for a diagnostics of thin wafers<br />

are presented.<br />

(3) Pressure-induced Transformations of<br />

Nitrogen implanted into Silicon<br />

V.D. Akhmetov, A. Misiuk, A. Barcz, H. Richter<br />

physica Status Solidi A 203(4), 781 (<strong>2006</strong>)<br />

Czochralski (CZ) Si samples implanted with nitrogen,<br />

with doses 10 17 ion / cm 2 and 10 18 ion / cm 2 , at 140<br />

keV, were studied by means of Fourier transform infrared<br />

spectroscopy after annealing at 1130 °C / 5 h<br />

under different hydrostatic pressures, from 1 bar<br />

to 10.7 kbar. It has been found for each pressure<br />

applied, that the increased nitrogen dose leads to<br />

transformation of the broadband spectra to the fine<br />

structure ones, corresponding to crystalline silicon<br />

nitride. the spectral position of observed sharp peaks<br />

in the investigated pressure region is red shifted in<br />

comparison to that for the peaks of crystalline silicon<br />

oxynitride found recently by other investigators<br />

in nitrogen-containing poly-Si as well as in a residual<br />

melt of nitrogen-doped CZ-Si. the application of the<br />

pressure during annealing results in further red shift<br />

of the nitrogen-related bands. the observed decrease<br />

of frequency of vibrational bands is explained in<br />

terms of the pressure induced lowered incorporation<br />

of oxygen into growing oxynitride phase. Secondary<br />

ion mass spectrometry data reveal the decrease of<br />

oxygen content in implanted layer with increasing<br />

pressure during annealing.<br />

A n n u A l R e p o R t 2 0 0 6<br />

131


132 A n n u A l R e p o R t 2 0 0 6<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(4) Pressure-assisted Lateral Nanostructuring<br />

of the Epitaxial Silicon Layers with SiGe<br />

Quantum Wells<br />

I.V. Antonova, M.B. Gulyaev, V.A. Skuratov,<br />

R.A. Soots, V.I. obodnikov, A. Misiuk,<br />

p. Zaumseil<br />

Solid State phenomena 114, 291 (<strong>2006</strong>)<br />

transformations of the SiGe / Si superlattice structures,<br />

either annealed at high pressure, or irradiated<br />

by high energy ions and subjected to post-implantation<br />

annealing, were studied and compared. Both<br />

types of treatments were found to lead to the formation<br />

of recharged defects clusters, resulting in the<br />

appearance of peaks on C-V characteristics, shrinkage<br />

of Ge profiles registered by SIMS technique after annealing,<br />

and disappearance of peaks in the free carrier<br />

profiles. the effects were more pronounced in the<br />

case of high energy ion implantation. the results are<br />

explained by the vacancy-assisted precipitation of Ge<br />

in SiGe layers.<br />

(5) Residual Stress in Si Nanocrystals<br />

Embedded in a Sio 2 Matrix<br />

t. Arguirov, t. Mchedlidze, M. Kittler, R. Rölver,<br />

B. Berghoff, M. Först, B. Spangenberg<br />

Applied physics letters 89, 053111 (<strong>2006</strong>)<br />

Multiple quantum wells consisting of alternating<br />

Si and Sio 2 layers were studied by means of Raman<br />

scattering. the structures were fabricated by the remote<br />

plasma enhanced chemical vapor deposition of<br />

amorphous Si and Sio 2 layers on quartz substrate. the<br />

structures were subjected to a rapid thermal annealing<br />

procedure for Si crystallization. the obtained<br />

results suggest that the Si layers consist of nanocrystals<br />

embedded in an amorphous Si phase. It was<br />

found that the silicon nanocrystals inside 2 nm thin<br />

layers are under high residual compressive stress. Moreover,<br />

the metastable Si III phase was detected in<br />

these samples supporting the presence of large compressive<br />

stresses in the structures. the compressive<br />

stress could be relaxed upon local laser annealing.<br />

(6) Towards Silicon based Light Emitters<br />

Utilising the Radiation from Dislocation<br />

Networks<br />

t. Arguirov, M. Kittler, W. Seifert, X. Yu<br />

Materials Science and engineering B 134,<br />

109 (<strong>2006</strong>)<br />

on-chip optical interconnects require a CMoS-compatible<br />

electrically pumped Si-based light emitter<br />

at about 1.5 µm. Dislocations in silicon offer a recombination<br />

centre for light emission at the desired<br />

energy. Here we report on the radiative properties of<br />

dislocation networks, created in a well controllable<br />

manner at a certain depth of silicon wafers. Dislocation<br />

networks, created by ion implantation and annealing,<br />

misfit dislocation in SiGe buffers and a novel<br />

concept of dislocations created by misoriented direct<br />

bonded Si wafers are discussed. We demonstrate that<br />

under a specific misorientation a dislocation network<br />

with efficient room temperature D1 (1.55 µm) emission<br />

might be generated.<br />

(7) Structure of biomembrane-on-Silicon<br />

Hybrids Derived from X-Ray Reflectometry<br />

M. Birkholz, p. Zaumseil, M. Kittler, I. Wallat,<br />

M. Heyn<br />

Materials Science and engineering B 134,<br />

125 (<strong>2006</strong>)<br />

the organic–inorganic interface and its proper structural<br />

adjustment are of central importance for the<br />

fabrication of hybrid material systems from biomolecules<br />

and semiconductors. Such material hybrids are<br />

currently under development for several advanced<br />

applications, in particular for biomolecular sensing.<br />

An investigation of biomolecular immobilization on<br />

semiconductor surfaces by X-ray reflectometry (XRR)<br />

will be presented. Complete biomembrane patches<br />

of purple membrane (pM) from Halobacterium salinarum<br />

were immobilized on oxidized and nitrided<br />

silicon wafers. A covalent immobilization protocol<br />

based on 3-aminopropyltriethoxysilane (AptS) and<br />

glutaric dialdehyde (GD) was applied for cross-linking<br />

the biomolecules to the semiconductor surface. XRR<br />

could be shown to yield the relevant morphological<br />

parameters of biomolecular monolayers such as layer


E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

thickness, interface roughness and coverage. Synchrotron<br />

radiation was not required, but a laboratory<br />

rotating anode set-up was sufficient to study the prepared<br />

stacking of organic monolayers. According to<br />

the measurement and analysis of XRR patterns both<br />

cross-linking layers AptS and GD are required for bonding<br />

purple membrane patches to Sio 2 / Si, whereas<br />

GD alone suffices for cross-linking to Si 3 n 4 / Si. this<br />

distinct behavior offers a pathway for nanopatterning<br />

of biomolecules on Si surfaces by selective passivation.<br />

(8) A 20 GSample/s, 40 mW SiGe HbT<br />

Comparator for Ultra-High-Speed ADC<br />

Y. Borokhovych, H. Gustat<br />

eCS transactions 3(7), 937 (<strong>2006</strong>)<br />

this paper presents a high-speed master-slave comparator<br />

in an eCl configuration. Implemented in 190 GHz<br />

SiGe HBt technology, the comparator occupies<br />

0.7 x 0.7 mm 2 , including bondpads. the comparator<br />

can operate at a speed up to 20 GSample / s with a resolution<br />

of 7.2 bits per 1.2 Vp-p input. the low power<br />

consumption of the comparator itself (40 mW) and<br />

its small corearea of 190 x 35 µm 2 make it suitable<br />

for mediumresolution full-flash A / D converters and<br />

other low-power comparator applications.<br />

(9) Ab initio Study of Point Defects in<br />

Dielectrics based on Pr oxides<br />

J. Dabrowski, A. Fleszar, G. lupina, Ch. Wenger<br />

Materials Science in Semiconductor<br />

processing 9, 897 (<strong>2006</strong>)<br />

We discuss the influence of band structures and point<br />

defects (oxygen vacancies and interstitials, and praseodymium<br />

vacancies) in pr 2 o 3 , pro 2 , and prSio 3.5 on<br />

the electrical properties of high-K gate dielectrics for<br />

the application in CMoS technology. In particular,<br />

we consider the origin of fixed charges and leakage<br />

currents. We address these issues mostly from the<br />

perspective of ab initio calculations for formation<br />

energies, electronic structures, and band alignment<br />

between the film and the silicon substrate.<br />

(10) High Spatial Resolution Mapping of<br />

Partially Strain-Compensated SiGe:C Films<br />

in the Presence of Postannealed Defects<br />

A.V. Darahanau, A. Benci, A.Y nikulin,<br />

J. etheridge, J. Hester, p. Zaumseil<br />

Journal of Applied physics 99, 113531 (<strong>2006</strong>)<br />

An experimental-analytical technique for the model-independent<br />

nondestructive characterization of singlecrystal<br />

alloys is applied to partially strain-compensated<br />

SiGe:C / Si single layer structures with high concentrations<br />

of Ge. the studies were performed on pre- and postannealed<br />

SiGe:C / Si samples. X-ray Bragg diffraction<br />

profiles were collected at a synchrotron radiation source<br />

near the absorption edge of Ge. the studies have allowed<br />

the reconstruction of the complex crystal structure<br />

factor as a function of crystal depth, permitting direct<br />

observation of the effect of the thermal annealing on<br />

lattice strain and structural composition in the SiGe:C<br />

layer. the technique was shown to be applicable to the<br />

analysis of both perfect crystals and crystal structures<br />

containing a low defect concentration.<br />

(11) An integrated 3.1-5.1 GHz Pulse Generator<br />

for Ultra-Wideband Wireless Localization<br />

Systems<br />

X. Fan, G. Fischer, B. Dietrich<br />

Advances in Radio Science 4, 247 (<strong>2006</strong>)<br />

this paper presents an implementation of an integrated<br />

ultra-wideband (uWB), Binary-phase Shift<br />

Keying (BpSK) Gaussian modulated pulse generator.<br />

VCo, multiplier and passive Gaussian filter are the key<br />

components. the VCo provides the carrier frequency<br />

of 4.1 GHz, the lC Gaussian filter is responsible for the<br />

pulse shaping in the baseband. Multiplying the baseband<br />

pulse and the VCo frequency shifts the pulse to<br />

the desired center frequency. the generated Gaussian<br />

pulse ocuppies the frequency range from 3.1 to 5.1 GHz<br />

with the center frequency at 4.1 GHz. Simulations<br />

and measured results show that this spectrum fulfills<br />

the mask for indoor communication systems given by<br />

the FCC (Federal Communications Commission, 2002).<br />

the total power consumption is 55 mW using a supply<br />

voltage of 2.5 V. Circuits are realized using the IHp<br />

0.25 µm SiGe:C BiCMoS technology.<br />

A n n u A l R e p o R t 2 0 0 6<br />

133


134 A n n u A l R e p o R t 2 0 0 6<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(12) Cost-Effective integration of an FNprogrammed<br />

Embedded Flash Memory into<br />

a 0.25 µm SiGe:C RF-biCMoS Technology<br />

A. Fox, K.e. ehwald, p. Schley, R. Barth,<br />

S. Marschmeyer, C. Wolf, V.e. Stikanov,<br />

A. Gromovyy and A. Hudyryev<br />

<strong>Microelectronics</strong> Journal 37(11), 1194 (<strong>2006</strong>)<br />

this paper presents a process technology for costeffective<br />

integration of low-power flash memories<br />

into a 0.25 µm, high performance SiGe:C RF-BiCMoS<br />

process. only four additional lithographic steps are<br />

used on top of the baseline BiCMoS process, leading<br />

to in total 23 mask levels for the BiCMoS / embedded<br />

flash process. uniform-channel Fowler-nordheim programmable<br />

and erasable stacked-gate cells, suitable<br />

for medium density (Mbit) memories, are demonstrated.<br />

peripheral high-voltage transistors, with >10 V<br />

breakdown voltage, are integrated without additional<br />

mask steps on top of the flash cell integration. the<br />

flash memory integration is modular and has negligible<br />

impact on the original CMoS and HBt device<br />

parameters.<br />

(13) infrared Absorption Measurement of<br />

Carbon Concentration in Silicon Crystals<br />

n. Inoue, M. nakatsu, V. Akhmetov<br />

eCS transactions 2(2), 461 (<strong>2006</strong>)<br />

Sensitivity and accuracy of carbon concentration<br />

measurement by infrared (IR) absorption spectroscopy<br />

are improved. We cut unnecessary high energy<br />

light input by a low pass filter. Measurement condition<br />

of sample and reference is kept as equal as possible<br />

by using the sample changer and measure them<br />

alternately for many times. It is possible to improve<br />

the accuracy by keeping the temperature of sample<br />

and reference as close as possible. In the analytical<br />

procedure, we use a reduced phonon spectrum fitting<br />

instead of straight baseline. Standard carbon spectrum<br />

fitting to a small carbon peak make it possible<br />

to determine the carbon concentration accurately. As<br />

a result, we can measure differential carbon concentration<br />

down to about 1x10 14 atoms / cm 3 .<br />

(14) 1.5 µm Luminescence of Silicon Nanowires<br />

Fabricated by Thermal Evaporation of Sio<br />

G. Jia, M. Kittler, Z. Su, D. Yang, and J. Sha<br />

physica Status Solidi A 203, R55 (<strong>2006</strong>).<br />

Silicon nanowires (nWs) fabricated by thermal evaporation<br />

of Sio were studied by cathodoluminescence.<br />

A band around 1550 nm (0.8 eV) was observed. It<br />

appears above 225 K and its intensity increases with<br />

increasing temperature. the broad band consists of<br />

the defect-related D1 and D2 lines and is supposed to<br />

be formed by extended defects within the nWs that<br />

are decorated with oxygen. Moreover, luminescence<br />

bands are found that are related to Si oxide and / or<br />

the interface between Si and Si oxide. In addition, the<br />

Si band-to-band line and the G center are observed.<br />

(15) A Contribution to oxide Precipitate<br />

Nucleation in Nitrogen Doped Silicon<br />

G. Kissinger, u. lambert, M. Weber,<br />

F. Bittersberger, t. Müller, H. Richter,<br />

W. von Ammon<br />

physica Status Solidi A 203(4), 677 (<strong>2006</strong>)<br />

Based on Fourier transform infrared (FtIR) spectroscopy<br />

and bulk micro-defect investigations, in relation<br />

to earlier results of other groups, we suggest<br />

the following model for oxide precipitate nucleation<br />

in n-doped silicon. Around 600 °C a nucleation maximum<br />

exists where oxide precipitates are formed via<br />

oxygen attachment to both noo and nno complexes.<br />

these complexes are formed by the reaction of nn<br />

with interstitial oxygen. Vacancy supersaturation enhances<br />

this type of precipitate nucleation. A second<br />

nucleation maximum exists around 900 °C. this is<br />

assumed to be due to a vacancy assisted oxynitride<br />

Sio x n y based nucleation process. the higher density<br />

of the oxynitride phase compared to silicon oxide and<br />

a higher residual vacancy concentration would explain<br />

the observed shift of the maximum nucleation<br />

rate to higher temperatures around 900 °C.


E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(16) Analytical Modeling of the interaction of<br />

Vacancies and oxygen for oxide Precipitation<br />

in RTA Treated Silicon Wafers<br />

G. Kissinger, J. Dabrowski, A. Sattler,<br />

C. Seuring, t. Müller, H. Richter, W. von Ammon<br />

eCS transactions 2(2), 247 (<strong>2006</strong>)<br />

We have investigated the impact of RtA induced vacancy<br />

supersaturation on oxide precipitation based<br />

on as much as possible experimental and theoretical<br />

values. oxygen precipitation after RtA processing was<br />

found to be controlled by the initial concentration of<br />

interstitial oxygen in a sixth power dependency and<br />

frozen vacancies just in a cubic dependency. the formation<br />

of tensile strained nVo 2 clusters seems to be<br />

the favored process for coherent nucleation of oxide<br />

precipitates. the reduction of interstitial oxygen<br />

can be accurately modeled for the temperature range<br />

from 1150 °C to 1250 °C using Ham‘s theory for<br />

precipitate growth and an empirical relation based<br />

on nucleation of oxide precipitates by agglomeration<br />

of Vo 2 complexes. During RtA treatments at temperatures<br />

greater than or equal to 1300 °C vacancies seem<br />

to be consumed by other processes. Below RtA temperatures<br />

of 1150 °C, oxide precipitation is dominated<br />

by shrunken as-grown precipitate nuclei because<br />

as-grown nuclei can be dissolved only at RtA temperatures<br />

greater than or equal to 1150 °C.<br />

(17) Combination of optical Measurement and<br />

Precipitation Theory to overcome the<br />

obstacles of Detection Limits<br />

G. Kissinger, t. Müller, A. Sattler, W. Häckl,<br />

p. Krottenthaler, t. Grabolla, H. Richter,<br />

W. von Ammon<br />

Materials Science in Semiconductor<br />

processing 9, 236 (<strong>2006</strong>)<br />

Ham‘s theory was applied in order to become independent<br />

of detection limits for oxide precipitates and<br />

to quantify the phenomenon of oxygen loss to invisible<br />

BMDs during thermal treatments. the density<br />

of detectable bulk micro-defects (BMDs) depends on<br />

the size distribution of grown-in nuclei and the ramp<br />

rate, temperature, and duration of the thermal treatment<br />

applied. there is no correlation to the invisible<br />

BMDs. During conventional annealing, the density of<br />

the invisible BMDs decreases exponentially with increasing<br />

radius of precipitates at a nearly constant<br />

loss of interstitial oxygen. only if the calculated radius<br />

exceeds 70 nm, a 100 % loss of interstitial oxygen<br />

to BMDs detectable by scanning infrared microscopy<br />

(SIRM) seems to be possible. After RtA processing at<br />

1230 °C, a period of 1 h at 1000 °C would be necessary<br />

for the growing oxide precipitates to reach a saturated<br />

density detectable by SIRM, but there remains a<br />

very high density of invisible BMDs consuming interstitial<br />

oxygen. In n-doped silicon, the vast majority<br />

of BMDs is detectable by SIRM, cleave and etch, and<br />

infrared light scattering tomography after thermal<br />

processing.<br />

(18) oxide Precipitation via Coherent<br />

“Seed”-oxide Phases<br />

G. Kissinger, J. Dabrowski<br />

eCS transactions 3(4), 97 (<strong>2006</strong>)<br />

until now, oxide precipitation is treated in theoretical<br />

models as homogeneous nucleation of incoherent<br />

Sio x precipitates. In reality, this type of nucleation is<br />

very seldom because it is hindered by a high energy<br />

barrier which results from the incoherent interface.<br />

the key role of Vo 2 complexes for nucleation of oxide<br />

precipitates was already demonstrated on a broad experimental<br />

basis. Ab initio calculations have shown<br />

that the agglomeration of Vo 2 is energetically favorable.<br />

In this contribution, the bulk modulus and molecular<br />

volume of nVo 2 clusters, so-called seed-Sio 2 ,<br />

are determined and an analytical nucleation model is<br />

developed. In a second step, a more advanced model<br />

was developed which is based on a mono-layered agglomeration<br />

of Vo 2 complexes on 100 planes, so called<br />

seed-Sio and the heterogeneous nucleation of amorphous<br />

Sio 2 (a-Sio 2 ) at these plates. From energetic<br />

reasons, the advanced model is regarded as the most<br />

plausible nucleation path.<br />

A n n u A l R e p o R t 2 0 0 6<br />

135


136 A n n u A l R e p o R t 2 0 0 6<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(19) Regular Dislocation Networks in Silicon<br />

as a Tool for Novel Device Application<br />

M. Kittler, M. Reiche, W. Seifert, X. Yu,<br />

t. Arguirov, o.F. Vyvenko, t. Mchedlidze,<br />

t. Wilhelm<br />

eCS transactions 3(4), 429 (<strong>2006</strong>)<br />

the paper deals with possibilities of utilizing dislocation<br />

structures as active components of devices. the<br />

suggested means for controlled formation of dislocations<br />

is direct wafer bonding, giving rise to well defined<br />

dislocation networks with adjustable properties.<br />

It is shown that the networks allow building light<br />

emitting diodes based on the D line luminescence<br />

of the dislocations. A light emitter at about 1.5 µm<br />

wavelength is demonstrated, with an efficiency potential<br />

estimated at 1 %. Immobilization of biomolecules<br />

on Si surfaces by Coulomb interaction with the<br />

dislocations in the network is another application<br />

discussed. Finally, the potential use of dislocation<br />

networks as insulating layers permeable to impurities<br />

to be gettered and as three-dimensional buried conductive<br />

channels in the Si wafer is addressed.<br />

(20) Self-organized Pattern Formation of<br />

biomolecules at Si Surfaces: intended<br />

Application of a Dislocation Network<br />

M. Kittler, X. Yu, o.F. Vyvenko, M. Birkholz,<br />

W. Seifert, M. Reiche, t. Wilhelm, t. Arguirov,<br />

A. Wolff, W. Fritzsche, M. Seibt<br />

Materials Science and engineering C 26,<br />

902 (<strong>2006</strong>)<br />

Defined placement of biomolecules at Si surfaces is a<br />

precondition for a successful combination of Si electronics<br />

with biological applications. We aim to realize<br />

this by Coulomb interaction of biomolecules with dislocations<br />

in Si. the dislocations form charged lines<br />

and they will be surrounded with a space charge region<br />

being connected with an electric field. the electric<br />

stray field in a solution of biomolecules, caused<br />

by dislocations located close to the Si surface, was<br />

estimated to yield values up to few kVcm -1 . A regular<br />

dislocation network can be formed by wafer direct<br />

bonding at the interface between the bonded wafers<br />

in case of misorientation. the adjustment of misori-<br />

entation allows the variation of the distance between<br />

dislocations in a range from 10 nm to a few µm. this is<br />

appropriate for nanobiotechnology dealing with protein<br />

or DnA molecules with sizes in the nm and lower<br />

µm range. Actually, we achieved a distance between<br />

the dislocations of 10–20 nm. Also the existence of a<br />

distinct electric field formed by the dislocation network<br />

was demonstrated by the technique of the electron-beam-induced<br />

current (eBIC). Because of the<br />

relatively short range of the field, the dislocations<br />

have to be placed close to the surface. We positioned<br />

the dislocation network in an interface being 200 nm<br />

parallel to the Si surface by layer transfer techniques<br />

using hydrogen implantation and bonding. Based on<br />

eBIC and luminescence data we postulate a barrier of<br />

the dislocations at the as bonded interface < 100 meV.<br />

We plan to dope the dislocations with metal atoms<br />

to increase the electric field. We demonstrated that<br />

regular periodic dislocation networks close to the Si<br />

surface formed by bonding are realistic candidates for<br />

self-organized placing of biomolecules. experiments<br />

are underway to test whether biomolecules decorate<br />

the pattern of the dislocation lines.<br />

(21) Silicon-based Light Emitters<br />

M. Kittler, M. Reiche, t. Arguirov,<br />

W. Seifert, X. Yu<br />

physica Status Solidi A 203(4), 802 (<strong>2006</strong>)<br />

A new concept for a Si light emitting diode (leD) capable<br />

of emitting efficiently at 1.55 µm or at 1.3 µm,<br />

respectively, is proposed. It utilizes radiation from a<br />

well-defined dislocation network created in a reproducible<br />

manner by direct Si wafer bonding. the wavelength<br />

of the light emitted from the network can be<br />

tailored by adjusting the misorientation between the<br />

Si wafers. that way dominance of radiation at 1.55 µm<br />

(D1 line) or at 1.3 µm (D3 line) was achieved. there<br />

are hints that decoration of the dislocations by<br />

oxygen enhances the intensity of the D1 radiation.<br />

A critical analysis of the light emitter proposed by W.<br />

l. ng et al. [nature 410, 192 (2001)] using band-toband<br />

emission is given. Its application at the above<br />

wavelengths would require a few microns thick SiGe<br />

layer on top of the Si substrate.


E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(22) System integration by Request-driven<br />

GALS Design<br />

M. Krstic, e. Grass, C. Stahl, M. piz<br />

Iee proceedings - Computers and Digital<br />

techniques 153(5), 362 (<strong>2006</strong>)<br />

A novel request-driven globally asynchronous locally<br />

synchronous (GAlS) technique for the system integration<br />

of complex digital blocks is proposed. For this new<br />

GAlS technique, an asynchronous wrapper compliant<br />

is developed and evaluated. this proposed GAlS technique<br />

is applied to a baseband processor compatible<br />

with the wireless lAn standard Ieee 802.11a. the developed<br />

GAlS baseband processor chip is fabricated<br />

and measured. Besides improvements of the system<br />

integration process, a 5 dB reduction in electromagnetic<br />

interference, 30 % reduction in instantaneous<br />

supply current variation, and similar dynamic power<br />

consumption as in the synchronous baseband processor<br />

is achieved.<br />

(23) A Low-Power, X-band SiGe HbT Low-Noise<br />

Amplifier for Near-Space Radar Applications<br />

W.-M. l. Kuo, R. Krithivasan, X. li, Y. lu,<br />

J. D. Cressler, H. Gustat, B. Heinemann<br />

Ieee Microwave and Wireless Components<br />

letters 16(9), 520 (<strong>2006</strong>)<br />

A low-power, X-band low-noise amplifier (lnA) is presented.<br />

Implemented with 180 GHz silicon-germanium<br />

(SiGe) heterojunction bipolar transistors (HBts),<br />

the circuit occupies 780 x 660 µm 2 . the lnA exhibits<br />

a gain of 11.0 dB at 9.5 GHz, a mean noise figure of<br />

2.78 dB across X-band, and an input third-order intercept<br />

point of -9.1 dBm near 9.5 GHz, while dissipating<br />

only 2.5 mW. the low-power performance of this lnA,<br />

together with its natural total-dose radiation immunity,<br />

demonstrates the potential of SiGe HBt technology<br />

for near-space radar applications.<br />

(24) A Distributed Privacy Enforcement<br />

Architecture based on Kerberos<br />

p. langendörfer, K. piotrowski, M. Maaser<br />

WSeAS transactions on Communications 5,<br />

2 (<strong>2006</strong>)<br />

In this paper we propose a distributed privacy enforcement<br />

architecture. each mobile client runs its own<br />

privacy negotiation unit as well as its own Kerberos<br />

ticket granting server. the privacy negotiation units<br />

are compatible with the p3p standard, but allow mutual<br />

exchange of privacy policies and enforce that these<br />

are digitally signed in case of an agreement. each of<br />

the individual tGS may provide tickets only for data<br />

that is owned by the mobile (user) on behalf of which<br />

it is executed. In addition the initial authentication<br />

phase can be done by the standard Kerberos approach<br />

as well as based on pKI using certificate chains. So<br />

our architecture gives the user back control over her<br />

personal data and it provides better scalability to the<br />

context aware platform. It also opens up the Kerberos<br />

approach for environments in which the mobile client<br />

discovers new services, which are not registered at its<br />

platform, i.e., at the Kerberos server. our measurements<br />

indicate that running our privacy enforcement<br />

architecture on the mobile device does not inhibit a<br />

real burden. Successful negotiations are completed<br />

within 2 seconds including message exchange and<br />

compiling a ticket is done in about 100 ms at 238 MHz<br />

and the client application size of our Java tGS implementation<br />

is less than 50 kByte.<br />

(25) Praseodymium Silicate Films on Si(100)<br />

for Gate Dielectric Applications: Physical<br />

and Electric Characterization<br />

G. lupina, t. Schroeder, J. Dabrowski,<br />

Ch. Wenger, A.u. Mane, H.-J. Müssig,<br />

p. Hoffmann, D. Schmeißer<br />

Journal of Applied physics 99, 114109 (<strong>2006</strong>)<br />

praseodymium (pr) silicate dielectric layers were<br />

prepared by oxidation and subsequent n 2 annealing<br />

of thin pr metal layers on Sio 2 / Si(100) substrates.<br />

transmission electron microscopy studies reveal<br />

that the resulting dielectric has a bilayer structure.<br />

nondestructive depth profiling by using synchrotron<br />

radiation x-ray photoelectron spectroscopy shows<br />

that, starting from the substrate, the dielectric stack<br />

is composed of a Sio 2 -rich and a Sio 2 -poor pr silicate<br />

phase. Valence and conduction band offsets of about<br />

2.9 and 1.6 eV, respectively, between the dielectric<br />

and the Si(100) substrate bands were deduced. pr<br />

A n n u A l R e p o R t 2 0 0 6<br />

137


138 A n n u A l R e p o R t 2 0 0 6<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

silicate films with an equivalent oxide thickness of<br />

1.8 nm show approximately three orders of magnitude<br />

lower leakage currents than silicon oxynitride references.<br />

Capacitance versus voltage measurements<br />

of the pr silicate / Si(100) system report a flat band<br />

voltage shift of 0.22 V, an effective dielectric constant<br />

of about 11 and a reasonably good interface<br />

quality with an interface state density on the order of<br />

10 11 cm –2 . experimental results are supplemented by<br />

ab initio considerations which review the most probable<br />

mechanisms of fixed charge formation in the pr<br />

silicate layers.<br />

(26) Thermal Stability of Pr Silicate High-k-<br />

Layers on Si(100)<br />

G. lupina, t. Schroeder, Ch. Wenger,<br />

J. Dabrowski, H.-J. Müssig<br />

Applied physics letters 89, 222909 (<strong>2006</strong>)<br />

thermal stability of amorphous pr silicate high-k<br />

layers on Si(001) was evaluated in view of complementary<br />

metal-oxide-semiconductor transistor processing<br />

requirements. Materials science techniques<br />

prove that no crystallization, no phase separation<br />

into Sio 2 and pr 2 o 3 , and no pr silicide formation at the<br />

interface occur after 1 min rapid thermal annealing<br />

treatment in n 2 over the temperature range from 600<br />

to 900 °C. electrical measurements confirm within<br />

this thermal budget well-behaved characteristics<br />

with k values between 11 and 13 and leakage currents<br />

about three orders of magnitude lower than in case of<br />

Sion reference layers.<br />

(27) involvement of iron-Phosphorus<br />

Complexes in iron Gettering for N-Type<br />

Silicon<br />

t. Mchedlidze, M. Kittler<br />

physica Status Solidi A 203(4), 786 (<strong>2006</strong>)<br />

Mechanisms for phosphorus (p) diffusion gettering<br />

(pDG) for iron are supplemented by possible formation<br />

of iron-phosphorus complexes in heavy p-doped<br />

region. existence of such complexes was recently<br />

reported based on the results of electron-spin resonance<br />

investigations. DltS measurements suggest a<br />

high probability for the formation of iron-phosphorus<br />

complexes in n-type silicon in the presence of vacancies<br />

and / or vacancy-phosphorus pairs. on the other<br />

hand, recent theoretical calculations predict formation<br />

of negatively charged vacancy-phosphorus pairs<br />

in the heavily p-doped region of silicon during pDG.<br />

these facts indicate on possibility to explain the high<br />

efficiency of the pDG process for iron by assuming<br />

formation of iron-phosphorus complexes in the heavy<br />

p-doped region of silicon. possible advantages of<br />

application of hydrogen or nitrogen assisted pDG are<br />

considered.<br />

(28) Structural and optical Properties of<br />

Si / Sio 2 Multi-Quantum Wells<br />

t. Mchedlidze, t. Arguirov, M. Kittler,<br />

R. Roelver, B. Berghoff, M. Foerst and<br />

B. Spangenberg<br />

physica e available online (<strong>2006</strong>)<br />

Structural and optical properties of Si / Sio 2 multiquantum<br />

wells (MQW) were investigated by means<br />

of Raman scattering and photoluminescence (pl)<br />

spectroscopy. the MQW structures were fabricated<br />

on a quartz substrate by remote plasma enhanced<br />

chemical vapour deposition (RpeCVD) of alternating<br />

amorphous Si and Sio 2 layers. After layer deposition<br />

the samples were subjected to heat treatments, i.e.<br />

rapid thermal annealing (RtA) and furnace annealing.<br />

Distinct pl signatures of confined carriers evidenced<br />

formation of Si-nanocrystals (nc-Si) in annealed samples.<br />

Analyses of Raman spectra also show presence<br />

of nc-Si phase along with amorphous-Si (a-Si) phase<br />

in the samples. the strong influence of the annealing<br />

parameters on the formation of nc-Si phase suggests<br />

broad possibilities in engineering MQW with various<br />

optical properties. Interestingly, conversion of the<br />

a-Si phase to the nc-Si phase saturates after certain<br />

time of furnace annealing. on the other hand, thinner<br />

Si layers showed a disproportionately lower crystalline<br />

volume fraction. From the obtained results we could<br />

assume that an interface strain prevents full crystallization<br />

of the Si layers and that the strain is larger for<br />

thinner Si layers. the anomalous dependence of nc-<br />

Si Raman scattering peak position on deposited layer<br />

thickness observed in our experiments also supports<br />

the above assumption.


E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(29) Atomically Controlled Processing for<br />

Group iV Semiconductors by Chemical<br />

Vapor Deposition<br />

J. Murota, M. Sakuraba, B. tillack<br />

Japanese Journal of Applied physics pt. 1<br />

45(9a), 6767 (<strong>2006</strong>)<br />

one of the main requirements for Si-based ultrasmall<br />

devices is atomic-order control of process technology.<br />

Here we show the concept of atomically controlled<br />

processing for group IV semiconductors based on<br />

atomic-order surface reaction control. By ultraclean<br />

low-pressure chemical vapor deposition using SiH 4<br />

and GeH 4 gases, high-quality low-temperature epitaxial<br />

growth of Si, Ge, and Si 1-x Ge x with atomically flat<br />

surfaces and interfaces on Si(100) is achieved, and<br />

atomic-order surface reaction processes on group<br />

IV semiconductor surface are formulated based on<br />

a langmuir-type surface adsorption and reaction<br />

scheme. In in-situ doped Si 1-x Ge x epitaxial growth<br />

on the (100) surface in a SiH 4 –GeH 4 –dopant (pH 3 , or<br />

B 2 H 6 or SiH 3 CH 3 )–H 2 gas mixture, the deposition rate,<br />

the Ge fraction and the dopant concentration are<br />

explained quantitatively assuming that the reactant<br />

gas adsorption / reaction depends on the surface site<br />

material and that the dopant incorporation in the<br />

grown film is determined by Henry‘s law. Self-limiting<br />

formation of 1–3 atomic layers of group IV or related<br />

atoms in the thermal adsorption and reaction of<br />

hydride gases on Si(100) and Ge(100) is generalized<br />

based on the langmuir-type model. Si or SiGe epitaxial<br />

growth over n, p or B layer already-formed on<br />

Si(100) or SiGe(100) surface is achieved. Furthermore,<br />

the capability of atomically controlled processing<br />

for advanced devices is demonstrated. these results<br />

open the way to atomically controlled technology for<br />

ultralarge-scale integrations.<br />

(30) Effect of Fluorine on the Activation and<br />

Diffusion behaviour of boron implanted<br />

Preamorphized Silicon<br />

S. paul, W. lerch, B. Colombeau, n.e.B Covern,<br />

F. Christiano, S. Bonelli, D. Bolze<br />

Journal of Vacuum Science and technology B<br />

24(1), 437 (<strong>2006</strong>)<br />

In this study we investigated the effect of position<br />

and dose of a separate fluorine coimplant on the<br />

activation and diffusion behavior of germanium<br />

preamorphized boron implants. Germanium preamorphized<br />

silicon was implanted with boron, and fluorine<br />

was subsequently implanted with different energies<br />

and doses to place it either at the projected range<br />

of the boron implant, or between the boron profile<br />

and the amorphous-crystalline interface, or at this<br />

interface. the wafers were spike annealed at temperatures<br />

ranging from 950 to 1050 °C. In terms of<br />

sheet resistance it was found that the superposition<br />

of B and F profiles leads to decreased activation compared<br />

to the wafers without any F implant. Increased<br />

boron activation is seen for all the other cases with<br />

the biggest effects for the highest fluorine dose. the<br />

positioning of F either between the boron projected<br />

range and the end of range (eoR) or at the eoR leads<br />

to more box-shaped boron profiles with shallower<br />

junction depth than the reference wafer.<br />

(31) Protecting Privacy in E-Cash Schemes by<br />

Securing Hidden identity Approaches<br />

against Stochastic Attacks<br />

K. piotrowski, p. langendörfer, o. Maye,<br />

Z. Dyka<br />

Internet Research emerald 16(2), 159 (<strong>2006</strong>)<br />

to enhance security and privacy of e-cash systems<br />

that apply revocable anonymity by presenting a statistical<br />

attack that reveals the hidden ID and suitable<br />

protection means against this kind of attack.<br />

(32) Quantum Theory for ac-Admittance<br />

p.n. Racec, u. Wulf<br />

Materials Science and engineering C 26,<br />

876-880 (<strong>2006</strong>)<br />

Starting from a mean field calculation for the static<br />

capacitance of a MIS-nanostructure with a near back<br />

gate [p.n. Racec, e.R. Racec and u. Wulf, phys. Rev. B<br />

65, 193314, (2002)] we develop an approach to determine<br />

its ac-admittance. Mainly because of the interaction<br />

with the near back gate the inversion electron<br />

layer which forms in the considered MIS-nanostructure<br />

assumes on open character which is taken into<br />

A n n u A l R e p o R t 2 0 0 6<br />

139


140 A n n u A l R e p o R t 2 0 0 6<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

account in the landauer–Büttiker formalism. For the<br />

Coulomb interaction the Hartree approximation is<br />

applied. In quantitative agreement with experiments<br />

a characteristic step in the static C–V trace results<br />

when the inversion layer is populated from the back<br />

gate. We found that this characteristic step is dominated<br />

by a particular resonance which we call intermediate<br />

resonance. Consistent with our static calculations<br />

we determine the density–density correlation<br />

function in the random phase approximation to find<br />

the ac-admittance. As an example we demonstrate<br />

that the lifetime of the static resonance induces a<br />

characteristic turnover frequency for the ac-admittance.<br />

An equivalent small-signal circuit is proposed<br />

and the dependence of its elements (capacitance and<br />

resistance) on the working point for low and high frequencies<br />

are presented.<br />

(33) Small-Signal Circuit Elements of MiS-Type<br />

Nanostructures<br />

p.n. Racec, u. Wulf<br />

Solid State phenomena 121-123, 549 (<strong>2006</strong>)<br />

Starting from a mean field calculation for the static<br />

capacitance of a MIS-nanostructure with a near<br />

back gate [p.n. Racec, e.R. Racec and u. Wulf, phys.<br />

Rev. B 65, 193314, (2002)] we develop an approach<br />

to determine the equivalent small-signal circuit. the<br />

analyzed system has an open character, taken into account<br />

in the landauer-Büttiker formalism. the Coulomb<br />

interaction is treated in Hartree approximation.<br />

Consistent with our static calculation we determine<br />

the charge-charge correlation function in the random<br />

phase approximation to find the ac-admittances.<br />

the small-signal circuit consists of a voltage-dependent<br />

capacitance and a resistance in series. Beyond<br />

a characteristic frequency V c they become frequency<br />

dependent. the characteristic frequency is given by<br />

the life time of specific resonance which develops in<br />

the system.<br />

(34) Dislocation-induced Light Emission<br />

M. Reiche, M. Kittler, t. Wilhelm, t. Arguirov,<br />

W. Seifert, X. Yu, t. Mchedlidze<br />

eCS transactions 3(7), 311 (<strong>2006</strong>)<br />

Hydrophobic wafer bonding causes the formation of<br />

dislocation networks in the bonded interface. the<br />

structure of the dislocation network depends on<br />

the misorientation between both wafers during the<br />

bonding. the characterization of the dislocation networks<br />

proved that the luminescence depends only on<br />

the structure of the dislocation network. Different<br />

degrees of misorientation cause that different lines<br />

in the pl- and Cl-spectra appear. this makes it possible<br />

to construct monochromatic light sources.<br />

(35) Dopant Diffusion in SiGe:C Alloys<br />

H. Rücker, B. Heinemann, R. Kurps, Y. Yamamoto<br />

eCS transactions 3(7), 1069 (<strong>2006</strong>)<br />

In this paper, we discuss the impact of germanium<br />

and carbon on the diffusion of common dopants in<br />

Si-based alloys. We review results of various diffusion<br />

experiments and discuss the basic physical mechanisms<br />

of the observed changes of diffusion coefficients<br />

as a function of alloy composition. Results of<br />

boron and phosphorus marker layer diffusion experiments<br />

are presented for binary Si 1-x Ge x and Si 1-y C y and<br />

ternary Si 1-x-y Ge x C y alloys.<br />

(36) on the Epitaxy of Twin-Free Cubic (111)<br />

Praseodymium Sesquioxide Films on Si (111)<br />

t. Schroeder, p. Zaumseil, G. Weidner,<br />

Ch. Wenger, J. Dabrowski, H.-J. Müssig,<br />

p. Storck<br />

Journal of Applied physics 99, 014101 (<strong>2006</strong>)<br />

twin-free epitaxial cubic (111) praseodymium sesquioxide<br />

films were prepared on Si(111) by hexagonalto-cubic<br />

phase transition. Synchrotron radiation<br />

grazing incidence x-ray diffraction and transmission<br />

electron microscopy were applied to characterize the<br />

phase transition and the film structure. As-deposited<br />

films grow single crystalline in the (0001)-oriented<br />

hexagonal high-temperature phase of praseodymium<br />

sesquioxide. In situ x-ray diffraction studies deduce<br />

an activation energy of 2.2 eV for the hexagonal-to-cubic<br />

phase transition. transmission electron<br />

microscopy shows that the phase transition is accompanied<br />

by an interface reaction at the oxide / Si(111)<br />

boundary. the resulting cubic (111) low-temperature


E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

praseodymium sesquioxide film is single crystalline<br />

and exclusively shows B-type stacking. the 180° rotation<br />

of the cubic oxide lattice with respect to the<br />

Si substrate results from a stacking fault at the substrate<br />

/ oxide boundary.<br />

(37) Standardization of Test Methods of bulk<br />

Microdefects and Denuded Zone in<br />

Annealed CZ Si<br />

R. takada, n. Inoue , K. Moriya, K. Kashima,<br />

K. nakashima, M. Kato, S. Kitagawa, t. ono,<br />

H. uzushido, n. nango and V. Akhmetov<br />

eCS transactions 2(2), 471 (<strong>2006</strong>)<br />

the requirement to standardize measurement methods<br />

for BMD (Bulk Micro Defect) density and DZ<br />

(Denuded Zone) CZ silicon has lead to the establishment<br />

of a SeMI standard for annealed CZ silicon wafers.<br />

therefore, it was decided that we should aim at<br />

standardizing the preferential-etching and 90 degrees<br />

laser-scattering tomography techniques as a<br />

collaborative work between JeItA (Japan electronics<br />

and Information technology Industries Association)<br />

and JSpS (Japan Society of promotion of Science)<br />

145 th Committee. In this work, we carried out a set<br />

of round robin tests and examined whether we could<br />

jointly standardize both the preferential-etching and<br />

the 90 degrees laser-scattering methods. this resulted<br />

in a standardized measurement protocol for BMD<br />

density and DZ width, which has become known as the<br />

JeItA standard eM 3508 [1].<br />

(38) Atomic Layer Processing for Doping of SiGe<br />

B. tillack, Y. Yamamoto, D. Bolze,<br />

B. Heinemann, H. Rücker, D. Knoll, J. Murota,<br />

W. Mehr<br />

thin Solid Films 508(1-2), 279 (<strong>2006</strong>)<br />

Atomic layer processing has been demonstrated for<br />

doping of SiGe during Reduced pressure Chemical Vapour<br />

Deposition (RpCVD) in a commercial single wafer<br />

reactor. Atomic level control of dose and location has<br />

been obtained for B doping using B 2 H 6 and for p doping<br />

using pH 3 . the main idea of atomic layer processing<br />

is the separation of adsorption of the reactant<br />

gases from the deposition process. By this way, self-<br />

limitation has been shown for p doping. By lowering<br />

the temperature for B 2 H 6 exposure (100 °C), the nonself-limiting<br />

character of the B doping process can<br />

be changed to self-limitation. By this manner, very<br />

shallow doping profiles with low sheet resistance<br />

have been obtained, capable for future ultra-shallow<br />

junction applications. p atomic layer doping is shown<br />

to be suitable for the creation of steep and narrow<br />

doping profiles suitable for high-performance pnp<br />

Heterojunction Bipolar transistors (HBts). this result,<br />

together with the already demonstrated usage of B<br />

atomic layer doping for npn HBts, demonstrates the<br />

capability of the atomic layer processing approach for<br />

future devices with critical requirements for dopant<br />

dose and location control.<br />

(39) High Quality Al 2 o 3 / Pr 2 o 3 / Al 2 o 3 MiM<br />

Capacitors for RF Applications<br />

Ch. Wenger, G. lippert, R. Sorge, t. Schroeder,<br />

A.u. Mane, G. lupina, J. Dabrowski,<br />

p. Zaumseil, X. Fan, l. oberbeck,<br />

u. Schröder, H.-J. Müssig<br />

Ieee transactions on electron Devices 53(8),<br />

1937 (<strong>2006</strong>)<br />

the electrical characteristics of layered<br />

Al 2 o 3 / pr 2 o 3 / Al 2 o 3 metal–insulator–metal (MIM) capacitors<br />

for RF device applications are presented for<br />

the first time. this advanced dielectric layer system<br />

4-nm Al 2 Ho 3 / 8-nm pr 2 o 3 / 4-nm Al 2 o 3 shows a high<br />

capacitance density of 5.7 fF / µm 2 , a low leakage current<br />

density of 5 x 10 -9 A / cm 2 at 1 V, and an excellent<br />

dielectric loss behavior over the studied frequency<br />

range.<br />

(40) Chemical Vapor Phase Etching of Polycrystalline<br />

Selective to Epitaxial SiGe<br />

Y. Yamamoto, B. tillack, K. Köpke, o. Fursenko<br />

thin Solid Films 508(1-2), 297 (<strong>2006</strong>)<br />

Combination of nonselective Si / SiGe growth with selective<br />

chemical vapor phase etching of poly-Si / SiGe<br />

was investigated with the aim to create epitaxial<br />

Si / SiGe in a selective manner. Directly after the nonselective<br />

deposition, an HCl vapor phase etching was<br />

performed within the same reactor (RpCVD) at diffe-<br />

A n n u A l R e p o R t 2 0 0 6<br />

141


142 A n n u A l R e p o R t 2 0 0 6<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

rent process conditions (HCl partial pressure, etching<br />

temperature) to remove the polycrystalline Si / SiGe<br />

selectively to the epitaxial material. Microloading<br />

effect of nonselective SiGe process was ignorable to<br />

that of selective SiGe process. etching rate of poly-<br />

Si / SiGe was higher than that of epitaxial Si / SiGe. We<br />

found that there is a pattern size dependence of the<br />

etching process which becomes smaller by increasing<br />

HCl flow, indicating that high HCl flow condition is<br />

required for uniform epitaxial Si / SiGe thickness. Selectivity<br />

of polycrystalline to epitaxial Si / SiGe becomes<br />

higher with increasing etching temperature. the<br />

selectivity of polycrystalline to epitaxial etching for<br />

SiGe can be improved by adding a thin Si cap layer<br />

which will be partly removed during the etching process.<br />

(41) P Doping Control During SiGe:C Epitaxy<br />

Y. Yamamoto, B. tillack, K. Köpke, R. Kurps<br />

thin Solid Films 508 (1-2), 288 (<strong>2006</strong>)<br />

phosphorus (p) doping during SiGe:C epitaxy by using<br />

reduced pressure chemical vapor deposition (RpCVD)<br />

was investigated with the aim to prevent non-intended<br />

doping and to create steep doping profiles. We<br />

found that p diffusion during cap SiGe:C growth is<br />

not a major cause for p autodoping. the source of p<br />

autodoping is not the reactor but the wafer itself. By<br />

unloading the wafer at < 200 °C in H 2 HF dip treatment<br />

after p-doped SiGe:C layer deposition, p autodoping<br />

was drastically suppressed. this means that the<br />

source layer for p autodoping was partly removed by<br />

the HF treatment. We also found that this layer could<br />

not be removed by in-situ HCl dry etching after p-doped<br />

SiGe:C layer deposition. lowering growth rate of<br />

nondoped cap SiGe:C layer without changing temperature<br />

improves the p autodoping at high p concentration.<br />

By reducing growth temperature from 600 to<br />

550 °C for nondoped SiGe:C cap layer keeping same<br />

growth rate, steepness of p autodoping was improved<br />

from 20.9 nm / dec to 8.7 nm / dec. By reducing<br />

growth temperature further to 500 °C, steepness of<br />

p autodoping is drastically improved to 3.4 nm / dec.<br />

the impact of the growth temperature is indicating<br />

segregation as the main factor for autodoping and<br />

profile steepness. therefore, by reducing growth temperature<br />

for SiGe cap layer deposition, non-intended<br />

p doping could be suppressed resulting in very steep<br />

p profiles applicable for devices with critical doping<br />

profile requirements.<br />

(42) Properties of Dislocation Networks Formed<br />

by Si Wafer Direct bonding<br />

X. Yu, t. Arguirov, M. Kittler, W. Seifert,<br />

M. Ratzke, M. Reiche<br />

Materials Science in Semiconductor<br />

processing 9, 96 (<strong>2006</strong>)<br />

Reproducible formation of well-controlled dislocation<br />

structures is a prerequisite to use dislocations<br />

as an active part of devices. Regular dislocation networks<br />

have been formed at the interface by Si wafer<br />

direct bonding. the barriers of interface were generally<br />

smaller than 100 meV. the temperature dependence<br />

of the electron-beam-induced current (eBIC)<br />

contrast of the interface indicates a deep state density<br />

of a few 10e5 per cm along the dislocation lines<br />

in the network. It is also found that the dislocation<br />

networks in Si can act as effective channel for carrier<br />

transport. photoluminescence (pl) reveals that the<br />

D line spectrum related to the dislocation networks<br />

can be tailored by the bonding misorientation. So,<br />

the D1 line can be made the dominating feature in<br />

the pl spectrum. It is suggested that regular dislocation<br />

networks represent an interesting new nanosystem<br />

for future applications, such as accommodation<br />

biomolecules onto silicon, dislocation-based leD or<br />

buried nanowires.<br />

(43) optimization of Anti-reflective Coatings<br />

for High NA Lithography<br />

J. Bauer, o. Fursenko, S. Virko, B. Kuck,<br />

t. Grabolla, V. Melnik, W. Mehr<br />

proc. 4 th Workshop ellipsometry, 94 (<strong>2006</strong>)<br />

(44) Swing Curve Measurement and Simulation<br />

for High NA Lithography<br />

J. Bauer, u. Haak, K. Schulz, G. old, A. Kraft<br />

proc. Microlithography <strong>2006</strong>, SpIe, Metrology,<br />

Inspection, and process Control for<br />

Microlithography XX, 6152, 1209 (<strong>2006</strong>)


E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(45) An Ultra-Wideband Low Power Consumption<br />

Differential Low Noise Amplifier in<br />

SiGe:C biCMoS Technology<br />

p.K. Datta, G. Fischer<br />

proc. Ieee Radio and Wireless Symposium,<br />

RWS ‘06, 107 (<strong>2006</strong>)<br />

(46) An Ultra-Wideband Transceiver Front-End<br />

in SiGe:C biCMoS Technology<br />

p.K. Datta, X. Fan, G. Fischer<br />

proc. <strong>2006</strong> Ieee International Conference<br />

on ultra-Wideband, 167 (<strong>2006</strong>)<br />

(47) A Wireless Communication Platform<br />

for Long-Term Health Monitoring<br />

D. Dietterle, J.-p. ebert, G. Wagenknecht,<br />

R. Kraemer<br />

proc. of the 1 st Ieee International Workshop<br />

on pervasive and ubiquitous Health Care<br />

(ubiCare ‘06), Ieee Computer Society,<br />

474 (<strong>2006</strong>)<br />

(48) bASUMA - Ein körpernahes Funknetzwerk<br />

für Telemonitoring<br />

J.-p. ebert, t. Falck, J. espina<br />

proc. 7. Würzburger Medizintechnik-Kongress<br />

für medizinische Anwendungen im Krankenhaus,technologien-partnerschaftenpraktische<br />

lösungen, abstract (<strong>2006</strong>)<br />

(49) Leakage Current and Dopant Activation in<br />

Ultra-Shallow Junctions Following Millisecond<br />

Anneals Measured by Non-Contact<br />

Junction Photo-Voltage Methods<br />

V.n. Faifer, t.M.H. Wong, M.I.Curent,<br />

D.K. Schroder, p.J. timans, S. McCoy, J. Gelpey,<br />

W. lerch, S. paul, D. Bolze, t. Claryssee,<br />

t. Zangerle, A. Moussa, W. Vandervorst<br />

proc. American Vacuum Society 53rd International Symposium (<strong>2006</strong>)<br />

(50) bASUMA - The Sixth Sense for Chronically<br />

iii Patients<br />

t. Falck, J. espina, J.-p. ebert, D. Dietterle<br />

proc. Body Sensor networks (<strong>2006</strong>)<br />

(51) An integrated Gaussian Modulated Pulse<br />

Generator for Ultra-Wideband Wireless<br />

Localization System<br />

X. Fan, p.K. Datta, G. Fischer<br />

proc. Joint China Japan Microwave Conference,<br />

2, 566 (<strong>2006</strong>)<br />

(52) SiGe:C biCMoS Technologie für 77 GHz<br />

Radaranwendungen<br />

G.G. Fischer<br />

proc. ItG / BMBF Statusseminar “Automobile<br />

Radarsensorik für Fahrerassistenzsysteme”,<br />

VDe Kongress, 1, 349 (<strong>2006</strong>)<br />

(53) Stability Constraints in SiGe Epitaxy<br />

A. Fischer<br />

the Silicon Heterostructure Handbook:<br />

Materials, Fabrication, Devices, Circuits, and<br />

Applications of SiGe and Si Strained layer<br />

epitaxy / ed. J. Cressler, Boca Raton,<br />

CRC press, 127 (<strong>2006</strong>)<br />

(54) Combination of Spectroscopic Ellipsometry<br />

and Reflectometry for Characterization<br />

of Ni Silicide Process<br />

o. Fursenko, D. Bolze, I. Costina, p. Zaumseil,<br />

t. Huelsmann, W. lerch<br />

proc. 4 th Workshop ellipsometry, 133 (<strong>2006</strong>)<br />

(55) A broadband Low Spur Fully integrated<br />

biCMoS PLL for 60 GHz Wireless Applications<br />

S. Glisic, W. Winkler<br />

proc. Ieee Radio and Wireless Symposium -<br />

RWS ‘06, 451 (<strong>2006</strong>)<br />

(56) A 10 GS / s 2 V pp Emitter Follower only<br />

Track and Hold Amplifier in SiGe biCMoS<br />

Technology<br />

S. Halder, S.A. osmany, H. Gustat, B. Heinemann<br />

proc. Ieee International Symposium on<br />

Circuits and Systems (ISCAS ‘06), 4775 (<strong>2006</strong>)<br />

(57) An 8 bit 10 GS / s 2 V pp Track and Hold<br />

Amplifier in SiGe biCMoS Technology<br />

S. Halder, H. Gustat, J.C. Scheytt<br />

proc. eSSCIRC, 416 (<strong>2006</strong>)<br />

A n n u A l R e p o R t 2 0 0 6<br />

143


144 A n n u A l R e p o R t 2 0 0 6<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(58) High-Performance biCMoS Technologies<br />

without Epitaxially-buried Subcollectors<br />

and Deep Trenches<br />

B. Heinemann, R. Barth, D. Knoll, H. Rücker,<br />

B. tillack, W. Winkler<br />

Conference Digest of <strong>2006</strong> 3 rd International<br />

SiGe technology and Device Meeting,<br />

IStDM ‘06, 230 (<strong>2006</strong>)<br />

(59) Creation of SiGe Radhard Library<br />

H.-V. Heyer, u. Jagdhold<br />

proc. 1 st International Workshop on Analog<br />

and Mixed Signal Integrated Circuits for<br />

Space Applications, AMICSA (<strong>2006</strong>)<br />

(60) 1.5 µm Emission from a Silicon MoS-LED<br />

based on a Dislocation Network<br />

M. Kittler, M. Reiche, X. Yu, t. Arguirov,<br />

o. Vyvenko, W. Seifert, t. Mchedlidze, G. Jia,<br />

t. Wilhelm<br />

IeDM technical Digest, 845 (<strong>2006</strong>)<br />

(61) A Low-Cost, High-Performance, High-<br />

Voltage Complementary biCMoS Process<br />

D. Knoll, B. Heinemann, K.e. ehwald, A. Fox,<br />

H. Rücker, R. Barth, D. Bolze, t. Grabolla,<br />

u. Haak, J. Drews, B. Kuck, S. Marschmeyer,<br />

H.H. Richter, M. Chaimanee, o. Fursenko,<br />

p. Schley, B. tillack, K. Köpke, Y. Yamamoto,<br />

H.e. Wulf, D. Wolansky<br />

IeDM technical Digest, 607 (<strong>2006</strong>)<br />

(62) industry Examples at State-of-the-Art: iHP<br />

D. Knoll<br />

the Silicon Heterostructure Handbook:<br />

Materials, Fabrication, Devices, Circuits, and<br />

Applications of SiGe and Si Strained layer<br />

epitaxy / ed. J. Cressler, Boca Raton,<br />

CRC press, 321 (<strong>2006</strong>)<br />

(63) Siliziumbasierte Mikroelektronik für die<br />

drahtlose Hochleistungskommunikation<br />

R. Kraemer<br />

proc. Fachtagung Mikroelektronik<br />

“<strong>Microelectronics</strong> on the Move!” im Rahmen<br />

des VDe-Kongresses, 1, 481 (<strong>2006</strong>)<br />

(64) The institute of High Performance<br />

<strong>Microelectronics</strong>: Excellent Research<br />

Environment for PhD. Students and Post Doc<br />

R. Kraemer<br />

Digest of the 4 th Joint Symposium on optoand<br />

Microelectronic Devices and Circuits<br />

(SoDC ‘06), 109 (<strong>2006</strong>)<br />

(65) A Graphical Tool for Specification, Rapid<br />

Prototyping and implementation of<br />

Location based Services<br />

p. langendörfer, S. Adam<br />

proc. Innovations for europe Mobility,<br />

ItG-Fachtagung im Rahmen des<br />

VDe-Kongresses, 1, 53 (<strong>2006</strong>)<br />

(66) Efficient Protection of Mobile Devices by<br />

Cross Layer interaction of Firewall<br />

Approaches<br />

p. langendörfer, M. lehmann, K. piotrowski<br />

proc. 4 th International Conference on Wired /<br />

Wireless Internet Communications<br />

(WWIC <strong>2006</strong>), Springer, lnCS 3970, 155 (<strong>2006</strong>)<br />

(67) on the implementation of a Low-Power<br />

iEEE 802.11a Compliant Viterbi Decoder<br />

K. Maharatna, A. troya, M. Krstic, e. Grass<br />

proc. VlSI Design Conference, 613 (<strong>2006</strong>)<br />

(68) An optical indoor Positioning System for<br />

the Mass Market<br />

o. Maye, J. Schäffner, M. Maaser<br />

proc. of 3 rd Workshop on positioning,<br />

navigation and Communication –<br />

WpnC ‘06, 111 (<strong>2006</strong>)<br />

(69) Nanoelectronics – a Major Driver for Ultra-high<br />

integration and Ulta-high Speed innovations<br />

W. Mehr<br />

proc. of nnFC International Symposium on<br />

nanotechnology, 42 (<strong>2006</strong>)<br />

(70) A Complementary RF-LDMoS Architecture<br />

Compatible with 0.13 µm CMoS Technology<br />

n. Mohapatra, H. Rücker, K.e. ehwald, R. Sorge,<br />

R. Barth, p. Schley, D. Schmidt, H.e. Wulf


E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

proc. of the 18 th International Symposium on<br />

power Semiconductor Devices and ICs<br />

(ISpSD ‘06), 37 (<strong>2006</strong>)<br />

(71) Atomically Controlled CVD Technology for<br />

Group iV Semiconductors<br />

J. Murota, M. Sakuraba, B. tillack<br />

<strong>2006</strong> 8 th International Conference on Solid-<br />

State and Integrated Circuit technology<br />

proceedings, 440 (<strong>2006</strong>)<br />

(72) Spike and Flash Annealing of Shallow<br />

Arsenic and Phosphorus implants in<br />

Different Gaseous Ambient<br />

S. paul, W. lerch, S. McCoy, J. Gelpey, D. Bolze<br />

proc. 16 th International Conference in Ion<br />

Implantation technology (IIt ‘06), 109 (<strong>2006</strong>)<br />

(73) How Public Key Cryptography influences<br />

Wireless Sensor Node Lifetime<br />

K. piotrowski, p. langendörfer, S. peter<br />

proc. 4 th ACM Workshop on Security of Ad Hoc<br />

and Sensor networks (SASn ‘06), 169 (<strong>2006</strong>)<br />

(74) A Simple oFDM Physical Layer for Short-<br />

Range High Data Rate Transmission at 60 GHz<br />

M. piz, e. Grass<br />

proc. 11 th International oFDM Workshop<br />

(InoWo ‘06), 303 (<strong>2006</strong>)<br />

(75) Carbon Plasma Etching in Advanced<br />

Semiconductor Technologies<br />

H.H. Richter, K.A. pears, M. Markert,<br />

S. Marschmeyer, S. Günther,<br />

G. Weidner, H. Silz<br />

Verhandlungen der Deutschen physikalischen<br />

Gesellschaft 5, 33 (<strong>2006</strong>)<br />

(76) Single Crystalline Semiconductorinsulator-Semiconductor<br />

Systems by oxide<br />

Engineering and Lattice Matching<br />

Approaches<br />

t. Schroeder, I. Costina, p. Zaumseil, G. lupina,<br />

Ch. Wenger, J. Dabrowski, H.-J. Müssig<br />

proc. 13 th Workshop on oxide electronics,<br />

abstracts book (<strong>2006</strong>)<br />

(77) The iEEE 802.15.3 MAC Protocol Accelerator<br />

for a body Area Sensor Network<br />

H. Shah, D. Dietterle, J.-p. ebert, R. Kraemer<br />

proc. of the 9 th International Symposium on<br />

Wireless personal Communication (WpMC ‘06),<br />

(<strong>2006</strong>)<br />

(78) An implementation Study on Fault-Tolerant<br />

LEoN-3 Processor System<br />

Z. Stamenkovic, C. Wolf, G. Schoof, J. Gaisler<br />

proc. Ip-Based SoC Design Conference, 23<br />

(<strong>2006</strong>)<br />

(79) LEoN-2: General Purpose Processor for a<br />

Wireless Engine<br />

Z. Stamenkovic, C. Wolf, G. Schoof, J. Gaisler<br />

proc. of the <strong>2006</strong> Ieee Workshop on Design<br />

and Diagnostics of electronic Circuits and<br />

Systems, 50 (<strong>2006</strong>)<br />

(80) SoC Design: Engineering or Art<br />

Z. Stamenkovic<br />

proc. 25 th Ieee International Conference on<br />

<strong>Microelectronics</strong>, 401 (<strong>2006</strong>)<br />

(81) A Fully Differential 60 GHz Receiver Front-<br />

End with integrated PLL in SiGe:C biCMoS<br />

Y Sun, S. Glisic, F. Herzel<br />

proc. european Microwave Integrated Circuits<br />

Conference, 198 (<strong>2006</strong>)<br />

(82) An integrated 60 GHz Receiver Front-End in<br />

SiGe:C biCMoS<br />

Y. Sun, l. Wang, J. Borngräber, F. Herzel,<br />

W. Winkler, R. Kraemer<br />

proc. of the 6 th topical Meeting on Silicon<br />

Monolithic Integrated Circuits in RF Systems<br />

(SiRFIC ‘06), 269 (<strong>2006</strong>)<br />

(83) An integrated 60 GHz Transceiver Front-<br />

End for oFDM in SiGe biCMoS<br />

Y. Sun, S. Glisic, M. piz, F. Herzel, K. Schmalz,<br />

e. Grass, W. Winkler, J.C. Scheytt, R. Kraemer<br />

Digest of the 4 th Joint Symposium on optoand<br />

Microelectronic Devices and Circuits<br />

(SoDC ‘06), 93 (<strong>2006</strong>)<br />

A n n u A l R e p o R t 2 0 0 6<br />

145


146 A n n u A l R e p o R t 2 0 0 6<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(84) Atomic Layer Processing for Future Micro-<br />

and Nanotechnology<br />

B. tillack<br />

proc. of the International Symposium on<br />

System Construction of Global-networkoriented<br />

Information electronics, 11 (<strong>2006</strong>)<br />

(85) SiGe:C biCMoS Technologies for High<br />

Frequency Applications<br />

B. tillack, B. Heinemann, D. Knoll, H. Rücker,<br />

G.G. Fischer, W. Winkler, W. Mehr<br />

proc. 2 nd International Workshop on new<br />

Group IV Semiconductor nanoelectronics,<br />

program and abstracts, 1 (<strong>2006</strong>)<br />

(86) Strained SiGe and Si Epitaxy<br />

B. tillack, p. Zaumseil<br />

the Silicon Heterostructure Handbook:<br />

Materials, Fabrication, Devices, Circuits, and<br />

Applications of SiGe and Si Strained layer<br />

epitaxy / ed. J. Cressler, Boca Raton, CRC press,<br />

33 (<strong>2006</strong>)<br />

(87) Transforming Protocol Specifications for<br />

Wireless Sensor Networks into Efficient<br />

Embedded System implementations<br />

G. Wagenknecht, D. Dietterle, J.-p. ebert,<br />

R. Kraemer<br />

proc. 3 rd european Workshop on Wireless<br />

Sensor networks (eWSn), Berlin, Springer,<br />

lnCS 3868, 228 (<strong>2006</strong>)<br />

(88) 77 GHz Automotive Radar Receiver Front-<br />

end in SiGe:C biCMoS Technology<br />

l. Wang, J. Borngräber, W. Winkler<br />

proc. eSSCIRC, 388 (<strong>2006</strong>)<br />

(89) A 0.7-1.4 GHz Variable band Low Noise<br />

Amplifier for Multi-band Applications<br />

l. Wang, W. Winkler, G. Wang, J. Borngräber<br />

proc. 8 th International Conference on Solid-<br />

State and Integrated Circuit technology<br />

proceedings, 1547 (<strong>2006</strong>)<br />

(90) Low Power Frequency Dividers in SiGe:C<br />

biCMoS Technology<br />

l. Wang, Y. Sun, J. Borngräber, A. thiede,<br />

R. Kraemer<br />

proc. of Ieee Mtt-S 6 th topical Meeting on<br />

Silicon Monolithic Integrated Circuits in<br />

RF Systems, 357 (<strong>2006</strong>)<br />

(91) An improved Highly-Linear Low-Power<br />

Down-Conversion Micromixer for 77 GHz<br />

Automotive Radar in SiGe Technology<br />

l. Wang, R. Kraemer, J. Borngräber<br />

proc. Internat. Microwave Symposium (<strong>2006</strong>)<br />

(92) High Quality Layered Pr 2 Ti 2 o 7 / Sio 2 MiM<br />

Capacitor for Mixed-Signal Applications<br />

Ch. Wenger, R. Sorge, t. Schroeder, A.u. Mane,<br />

D. Knoll, J. Dabrowski, H.-J. Müssig<br />

proc. of the 6 th topical Meeting on Silicon<br />

Monolithic Integrated Circuits in RF Systems<br />

(SiRFIC ‚06), 241 (<strong>2006</strong>)<br />

(93) An indoor Localization System based on<br />

DTDoA for Different Wireless LAN<br />

F. Winkler, e. Fischer, e. Grass, p. langendörfer<br />

proc. of 3 rd Workshop on positioning, navigation<br />

and Communication - WpnC ‘06, 117 (<strong>2006</strong>)<br />

(94) Front-End MMiC for Low-Cost 24 GHz Radar<br />

Systems<br />

W. Winkler, J. Borngräber<br />

proc. International Radar Symposium<br />

(IRS ‘06), 145 (<strong>2006</strong>)<br />

(95) A Novel Approach to Self-organized Pattern<br />

Formation of biomelcules at Silicon<br />

Surfaces<br />

A. Wolff, W. Fritzsche, M. Kittler, X. Yu,<br />

M. Reiche, t. Wilhelm, M. Seibt, o. Voß<br />

proc. International Symposium on DnA-Based<br />

nanoscale Integration, 31 (<strong>2006</strong>)<br />

(96) Phosphorus Segregation Control for SiGe:C<br />

Epitaxy<br />

Y. Yamamoto<br />

Conference Digest of <strong>2006</strong> 3 rd International


E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

SiGe technology and Device Meeting,<br />

IStDM ‘06, 282 (<strong>2006</strong>)<br />

(97) optical Properties, Elasto-optical Effects,<br />

and Critical-Point Parameters of biaxially<br />

Stressed Si 1-y C y Alloys on Si (001)<br />

St. Zollner, V. Vartanian, J.p. lui, p. Zaumseil,<br />

H.-J. osten, A.A. Demkov, B-Y nguyen<br />

Conference Digest of <strong>2006</strong> 3 rd International<br />

SiGe technology and Device Meeting,<br />

IStDM ‘06, 90 (<strong>2006</strong>)<br />

(98) Design of a Wireless Communication<br />

Platform for body Area Networks<br />

D. Dietterle, G. Wang, J.-p. ebert, R. Kraemer<br />

proc. of WWRF 16 (<strong>2006</strong>)<br />

(99) High Performance SiGe biCMoS Technology<br />

for High Frequency Applications<br />

G.G. Fischer<br />

proc. 36 th european Microwave Conference<br />

(<strong>2006</strong>)<br />

(100) SiGe:C biCMoS Technologien für 77/79 GHz<br />

Automobilradar<br />

G.G. Fischer<br />

GMM-Workshop „Hochfrequenz-Halbleitertechnologien<br />

für Automobilanwendungen“<br />

(<strong>2006</strong>)<br />

(101) SiGe:C biCMoS-Technologien für Mikroelek-<br />

tronik-Anwendungen über 60 GHz<br />

G.G. Fischer<br />

GMM-Workshop Mikroelektronik-Anwendungen<br />

(<strong>2006</strong>)<br />

(102) UWb Transceiver for Data Communication<br />

and indoor Localization<br />

G. Fischer<br />

proc. 36 th european Microwave Conference<br />

(<strong>2006</strong>)<br />

(103) SiGe iCs for the 77 GHz Automotive Radar<br />

S. Glisic, l. Wang<br />

proc. eeefCoM Workshop Hochfrequenztechnik,<br />

Komponenten, Module und eMV (<strong>2006</strong>)<br />

(104) Scalable Low-Power High-Speed biCMoS<br />

ECL Library<br />

H. Gustat<br />

proc. 36 th european Microwave Conference<br />

(<strong>2006</strong>)<br />

(105) Ultra-High Speed A /D and D /A Converters<br />

H. Gustat<br />

proc. 36 th european Microwave Conference<br />

(<strong>2006</strong>)<br />

(106) 60 GHz RF-Frontend for 1 Gbit /s WLAN<br />

Transceiver<br />

F. Herzel<br />

proc. 36 th european Microwave Conference<br />

(<strong>2006</strong>)<br />

(107) impact of Ni Layer Thickness and Anneal<br />

Time on Nickel Silicide Formation by Rapid<br />

Thermal Processing<br />

t. Huelsmann, J. niess, W. lerch, o. Fursenko,<br />

D. Bolze<br />

proc. 14 th Ieee International Conference on<br />

Advanced thermal processing of<br />

Semiconductors (<strong>2006</strong>)<br />

(108) 60 GHz SiGe Transceiver Frontend-iCs für<br />

die drahtlose Nahfeldkommunikation<br />

J.C. Scheytt, Y. Sun, S. Glisic, F. Herzel,<br />

K. Schmalz, e. Grass, W. Winkler, R. Kraemer<br />

proc. eeefCoM Workshop Hochfrequenztechnik,<br />

Komponenten, Module und eMV<br />

(<strong>2006</strong>)<br />

(109) Frequenzagiler Synthesizer und effizienter<br />

Leistungsverstärker für Multi-Standard<br />

basisstationen<br />

J.C. Scheytt<br />

proc. BMBF Statusseminar (<strong>2006</strong>)<br />

(110) High-Performance Mixed-Signal iCs in SiGe<br />

biCMoS Technology<br />

J.C. Scheytt, R. Kraemer<br />

proc. european Microwave Week (<strong>2006</strong>)<br />

A n n u A l R e p o R t 2 0 0 6<br />

147


148 A n n u A l R e p o R t 2 0 0 6<br />

E R S C H i E N E N E P U b L i K A T i o N E N – P U b L i S H E D P A P E R S<br />

(111) An integrated 5 GHz Wideband Quadrature<br />

Modem in SiGe:C biCMoS Technology<br />

K. Schmalz, F. Herzel, M. piz<br />

proc. of the 36 th european Microwave<br />

Conference, 1656 (<strong>2006</strong>)<br />

(112) Radar Circuits and Components<br />

W. Winkler<br />

proc. 36 th european Microwave Conference (<strong>2006</strong>)<br />

(113) A 70 MHz – 4.1 GHz 5 th -order Elliptic gm-C<br />

Low-Pass Filter in Complementary SiGe<br />

Technology<br />

l. Yuan, R. Krithivasan, W.-M.l. Kuo,<br />

l. Xiangtao, J.D. Cressler, H. Gustat,<br />

B. Heinemann<br />

proc. of the Ieee Bipolar / BiCMoS Circuits<br />

and technology Meeting, BCtM ‘06, 4.3.1.<br />

(<strong>2006</strong>)<br />

(114) 60 GHz Demonstrator in 0.25 µm SiGe:C<br />

biCMoS Technology<br />

e. Grass, M. piz, F. Herzel, K. Schmalz, Y. Sun,<br />

S. Glisic, K. tittelbach-Helmrich<br />

proc. Ieee 802.15 Meeting, Document<br />

number: Ieee 802.15-06 / 0320r0 (<strong>2006</strong>)<br />

(115) Protocol integration of 60 GHz PHY<br />

e. Grass, K. tittelbach-Helmrich, D. Dietterle,<br />

J.-p. ebert, R. Kraemer<br />

proc. Ieee 802.15 Meeting, Doc.no:<br />

Ieee 802.12-06 / 0127r0 (<strong>2006</strong>)<br />

(116) NEPP: Negotiation Enhancements for<br />

Privacy Policies<br />

M. Maaser, S. ortmann, p. langendörfer<br />

proc. W3C Workshop on languages for privacy<br />

policy negotiation and Semantics-Driven<br />

enforcement (<strong>2006</strong>)<br />

(117) 60 GHz Channel Measurements for “Video<br />

Supply in Trains, busses and Aircraft”<br />

Scenario<br />

M. peter, W. Keusgen, e. Grass<br />

proc. Ieee 802.15 Meeting, Document<br />

number: Ieee 802.15-06 / 0476r0 (<strong>2006</strong>)<br />

Eingeladene Vorträge<br />

invited Presentations<br />

(1) biomolecular Sensing - and Potential<br />

Contributions from <strong>Microelectronics</strong><br />

M. Birkholz<br />

technische Fachhochschule Wildau,<br />

January 26, <strong>2006</strong>, Germany<br />

(2) Körpernahe Funknetze zur Fernüberwachung<br />

des Gesundheitszustandes von<br />

Patienten<br />

D. Dietterle<br />

Gauss-Woche, Frankfurt (oder),<br />

April 07, <strong>2006</strong>, Germany<br />

(3) bASUMA - body Area System for Ubiquitous<br />

Multimedia Applications<br />

J.-p. ebert<br />

5. Schloß Steinhöfel Seminar of GI RGB and<br />

German Chapter of ACM, Fürstenwalde,<br />

April, <strong>2006</strong>, Germany<br />

(4) bASUMA - Ein körpernahes Funknetzwerk<br />

für Telemonitoring<br />

J.-p. ebert, t. Falck, J. espina<br />

7. Würzburger Medizintechnik-Kongress für<br />

medizinische Anwendungen im Krankenhaus,<br />

technologien-partnerschaften-praktische<br />

lösungen, Würzburg,<br />

Mai 14-17, <strong>2006</strong>, Germany<br />

(5) Drahtlose Kommunikation in eingebetteten<br />

Automobilsystemen - Stand und Vision der<br />

Funktechnik für den Einsatz in Fahrzeugen<br />

J.-p. ebert<br />

Die Zuliefermesse: 7. Internationale Fachmesse<br />

für teile, Module, Komponenten und<br />

technologien, leipzig, June, <strong>2006</strong>, Germany<br />

(6) SiGe:C biCMoS Technologien für 77/79 GHz<br />

Automobilradar<br />

G.G. Fischer<br />

GMM-Workshop „Hochfrequenz-Halbleitertechnologien<br />

für Automobilanwendungen“,<br />

München, June 22, <strong>2006</strong>, Germany


E i N G E L A D E N E V o R T R Ä G E – i N V i T E D P R E S E N T A T i o N S<br />

(7) UWb Transceiver Architecture for Robust<br />

Location Determination<br />

G. Fischer, J.C. Scheytt, R. Kraemer<br />

Ieee International Microwave Symposium<br />

Ieee-Mtt-S, Workshop WMA, San Francisco,<br />

June 11-16, <strong>2006</strong>, uSA<br />

(8) Ultra-Wide band Transceiver für indoor<br />

Lokalisierung und Datenkommunikation<br />

G. Fischer<br />

Workshop Analog Integrated Circuits,<br />

tu Kaiserslautern, March 13, <strong>2006</strong>, Germany<br />

(9) broadband Wireless Communication at<br />

60 GHz: Systems, Circuits and Technologies<br />

e. Grass, M. piz, F. Herzel, K. Schmalz, Y. Sun,<br />

S. Glisic, M. Krstic, K. tittelbach-Helmrich,<br />

M. ehrig, W. Winkler, R, Kramer, J.C. Scheytt<br />

Workshop “From Research to Innovation”,<br />

Szczecin, May 17-19, <strong>2006</strong>, poland<br />

(10) High-Performance biCMoS Technologies<br />

without Epitaxially-buried Subcollectors<br />

and Deep Trenches<br />

B. Heinemann, R. Barth, D. Knoll, H. Rücker,<br />

B. tillack, W. Winkler<br />

<strong>2006</strong> 3 rd International SiGe technology and<br />

Device Meeting, IStDM <strong>2006</strong>, princeton,<br />

May 15-17, <strong>2006</strong>, uSA<br />

(11) Analytical Modeling of the interaction of<br />

Vacancies and oxygen for oxide Precipita-<br />

tion in RTA Treated Silicon Wafers<br />

G. Kissinger, J. Dabrowski, A. Sattler,<br />

C. Seuring, t. Müller, H. Richter, W. von Ammon<br />

10 th International Symposium on Silicon<br />

Materials, Science, and technology<br />

(Semiconductor Silicon <strong>2006</strong>), Denver,<br />

May 07-12, <strong>2006</strong>, uSA<br />

(12) Dislocations in Solar Silicon:<br />

Electrical Activity<br />

M. Kittler<br />

nordic Workshop on Crystalline Si Solar Cells,<br />

oslo, January 23-24, <strong>2006</strong>, norway<br />

(13) iR-Emitter auf Si-basis<br />

M. Kittler<br />

ISF Hameln, Institutsseminar, Hameln,<br />

november 21, <strong>2006</strong>, Germany<br />

(14) Regular Dislocation Networks in Silicon<br />

M. Kittler<br />

SoIteC, Bernin, June 26, <strong>2006</strong>, France<br />

(15) Regular Dislocation Networks in Silicon as<br />

a Tool for Novel Device Application<br />

M. Kittler, M. Reiche, W. Seifert, X. Yu,<br />

t. Arguirov, o.F. Vyvenko, t. Mchedlidze,<br />

t. Wilhelm<br />

210 th eCS Meeting, Symposium ‚High purity<br />

Silicon 9‘, Cancun,<br />

october 29 - november 03, <strong>2006</strong>, Mexico<br />

(16) Silicon Nanostructures for iR Light<br />

Emitters<br />

M. Kittler, t. Arguirov, W. Seifert, X. Yu, G. Jia,<br />

o.F. Vyvenko, t. Mchedlidze, M. Reiche,<br />

t. Wilhelm, J. Sha, D. Yang<br />

e-MRS Spring Meeting <strong>2006</strong>, Symposium A:<br />

Current trends in nanoscience, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

(17) Automatisierungstechnik<br />

R. Kraemer<br />

BMBF-Workshop „Kommunikationstechnolo-<br />

gien für das Internet der Dinge“, Köln,<br />

September 22, <strong>2006</strong>, Germany<br />

(18) Car-to-Car-Kommunikation<br />

R. Kraemer<br />

technologietag Mitteldeutschland, Dresden,<br />

november 08, <strong>2006</strong>, Germany<br />

(19) Gigabit Wireless Communication based on<br />

integrated 60 GHz biCMoS Frontend<br />

R. Kraemer<br />

1. Informatik-Kooperationsworkshop,<br />

Btu Cottbus, october 20, <strong>2006</strong>, Germany<br />

A n n u A l R e p o R t 2 0 0 6<br />

149


150 A n n u A l R e p o R t 2 0 0 6<br />

E i N G E L A D E N E V o R T R Ä G E – i N V i T E D P R E S E N T A T i o N S<br />

(20) Kommunikations- und Sicherungsverfahren<br />

für eingebettete Systeme<br />

R. Kraemer<br />

Symposium „Moderne Ausbildungsmethoden<br />

und Simulation“, Dresden,<br />

March 21 - 22, <strong>2006</strong>, Germany<br />

(21) Sensornetze im medizinischen Umfeld<br />

R. Kraemer<br />

3 rd leibniz Conference of Advanced Science,<br />

lichtenwalde, october 12 - 14, <strong>2006</strong>, Germany<br />

(22) Siliziumbasierte Mikroelektronik für die<br />

drahtlose Hochleistungskommunikation<br />

R. Kraemer<br />

Fachtagung Mikroelektronik „<strong>Microelectronics</strong><br />

on the Move!“ im Rahmen des VDe-Kongresses<br />

<strong>2006</strong>, Aachen, october 24 - 25, <strong>2006</strong>, Germany<br />

(23) System and Circuit Research in iHP<br />

R. Kraemer<br />

4 th Joint Symposium on opto- and Microelectronic<br />

Devices and Circuits (SoDC <strong>2006</strong>),<br />

Duisburg, September 03 - 08, <strong>2006</strong>, Germany<br />

(24) Verfahren zur ultraschnellen drahtlosen<br />

Übertragung von Daten und deren<br />

Anwendungen<br />

R. Kraemer<br />

Ringvorlesung „Das Internet und seine Anwendungen<br />

(IV)“, Btu Cottbus,<br />

May 09, <strong>2006</strong>, Germany<br />

(25) Advanced dielectrics for highly scaled<br />

DRAM applications: The example of<br />

( Pr 2 o 3 ) 1-x (Al 2 o 3 ) x mixed oxide systems<br />

on TiN<br />

G. lippert, H.-J. Müssig<br />

Infineon Workshop on Dielectric Materials for<br />

DRAM applications, Dresden,<br />

March 16, <strong>2006</strong>, Germany<br />

(26) PrAlo 3 -based M-i-M Structures for<br />

Advanced DRAM Applications<br />

G. lippert<br />

external Collaboration Workshop, Qimonda,<br />

Dresden, September 07, <strong>2006</strong>, Germany<br />

(27) Development of 0.13 µm Shallow Trench<br />

Etch Process<br />

S. Marschmeyer<br />

AMAt Workshop etch Customer Workshop,<br />

Dresden, May 10, <strong>2006</strong>, Germany<br />

(28) Rod-like Defects in Silicon: Signatures of<br />

Distinct RLD Structures Detected by<br />

Various Measurement Methods<br />

t. Mchedlidze, t. Arguirov, G. Jia, M. Kittler<br />

International Conference on extended Defects<br />

in Semiconductors, eDS <strong>2006</strong>, Halle,<br />

September 17-22, <strong>2006</strong>, Germany<br />

(29) Nanoelectronics – a Major Driver for Ultra-high<br />

integration and Ulta-high Speed innovations<br />

W. Mehr<br />

nnFC International Symposium on<br />

nanotechnology, Daejeon City,<br />

March <strong>2006</strong>, Republic of Korea<br />

(30) Science to the Market – SiGe:C Technology<br />

and RF Circuits and Systems for Mobile<br />

Communication<br />

W. Mehr<br />

Workshop From Research to Innovation,<br />

Szczecin, May 17-19, <strong>2006</strong>, poland<br />

(31) SiGe biCMoS Technologies for RF Mixed<br />

Signal Circuits-Technology, Design Kit and<br />

Circuit iPs<br />

W. Mehr<br />

Mentor Workshop, Frankfurt / Main,<br />

oktober, <strong>2006</strong>, Germany<br />

(32) SiGe RF Mixed Signal Circuits and Technologies<br />

– New Developments and Perspectives<br />

(1 Gbit / s, LP Sensor Networks and Fiber<br />

optics)<br />

W. Mehr<br />

tFH Wildau, December, <strong>2006</strong>, Germany


E i N G E L A D E N E V o R T R Ä G E – i N V i T E D P R E S E N T A T i o N S<br />

(33) Atomically Controlled Processing for Future<br />

Si-based Devices<br />

J. Murota, M. Sakuraba, B. tillack<br />

<strong>2006</strong> Advanced Research Workshop Future<br />

trends in <strong>Microelectronics</strong>: up the nano Creek,<br />

Crete, June 26-30, <strong>2006</strong>, Greece<br />

(34) Welche Rolle spielen neue dielektrische<br />

Materialien in der Mikroelektronik?<br />

H.-J. Müssig<br />

Akademisches Festkolloquium der Btu<br />

Cottbus, December 12, <strong>2006</strong>, Germany<br />

(35) Dopant Diffusion in SiGeC Alloys<br />

H. Rücker, B. Heinemann, R. Kurps,<br />

Y. Yamamoto<br />

2 nd International SiGe & Ge: Materials,<br />

processing, and Device Symposium, 210 th eCS<br />

Meeting, Cancun,<br />

october 29 - november 03, <strong>2006</strong>, Mexico<br />

(36) High-Performance Mixed-Signal iCs in SiGe<br />

biCMoS Technology<br />

J.C. Scheytt, R. Kraemer<br />

european Microwave Week <strong>2006</strong>, Manchester,<br />

September 10, <strong>2006</strong>, uK<br />

(37) iHP - Technologien und elektrische<br />

Möglichkeiten – Lösungen mit Analog<br />

office<br />

R.F. Scholz<br />

3. AWR Anwendertreffen, München,<br />

october 04, <strong>2006</strong>, Germany<br />

(38) Advanced Dielectrics for Highly Performing<br />

and Functionalized Silicon based iCs<br />

t. Schroeder<br />

MInAteC Winter School electrochemistry for<br />

the Semiconductor Industry, Grenoble,<br />

December 11, <strong>2006</strong>, France<br />

(39) Global and Local Heteroepitaxy Approaches<br />

in Si-based <strong>Microelectronics</strong>: Motivation,<br />

Methods and Materials<br />

t. Schroeder<br />

Surface Science Seminar of the physics<br />

Department of the university of osnabrück,<br />

August, 18, <strong>2006</strong>, Germany<br />

(40) Materials for Si-based Nanoelectronics –<br />

Discoveries and Challenges in Nanospace<br />

t. Schroeder<br />

Hanse-Wissenschafts-Kolloquium,<br />

Delmenhorst, August 16, <strong>2006</strong>, Germany<br />

(41) Single Crystalline Heteroepitaxial<br />

Semiconductor-insulator-Semiconductor<br />

Systems on Si(111)<br />

t. Schroeder<br />

eSRF experimental Division Meeting, Grenoble,<br />

May 23, <strong>2006</strong>, France<br />

(42) Ultra-Thin Dielectric Films for Si based<br />

Nanoelectronic Device Technology<br />

t. Schroeder<br />

5 th International Workshop on Surfaces and<br />

Interfaces, university of Marseille,<br />

February 01-03, <strong>2006</strong>, France<br />

(43) SoC Design: Engineering or Art<br />

Z. Stamenkovic<br />

25 th Ieee International Conference on<br />

<strong>Microelectronics</strong>, nis, May 14-17, <strong>2006</strong>, Serbia<br />

(44) Atomic Layer Processing for Future<br />

Micro- and Nanotechnology<br />

B. tillack<br />

System Construction of Global-networkoriented<br />

Information electronics, Sendai,<br />

January 31 - February 01, <strong>2006</strong>, Japan<br />

(45) SiGe:C biCMoS Technologies for High<br />

Frequency Applications<br />

B. tillack, B. Heinemann, D. Knoll, H. Rücker,<br />

G.G. Fischer, W. Winkler, W. Mehr<br />

2 nd International Workshop on new Group IV<br />

Semiconductor nanoelectronics, Sendai,<br />

october 02, <strong>2006</strong>, Japan<br />

A n n u A l R e p o R t 2 0 0 6<br />

151


152 A n n u A l R e p o R t 2 0 0 6<br />

E i N G E L A D E N E V o R T R Ä G E – i N V i T E D P R E S E N T A T i o N S<br />

(46) SiGe:C biCMoS Technologies for High Speed<br />

Applications<br />

B. tillack<br />

etRI, Daejeon,<br />

March 16, <strong>2006</strong>, Republic of Korea<br />

(47) SiGe:C biCMoS Technologies for High Speed<br />

Applications<br />

B. tillack<br />

ntu – national taiwan university,<br />

March 21, <strong>2006</strong>, taiwan<br />

(48) SiGe:C biCMoS Technologies for High Speed<br />

Applications<br />

B. tillack<br />

nagoya university, nagoya,<br />

December 16, <strong>2006</strong>, Japan<br />

(49) The Running 0.25 µm Technology as the<br />

0.13 µm biCMoS, which is under<br />

Development<br />

B. tillack<br />

CIC – Chip implementation Center taiwan,<br />

March 20, <strong>2006</strong>, taiwan<br />

(50) Millimeter-wave integrated Cicruits in<br />

SiGe:C biCMoS Technology<br />

W. Winkler<br />

uMC taiwan, March 21, <strong>2006</strong>, Hsinchu, taiwan<br />

(51) Millimeter-wave integrated Cicruits in<br />

SiGe:C biCMoS Technology<br />

W. Winkler<br />

tSMC, Hsinchu, March 20, <strong>2006</strong>, taiwan<br />

(52) Millimeter-wave integrated Cicruits in<br />

SiGe:C biCMoS Technology<br />

W. Winkler<br />

national taiwan university, taipei,<br />

March 21, <strong>2006</strong>, taiwan<br />

(53) Millimeter-wave integrated Cicruits in<br />

SiGe:C biCMoS Technology<br />

W. Winkler<br />

Chip Implementation Center taipei,<br />

March 20, <strong>2006</strong>, taiwan<br />

Vorträge<br />

Presentations<br />

(1) Determination of Low Concentrations of N<br />

and C in CZ-Si by Precise FTiR Spectroscopy<br />

V.D. Akhmetov, H. Richter, n. Inoue<br />

e-MRS <strong>2006</strong> Spring Meeting, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

(2) Determination of Nitrogen in Thin CZ-Si<br />

Wafers by Means of High Sensitive FTiR<br />

Spectroscopy<br />

V.D. Akhmetov, H. Richter<br />

All-Russian Meeting „Silicon <strong>2006</strong>“,<br />

Krasnojarsk, July 04-06, <strong>2006</strong>, Russia<br />

(3) FTiR-Messungen an MQW<br />

V.D. Akhmetov<br />

BMBF-projekt-treffen „Bandstrukturdesign:<br />

ladungsträgertransport in Si-basierten<br />

Quantenstrukturen für zukünftige<br />

Höchsteffizienz-Solarzellen“, Cottbus,<br />

october 18- 20, <strong>2006</strong>, Germany<br />

(4) iR Spectroscopy of Carbon and boron States<br />

in Highly Doped SiGe:C(b) Layers<br />

V.D. Akhmetov, H. Richter<br />

All-Russian Meeting „Silicon <strong>2006</strong>“,<br />

Krasnojarsk, July 04-06, <strong>2006</strong>, Russia<br />

(5) Nitrogen in Thin Silicon Wafers Determined<br />

by Vibrational FTiR Spectroscopy with<br />

Enhanced Sensitivity<br />

V.D. Akhmetov, H. Richter<br />

44. Arbeitskreis „punktdefekte“ Combined<br />

with CADReS expert Group Meeting, Dresden,<br />

March 23-25, <strong>2006</strong>, Germany<br />

(6) Precise FTiR Spectroscopy of Carbon and<br />

boron in Thin SiGe:C(b) Layers<br />

V.D. Akhmetov, H. Richter<br />

44. Arbeitskreis „punktdefekte“ Combined<br />

with CADReS expert Group Meeting, Dresden,<br />

March 23-25, <strong>2006</strong>, Germany


(7) Practical Scalable and Statistical Modeling<br />

of SiGe HbT‘s<br />

B. Ardouin, R.F. Scholz, G.G. Fischer, D. Knoll<br />

HICuM Workshop <strong>2006</strong>, Heilbronn,<br />

June 12, <strong>2006</strong>, Germany<br />

(8) Effect of Mechanical Stress in Nanocrystalline<br />

Si / Sio 2 Multiple Quantum Wells<br />

t. Arguirov, t. Mchedlidze, M. Kittler, R. Rölver,<br />

M. Först, o. Winkler, B. Spangenberg<br />

International Conference on extended Defects<br />

in Semiconductors, eDS <strong>2006</strong>, Halle,<br />

September 17-22, <strong>2006</strong>, Germany<br />

(9) Photoluminescence Study on Defects in<br />

Multicrystalline Silicon<br />

t. Arguirov, G. Jia, W. Seifert, M. Kittler<br />

International Conference on Beam Injection<br />

Assessment of Microstructures in Semiconductors<br />

(BIAMS <strong>2006</strong>), St. petersburg,<br />

June 11-15, <strong>2006</strong>, Russia<br />

(10) Raman-Untersuchungen von mechanischen<br />

Spannungen in MQW<br />

t. Arguirov, t. Mchedlidze, M. Kittler<br />

BMBF-projekt-treffen „Bandstrukturdesign:<br />

ladungsträgertransport in Si-basierten<br />

Quantenstrukturen für zukünftige Höchsteffizienz-Solarzellen“,<br />

Cottbus,<br />

october 18- 20, <strong>2006</strong>, Germany<br />

(11) Towards Silicon based Light Emitters<br />

Utilising the Radiation from Dislocation<br />

Networks<br />

t. Arguirov, M. Kittler, W. Seifert, X. Yu<br />

e-MRS Spring Meeting <strong>2006</strong>, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

(12) ARC and Swing optimization for High-NA<br />

Photolithography<br />

J. Bauer<br />

4 th IISB lithography Simulation Workshop,<br />

Hersbruck, September 29, <strong>2006</strong>, Germany<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

(13) optimization of Anti-reflective Coatings<br />

for High NA Lithography<br />

J. Bauer, o. Fursenko, S. Virko, B. Kuck,<br />

t. Grabolla, V. Melnik, W. Mehr<br />

4 th Workshop ellipsometry, Berlin,<br />

February 20-22, <strong>2006</strong>, Germany<br />

(14) Swing Curve Measurement and Simulation<br />

for High NA Lithography<br />

J. Bauer, u. Haak, K. Schulz, G. old, A. Kraft<br />

SpIe International Symposium on Microlithography<br />

<strong>2006</strong>, San Jose,<br />

February 19-24 <strong>2006</strong>, uSA<br />

(15) beiträge der Materialforschung für die<br />

Entwicklung der Fotovoltaik<br />

M. Birkholz<br />

lehrprobevortrag im Habilitationsverfahren<br />

an der Btu Cottbus, June 28, <strong>2006</strong>, Germany<br />

(16) GiD and GiSAXS Characterization of biomolecules<br />

on Semiconductors<br />

M. Birkholz, I. Zizak, n. Darowski, I. Wallat,<br />

p. Zaumseil, M. Kittler, M. p. Heyn<br />

Bessy nutzertreffen, Berlin,<br />

December 07-08, <strong>2006</strong>, Germany<br />

(17) Small-Angle X-Ray Reciprocal Space<br />

Mapping of Surface Relief Gratings<br />

M. Birkholz, p. Zaumseil, J. Bauer, D. Bolze,<br />

G. Weidner<br />

e-MRS Spring Meeting, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

(18) Structure of biomembrane-on-Silicon<br />

Hybrids Derived From X-Ray Reflectometry<br />

M. Birkholz, p. Zaumseil, M. Kittler, I. Wallat,<br />

M. Heyn<br />

e-MRS <strong>2006</strong> Spring Meeting, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

(19) The Evolution of Structural Properties<br />

During the Growth of Thin Films<br />

M. Birkholz<br />

A n n u A l R e p o R t 2 0 0 6<br />

153


Wissenschaftlicher Vortrag im Habilitationsverfahren<br />

an der Btu Cottbus,<br />

november 24, <strong>2006</strong>, Germany<br />

(20) A 20 GSample /s, 40 mW SiGe HbT<br />

Comparator for Ultra-High-Speed ADC<br />

Y. Borokhovych, H. Gustat<br />

2 nd International SiGe & Ge: Materials,<br />

processing, and Device Symposium, 210 th eCS<br />

Meeting, Cancun,<br />

october 29 - november 03, <strong>2006</strong>, Mexico<br />

(21) Ab initio Atomistic Calculations for CMoS<br />

Technology Development<br />

J. Dabrowski<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(22) Ab initio Calculations for CMoS Technology<br />

Developments<br />

J. Dabrowski<br />

Workshop From Research to Innovation,<br />

Szczecin, May 17-19, <strong>2006</strong>, poland<br />

(23) Charge States of Native Point Defects in<br />

Pr-based High-k Dielectrics<br />

J. Dabrowski, A. Fleszar, G. lupina, G. lippert,<br />

A.u. Mane, Ch. Wenger<br />

DpG Frühjahrstagung Dresden,<br />

March 27-31, <strong>2006</strong>, Germany<br />

(24) Ti at interfaces between Si and High-k Films<br />

J. Dabrowski<br />

DpG Frühjahrstagung Dresden,<br />

March 27-31, <strong>2006</strong>, Germany<br />

(25) An Ultra-Wideband Low Power Consumption<br />

Differential Low Noise Amplifier in<br />

SiGe:C biCMoS Technology<br />

p.K. Datta, G. Fischer<br />

Ieee Radio and Wireless Symposium, RWS <strong>2006</strong>,<br />

San Diego, January 17-19, <strong>2006</strong>, uSA<br />

154 A n n u A l R e p o R t 2 0 0 6<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

(26) An Ultra-Wideband Transceiver Front-End<br />

in SiGe:C biCMoS Technology<br />

p.K. Datta, X. Fan, G. Fischer<br />

<strong>2006</strong> International Conference on ultra-<br />

Wideband, Waltham,<br />

September 24-27, <strong>2006</strong>, uSA<br />

(27) A Wireless Communication Platform for<br />

Long-Term Health Monitoring<br />

D. Dietterle, G. Wagenknecht, J.-p. ebert,<br />

R. Kraemer<br />

1 st Ieee International Workshop on pervasive<br />

and ubiquitous Health Care (ubiCare <strong>2006</strong>),<br />

pisa, March 13, <strong>2006</strong>, Italy<br />

(28) Design of a Wireless Communication<br />

Platform for body Area Networks<br />

D. Dietterle, G. Wang, J.-p. ebert, R. Kraemer<br />

WWRF 16, Shanghai, April 26-28, <strong>2006</strong>, China<br />

(29) bASUMA - A body Sensor System for<br />

Telemedicine<br />

J.-p. ebert, t. Falck<br />

3 rd european Workshop on Wireless Sensor<br />

networks (eWSn), Zurich,<br />

February 13-15, <strong>2006</strong>, Switzerland<br />

(30) Leakage Current and Dopant Activation in<br />

Ultra-Shallow Junctions Following Millisecond<br />

Anneals Measured by Non-Contact<br />

Junction Photo-Voltage Methods<br />

V.n. Faifer, t.M.H. Wong, M.I. Curent,<br />

D.K. Schroder, p.J. timans, S. McCoy,<br />

J. Gelpey, W. lerch, S. paul, D. Bolze,<br />

t. Claryssee, t. Zangerle, A. Moussa,<br />

W. Vandervorst<br />

American Vacuum Society 53 rd International<br />

Symposium, San Francisco,<br />

november 12-17, <strong>2006</strong>, uSA<br />

(31) bASUMA - The Sixth Sense for Chronically<br />

iii Patients<br />

t. Falck, J. espina, J.-p. ebert, D. Dietterle<br />

Body Sensor networks, Cambridge,<br />

April 03-05, <strong>2006</strong>, uSA


(32) An integrated Gaussian Modulated Pulse<br />

Generator for Ultra-Wideband Wireless<br />

Localization System<br />

X. Fan .<br />

Joint China Japan Microwave Conference <strong>2006</strong>,<br />

Chengdu, August 24, <strong>2006</strong>, China<br />

(33) Contamination during High Temperature<br />

Treatments in SiC Reactor Tubes<br />

A. Fischer, V. Akhmetov, G. Kissinger,<br />

M. Kittler<br />

SIWeDS Fall Meeting, Cancun,<br />

november 02-03, <strong>2006</strong>, Mexico<br />

(34) High Performance SiGe biCMoS Technology<br />

for High Frequency Applications<br />

G.G. Fischer<br />

36 th european Microwave Conference <strong>2006</strong>,<br />

Manchester, September 10-15, <strong>2006</strong>, uK<br />

(35) SiGe:C biCMoS Technologie für 77 GHz<br />

Radaranwendungen<br />

G.G. Fischer<br />

ItG / BMBF Statusseminar „Automobile Radarsensorik<br />

für Fahrerassistenzsysteme“,<br />

VDe Kongress Aachen,<br />

october 24, <strong>2006</strong>, Germany<br />

(36) SiGe:C biCMoS Technologies for RF Auto-<br />

motive Application<br />

G.G. Fischer<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(37) SiGe:C biCMoS-Technologien für Mikroelektronik-Anwendungen<br />

über 60 GHz<br />

G.G. Fischer<br />

GMM-Workshop Mikroelektronik-Anwendungen,<br />

Duisburg, January 23, <strong>2006</strong>, Germany<br />

(38) UWb Transceiver for Data Communication<br />

and indoor Localization<br />

G. Fischer<br />

36 th european Microwave Conference <strong>2006</strong>,<br />

Manchester, September 10-15, <strong>2006</strong>, uK<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

(39) Electron-Holographic Measurement of<br />

“Dead Layer” Thickness, Amorphous<br />

Surface Layer Thickness, Noise, and<br />

inelastic Mean Free Path in Silicon<br />

Specimens prepared by Argon Milling<br />

and Fib<br />

p. Formanek<br />

the 16 th International Microscopy Congress<br />

(IMC 16), Sapporo,<br />

September 03-08, <strong>2006</strong>, Japan<br />

(40) Development and Characterization of a<br />

Process Technology for a 0.25 µm SiGe:C<br />

RF-biCMoS embedded Flash Memory<br />

A. Fox .<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(41) Combination of Spectroscopic Ellipsometry<br />

and Reflectometry for Characterization<br />

of Ni Silicide Process<br />

o. Fursenko, D. Bolze, I. Costina, p. Zaumseil,<br />

t. Huelsmann, W. lerch<br />

4 th Workshop ellipsometry, Berlin,<br />

February 20-22, <strong>2006</strong>, Germany<br />

(42) Vollkostenrechnung im iHP<br />

u. George<br />

Workshop Finanzielle und rechtliche Aspekte<br />

bei eu projekten im 6. und 7. Forschungsrahmenprogramm,<br />

Dresden,<br />

november 02, <strong>2006</strong>, Germany<br />

(43) A broadband Low Spur Fully integrated<br />

biCMoS PLL for 60 GHz Wireless<br />

Applications<br />

S. Glisic, W. Winkler<br />

Ieee Radio and Wireless Symposium – RWS<br />

<strong>2006</strong>, San Diego, January 17-19, <strong>2006</strong>, uSA<br />

(44) SiGe iCs for the 77 GHz Automotive Radar<br />

S. Glisic<br />

eeefCoM Workshop, Hochfrequenztechnik,<br />

Komponenten, Module und eMV, ulm,<br />

June 27-29, <strong>2006</strong>, Germany<br />

A n n u A l R e p o R t 2 0 0 6<br />

155


(45) 60 GHz Demonstrator in 0.25 µm SiGe:C<br />

biCMoS Technology<br />

e. Grass, M. piz, F. Herzel, K. Schmalz, Y. Sun,<br />

S. Glisic, K. tittelbach-Helmrich<br />

Ieee 802.15 Meeting, San Diego,<br />

July <strong>2006</strong>, uSA<br />

(46) 60 GHz oFDM Demonstrator in SiGe biCMoS<br />

Technology<br />

e. Grass, M. piz, F. Herzel, K. Schmalz, Y. Sun,<br />

S. Glisic, K. tittelbach-Helmrich, M. Krstic,<br />

M. ehrig, R. Kraemer, J.C. Scheytt<br />

BMBF Statusseminar <strong>2006</strong>, Mobile Kommunikation<br />

und Gan-elektronik, Fraunhofer<br />

Institut für Integrierte Schaltungen – IIS,<br />

erlangen, June 21-22, <strong>2006</strong>, Germany<br />

(47) Protocol integration of 60 GHz PHY<br />

e. Grass, K. tittelbach-Helmrich, D. Dietterle,<br />

J.-p. ebert, R. Kraemer<br />

Ieee 802.15 Meeting, Denver,<br />

March, <strong>2006</strong>, uSA<br />

(48) Scalable Low-Power High-Speed biCMoS<br />

ECL Library<br />

H. Gustat, G. Kell<br />

36 th european Microwave Conference <strong>2006</strong>,<br />

Manchester, September 10-15, <strong>2006</strong>, uK<br />

(49) Ultra-High Speed A / D and D / A Converters<br />

H. Gustat<br />

36 th european Microwave Conference <strong>2006</strong>,<br />

Manchester, September 10-15, <strong>2006</strong>, uK<br />

(50) A 10 GS /s 2 V pp Emitter Follower only<br />

Track and Hold Amplifier in SiGe biCMoS<br />

Technology<br />

S. Halder, S.A. osmany, H. Gustat,<br />

B. Heinemann<br />

Ieee International Symposium on Circuit<br />

and Systems <strong>2006</strong> (ISCAS <strong>2006</strong>), Island of Kos,<br />

May 21-24, <strong>2006</strong>, Greece<br />

(51) An 8 bit 10 GS /s 2 V pp Track and Hold<br />

Amplifier in SiGe biCMoS Technology<br />

S. Halder, H. Gustat, J.C. Scheytt<br />

156 A n n u A l R e p o R t 2 0 0 6<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

eSSCIRC <strong>2006</strong>, Montreux,<br />

September 18-22, <strong>2006</strong>, Switzerland<br />

(52) Si-bauelemente am iHP - von Hochfrequenz-<br />

und Hochvolttransistoren zum<br />

VLSi-Schaltkreis<br />

B. Heinemann<br />

Festkolloquium „40 Jahre Forschung für die<br />

Siliziumelektronik“, Frankfurt (oder),<br />

April 10, <strong>2006</strong>, Germany<br />

(53) 60 GHz RF-Frontend for 1 Gbit /s WLAN<br />

Transceiver<br />

F. Herzel<br />

36 th european Microwave Conference <strong>2006</strong>,<br />

Manchester, September 10-15, <strong>2006</strong>, uK<br />

(54) 60 GHz Transceiver Analog Frontend<br />

F. Herzel<br />

German-Korean Workshop on nanotechnology<br />

nnFC - IHp, Frankfurt (oder),<br />

February 14-15, <strong>2006</strong>, Germany<br />

(55) Creation of SiGe Radhard Library<br />

H.-V. Heyer, u. Jagdhold<br />

1 st International Workshop on Analog and<br />

Mixed Signal Integrated Circuits for Space<br />

Applications, AMICSA <strong>2006</strong>, Xanthi,<br />

october 02-03, <strong>2006</strong>, Greece<br />

(56) European Low Noise Local oscillator MMiC<br />

in SiGe Technology at 10 GHz and 18.3 GHz:<br />

the SiMs Project<br />

H.-V. Heyer, R. Follmann, D. Köther, K. Schmalz,<br />

F. Herzel, W. Winkler, J. nilsson, B.-M. Folio,<br />

B. Glass<br />

Microwave technology and techniques Workshop<br />

enabling Future Space Systems, estec,<br />

noordwijk, May 15-16, <strong>2006</strong>, the netherlands<br />

(57) impact of Ni Layer Thickness and Anneal<br />

Time on Nickel Silicide Formation by Rapid<br />

Thermal Processing<br />

t. Huelsmann, J. niess, W. lerch, o. Fursenko,<br />

D. Bolze<br />

Rtp <strong>2006</strong>-14 th Ieee International Conference


on Advanced thermal processing of Semiconductors,<br />

Kyoto, october 10-13, <strong>2006</strong>, Japan<br />

(58) infrared Absorption Measurement of<br />

Carbon Concentration in Silicon Crystals<br />

n. Inoue, M. nakatsu, V. D. Akhmetov<br />

eCS 10 th International Symposium on Silicon<br />

Materials Science and technology, Denver,<br />

May 07-12, <strong>2006</strong>, uSA<br />

(59) Einführung in das digitale VLSi-Design<br />

u. Jagdhold<br />

Fachhochschule lausitz, Senftenberg,<br />

December 20, <strong>2006</strong>, Germany<br />

(60) Radiation Hardness<br />

u. Jagdhold<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(61) Cathodoluminescence investigation of<br />

Silicon Nanowires<br />

G. Jia, t. Arguirov, M. Kittler, Z. Su, D. Yang,<br />

J. Sha<br />

44. Arbeitskreis punktdefekte Combined with<br />

CADReS expert Group Meeting, Dresden,<br />

March 23-25, <strong>2006</strong>, Germany<br />

(62) Cathodoluminescence investigation on<br />

Silicon Nanowires Fabricated by Thermal<br />

Evaporation of Sio<br />

G. Jia, t. Arguirov, M. Kittler, Z. Su, D. Yang,<br />

J. Sha<br />

International Conference on Beam Injection<br />

Assessment of Microstructures in Semiconductors<br />

(BIAMS <strong>2006</strong>), St. petersburg,<br />

June 11-15, <strong>2006</strong>, Russia<br />

(63) Luminescence of Silicon Nanowires<br />

G. Jia, t. Arguirov, M. Kittler, D. Yang, J. Sha<br />

International Conference on extended Defects<br />

in Semiconductors <strong>2006</strong> (eDS <strong>2006</strong>), Halle,<br />

September 17-22, <strong>2006</strong>, Germany<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

(64) Defect Studies in Si 1-x Ge x Alloys and<br />

Si / Si 1-x-y Ge x C y Multilayers<br />

S. Kalem, e.V. lavrov, G. Kissinger, Y. Zhang,<br />

A.n. larsen, H. Radamson, J. Weber<br />

2 nd CADReS Workshop, Kalyves,<br />

September 08-11, <strong>2006</strong>, Greece<br />

(65) innovative Mikroelektronik am iHP –<br />

von ideen bis zu optimierten Prozessen<br />

W. Kissinger<br />

tagung der Kommission operations Research<br />

des Verbandes der Hochschullehrer für<br />

Betriebswirtschaft e.V. und der Deutschen<br />

Gesellschaft für operations Research, europauniversität<br />

Viadrina, Frankfurt (oder),<br />

February 10, <strong>2006</strong>, Germany<br />

(66) interaction of Vacancies and oxygen for<br />

oxide Precipitation in RTA Treated Silicon<br />

Wafers<br />

G. Kissinger, J. Dabrowski, A. Sattler,<br />

C. Seuring, t. Müller, W. von Ammon<br />

e-MRS Spring Meeting <strong>2006</strong>, Symposium V:<br />

Advanced Silicon for the 21 st Centry, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

(67) Nanoelectronics and Ultrafast Communica-<br />

tions Technology R&D at the iHP<br />

W. Kissinger<br />

Workshop „Future Developments in organic<br />

electronics and photonics“, tFH Wildau,<br />

June 27, <strong>2006</strong>, Germany<br />

(68) oxide Precipitation via Coherent “Seed”oxide<br />

Phases<br />

G. Kissinger, J. Dabrowski<br />

High purity Silicon IX, 210 th eCS Meeting,<br />

Cancun, october 29 - november 03, <strong>2006</strong>, Mexico<br />

(69) oxide Precipitation via Coherent “Seed”oxide<br />

Phases<br />

G. Kissinger, J. Dabrowski<br />

2 nd CADReS Workshop, Kalyves,<br />

Spetember 08-11, <strong>2006</strong>, Greece<br />

A n n u A l R e p o R t 2 0 0 6<br />

157


(70) 1.5 µm Emission from a Silicon MoS-LED<br />

based on a Dislocation Network<br />

M. Kittler, M. Reiche, X. Yu, t. Arguirov,<br />

o. Vyvenko, W. Seifert, t. Mchedlidze, G. Jia,<br />

t. Wilhelm<br />

<strong>2006</strong> Ieee International electron Device<br />

Meeting, IeDM <strong>2006</strong>, San Francisco,<br />

December 11-13 <strong>2006</strong>, uSA<br />

(71) Light Emitters based on Silicon<br />

Nanostructures<br />

M. Kittler<br />

German-Korean Workshop on nanotechnology<br />

nnFC - IHp, Frankfurt (oder),<br />

February 14-15, <strong>2006</strong>, Germany<br />

(72) A Low-Cost, High-Performance, High-<br />

Voltage Complementary biCMoS Process<br />

D. Knoll, B. Heinemann, K.-e. ehwald, A. Fox,<br />

H. Rücker, R. Barth, D. Bolze, t. Grabolla,<br />

u. Haak, J. Drews, B. Kuck, S. Marschmeyer,<br />

H.H. Richter, M. Chaimanee, o. Fursenko,<br />

p. Schley, B. tillack, K. Köpke, Y. Yamamoto,<br />

e. Wulf, D. Wolansky<br />

<strong>2006</strong> Ieee International electron Device<br />

Meeting, IeDM <strong>2006</strong>, San Francisco,<br />

December 11-13, <strong>2006</strong>, uSA<br />

(73) iHP‘s 0.25 µm biCMoS Technologies<br />

D. Knoll<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(74) Extraction of CTH with Pulsed Measurements<br />

F. Korndörfer<br />

Bipolar Arbeitskreis, erfurt,<br />

october 27, <strong>2006</strong>, Germany<br />

(75) 60 GHz Communication Systems<br />

R. Kraemer, e. Grass<br />

Wireless World Research Forum Meeting 17,<br />

Heidelberg, november 15-17, <strong>2006</strong>, Germany<br />

158 A n n u A l R e p o R t 2 0 0 6<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

(76) An integrated 60 GHz Transceiver Front-<br />

End for oFDM in SiGe: biCMoS<br />

R. Kraemer, Y. Sun, S. Glisic, M. piz, F. Herzel,<br />

K. Schmalz, e. Grass, W. Winkler, J.C. Scheytt<br />

4 th Joint Symposium on opto- and Microelectronic<br />

Devices and Circuits (SoDC <strong>2006</strong>),<br />

Duisburg, September 03 -08, <strong>2006</strong>, Germany<br />

(77) Drahtlose Kommunikation in eingebetteten<br />

Automobilsystemen - Stand und Vision der<br />

Funktechnik für den Einsatz in Fahrzeugen<br />

R. Kraemer<br />

8. Kongress Wireless technologies, Dortmund,<br />

September 27-28, <strong>2006</strong>, Germany<br />

(78) Herausforderungen der Car-to-Car-<br />

Kommunikation<br />

R. Kraemer<br />

2. Wirtschaftstreffen „IHp trifft Automotive“,<br />

Frankfurt (oder), April 19, <strong>2006</strong>, Germany<br />

(79) iHP innovations and Research in Wireless<br />

Systems<br />

R. Kraemer<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(80) iHP Systems Circuits<br />

R. Kraemer<br />

German-Korean Workshop on nanotechnology<br />

nnFC - IHp, Frankfurt (oder),<br />

February 14-15, <strong>2006</strong>, Germany<br />

(81) iHP meets Automotive<br />

R. Kraemer<br />

2. Wirtschaftstreffen „IHp trifft Automotive“,<br />

Frankfurt (oder), April 19, <strong>2006</strong>, Germany<br />

(82) UWb Transceiver for Data Communication<br />

and indoor Localization<br />

R. Kraemer, G. Fischer<br />

WWRF 16, Shanghai, April 26-28, <strong>2006</strong>, China


(83) Asynchronous and Synchronous Design<br />

Methods for Communication Systems and<br />

Applications<br />

M. Krstic<br />

1 st International Conference for Young<br />

Researchers in Computer Science, Control,<br />

electrical engineering and telecommunications,<br />

ICYR <strong>2006</strong>, Zielona Gora,<br />

September 08, <strong>2006</strong>, poland<br />

(84) A Graphical Tool for Specification, Rapid<br />

Prototyping and implementation of<br />

Location based Services<br />

p. langendörfer, S. Adam<br />

Innovations for europe Mobility, ItG-Fachtagung<br />

im Rahmen des VDe-Kongresses <strong>2006</strong>,<br />

Aachen, october 23-24, <strong>2006</strong>, Germany<br />

(85) Efficient Protection of Mobile Devices by<br />

Cross Layer interaction of Firewall<br />

Approaches<br />

p. langendörfer, M. lehmann, K. piotrowski<br />

4 th International Conference on Wired / Wireless<br />

Internet Communications (WWIC <strong>2006</strong>),<br />

Bern, May 10-12, <strong>2006</strong>, Switzerland<br />

(86) interface Reactions between High K<br />

Praseodymium Aluminate and TiN<br />

G. lippert, J. Dabrowski, I. Costina, G. lupina,<br />

V. Melnik, l. oberbeck, u. Schröder,<br />

t. Schroeder, Ch. Wenger, p. Zaumseil,<br />

H.-J. Müssig<br />

e-MRS Spring Meeting <strong>2006</strong>, Symposium l:<br />

Characterization of High-k Dielectric Materials,<br />

nice, May 29 - June 02, <strong>2006</strong>, France<br />

(87) innovative Materials: Key to Advances in<br />

<strong>Microelectronics</strong><br />

G. lupina<br />

Workshop From Research to Innovation,<br />

Szczecin, May 17-19, <strong>2006</strong>, poland<br />

(88) Modern CMoS Transistor Physics<br />

G. lupina<br />

5 th International Summerschool at IHp,<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(89) Praseodymium Silicate High-k Dielectric<br />

Layers on Si(100)<br />

G. lupina, t. Schroeder, J. Dabrowski,<br />

Ch. Wenger, A.u. Mane, G. lippert,<br />

H.-J. Müssig<br />

DpG Frühjahrstagung Dresden,<br />

March 27-31, <strong>2006</strong>, Germany<br />

(90) Praseodymium Silicate High-k Dielectrics<br />

G. lupina, t. Schroeder, Ch. Wenger,<br />

H.-J. Müssig<br />

e-MRS IuMRS ICeM Spring Meeting <strong>2006</strong>, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

(91) Praseodymium Silicate High-k Dielectrics<br />

for CMoS Gate Dielectric Applications<br />

G. lupina, t. Schroeder, Ch. Wenger,<br />

J. Dabrowski, D. Schmeißer, H.-J. Müssig<br />

Junior euromat <strong>2006</strong>, lausanne,<br />

September 04-08, <strong>2006</strong>, Switzerland<br />

(92) NEPP: Negotiation Enhancements for<br />

Privacy Policies<br />

M. Maaser, S. ortmann, p. langendörfer<br />

W3C Workshop on languages for privacy<br />

policy negotiation and Semantics-Driven<br />

enforcement, Ispra, october 17-18, <strong>2006</strong>, Italy<br />

(93) on the implementation of a Low-Power<br />

iEEE 802.11a Compliant Viterbi Decoder<br />

K. Maharatna, A. troya, M. Krstic, e. Grass<br />

VlSI Design Conference, Hyderabad,<br />

January 03-07, <strong>2006</strong>, India<br />

(94) Atomic Vapour Deposition of High-k Hfo 2 :<br />

Growth Kinetics and Electrical Properties<br />

A.u. Mane, Ch. Wenger, J. Dabrowski,<br />

G. lupina, t. Schroeder, G. lippert, R. Sorge,<br />

p. Zaumseil, G. Weidner, I. Costina,<br />

H.-J. Müssig, S. pasko, u. Weber, V. Méric,<br />

M. Schumacher<br />

DpG Frühjahrstagung Dresden,<br />

March 27-31, <strong>2006</strong>, Germany<br />

A n n u A l R e p o R t 2 0 0 6<br />

159


(95) iHP ADS and Catena Design Kits<br />

t. Mausolf<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(96) An optical indoor Positioning System for<br />

the Mass Market<br />

o. Maye, J. Schäffner, M. Maaser<br />

3 rd Workshop on positioning, navigation and<br />

Communication - WpnC <strong>2006</strong>, Hannover,<br />

March 16, <strong>2006</strong>, Germany<br />

(97) Effect of Various Substrates and Various<br />

Heat Treatments on Crystallinity of Si<br />

Layers in MQW Structures<br />

t. Mchedlidze, t. Arguirov, S. Kouteva-<br />

Arguirova, G. Jia, M. Kittler<br />

BMBF-projekt-treffen „Bandstrukturdesign:<br />

ladungsträgertransport in Si-basierten<br />

Quantenstrukturen für zukünftige Höchsteffizienz-Solarzellen“,<br />

Cottbus,<br />

october 18- 20, <strong>2006</strong>, Germany<br />

(98) Electro- and Photoluminescence from<br />

b and Si implanted p-n Junctions<br />

t. Mchedlidze, t. Arguirov, M. Kittler<br />

Seminar university of twente, enschede,<br />

october 04, <strong>2006</strong>, the netherlands<br />

(99) Fe-P Complexes in n-Si<br />

t. Mchedlidze, M. Kittler<br />

44. Arbeitskreis punktdefekte Combined with<br />

CADReS expert Group Meeting, Dresden,<br />

March 23-25, <strong>2006</strong>, Germany<br />

(100) Structural and optical Properties of<br />

Si / Sio 2 Multi-Quantum Wells<br />

t. Mchedlidze, t. Arguirov, M. Kittler, R. Rölver,<br />

B. Berghoff, M. Först, B. Spangenberg<br />

e-MRS <strong>2006</strong>, Symposium C, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

160 A n n u A l R e p o R t 2 0 0 6<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

(101) High-Performance SiGe biCMoS for Wireless<br />

and broadband Communication and<br />

Tutorial iHP Design Kits<br />

W. Mehr<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(102) Technologies and Circuits for Wireless<br />

Communication<br />

W. Mehr<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(103) A Complementary RF-LDMoS Architecture<br />

Compatible with 0.13 µm CMoS Technology<br />

n. Mohapatra, H. Rücker, K.e. ehwald, R. Sorge,<br />

R. Barth, p. Schley, D. Schmidt, H.e. Wulf<br />

18 th International Symposium on power<br />

Semiconductor Devices and ICs (ISpSD <strong>2006</strong>),<br />

napoli, June 04-08, <strong>2006</strong>, Italy<br />

(104) High-k Dielectrics and Examples of<br />

Application<br />

H.-J. Müssig<br />

German-Korean Workshop on nanotechnology<br />

nnFC – IHp, Frankfurt (oder),<br />

February 14-15, <strong>2006</strong>, Germany<br />

(105) Phase Noise and Jitter Modeling for<br />

Fractional-N PLLs<br />

S.A. osmany, F. Herzel, K. Schmalz, W. Winkler<br />

„Integrierte digitale und analoge Schaltungen“<br />

- Kleinheubacher tagung,<br />

September 25-29, <strong>2006</strong>, Germany<br />

(106) Spike and Flash Annealing of Shallow<br />

Arsenic and Phosphorus implants in<br />

Different Gaseous Ambient<br />

S. paul, W. lerch, S. McCoy, J. Gelpey, D. Bolze<br />

16 th International Conference in Ion Implantation<br />

technology IIt – <strong>2006</strong>, Marseille,<br />

June 11-16, <strong>2006</strong>, France


(107) 60 GHz Channel Measurements for “Video<br />

Supply in Trains, busses and Aircraft”<br />

Scenario<br />

M. peter, W. Keusgen, e. Grass<br />

Ieee 802.15 Meeting, Dallas,<br />

november 14, <strong>2006</strong>, uSA<br />

(108) How Public Key Cryptography influences<br />

Wireless Sensor Node Lifetime<br />

K. piotrowski, p. langendörfer, S. peter<br />

4 th ACM Workshop on Security of Ad Hoc and<br />

Sensor networks (SASn <strong>2006</strong>), Alexandria,<br />

october 30, <strong>2006</strong>, uSA<br />

(109) A Simple oFDM Physical Layer for Short-<br />

Range High Data Rate Transmission at<br />

60 GHz<br />

M. piz, e. Grass<br />

11 th International oFDM Workshop (InoWo ‘06)<br />

Hamburg, August 31, <strong>2006</strong>, Germany<br />

(110) Quantum Effects in Si Nanocrystals<br />

Embedded in oxide or Amorphous Matrix<br />

p.n. Racec<br />

BMBF-projekt-treffen „Bandstrukturdesign:<br />

ladungsträgertransport in Si-basierten<br />

Quantenstrukturen für zukünftige Höchsteffizienz-Solarzellen“,<br />

Cottbus,<br />

october 18-20, <strong>2006</strong>, Germany<br />

(111) Si / SiGe Double barrier Resonant Tunneling<br />

Diodes<br />

p.n. Racec, e.R. Racec, u. Wulf, G. Kissinger,<br />

H. Richter<br />

1 st leibnitz Conference of Advanced Science,<br />

nanoscience 2005, lichtenwalde,<br />

october 06-08, <strong>2006</strong>, Germany<br />

(112) on the Reliability of Scanning Probe based<br />

Electrostatic Force Measurements<br />

M. Ratzke, J. Reif<br />

e-MRS <strong>2006</strong>, Symposium F, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

(113) Scanning Probe based Electrical Characterization<br />

of Dislocation Networks Formed by<br />

Wafer Direct bonding<br />

M. Ratzke, o. Vyvenko, X. Yu, J. Reif, M. Kittler,<br />

M. Reiche<br />

International Conference on extended Defects<br />

in Semiconductors <strong>2006</strong> (eDS <strong>2006</strong>), Halle,<br />

September 17-22, <strong>2006</strong>, Germany<br />

(114) Light Emission by Dislocations in Silicon<br />

M. Reiche, M. Kittler, t. Wilhelm, t. Arguirov,<br />

W. Seifert, X. Yu<br />

leoS <strong>2006</strong>, 19 th <strong>Annual</strong> Meeting of the Ieee<br />

lasers and electro-optics Society, Montreal,<br />

october 29 - november 02, <strong>2006</strong>, Canada<br />

(115) Electrical Properties of Laser-Ablationinitiated<br />

Self-oganized Nanostructures on<br />

Silicon Surface<br />

J. Reif, M. Ratzke, o. Varlamova, F. Costache<br />

e-MRS <strong>2006</strong>, Symposium V, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

(116) Carbon Plasma Etching in Advanced<br />

Semiconductor Technologies<br />

H.H. Richter, K.A. pears, M. Markert,<br />

S. Marschmeyer, S. Günther, G. Weidner,<br />

H. Silz<br />

DpG Frühjahrstagung Augsburg,<br />

March 27-30, <strong>2006</strong>, Germany<br />

(117) 0.13 µm biCMoS Development<br />

H. Rücker<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(118) SiGe biCMoS Technology<br />

H. Rücker<br />

German-Korean Workshop on nanotechnology<br />

nnFC - IHp, Frankfurt (oder),<br />

February 14-15, <strong>2006</strong>, Germany<br />

A n n u A l R e p o R t 2 0 0 6<br />

161


(119) Technologies for Radio Frequency<br />

Applications<br />

H. Rücker<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(120) 60 GHz SiGe Transceiver Frontend-iCs für<br />

die drahtlose Nahfeldkommunikation<br />

J.C. Scheytt, Y. Sun, S. Glisic, F. Herzel,<br />

K. Schmalz, e. Grass, W. Winkler, R. Kraemer<br />

eeefCoM Workshop, Hochfrequenztechnik,<br />

Komponenten, Module und eMV, ulm,<br />

June 27-29, <strong>2006</strong>, Germany<br />

(121) Frequenzagiler Synthesizer und effizienter<br />

Leistungsverstärker für Multi-Standard<br />

basisstationen<br />

J.C. Scheytt<br />

BMBF Statusseminar <strong>2006</strong> in erlangen,<br />

June 20-22, <strong>2006</strong>, Germany<br />

(122) RF Circuit Design at iHP<br />

J.C. Scheytt<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(123) E-Test Measurements for biCMoS and Flash<br />

Technologies - Experiences and Problems<br />

p. Schley, A. Fox, B. Heinemann, D. Knoll,<br />

H. Rücker<br />

Keithley user Meeting, prague,<br />

october 08, <strong>2006</strong>, Czech Republic<br />

(124) An integrated 5 GHz Wideband Quadrature<br />

Modem in SiGe:C biCMoS Technology<br />

K. Schmalz, F. Herzel, M. piz<br />

36 th european Microwave Conference <strong>2006</strong>,<br />

Manchester, September 10-15, <strong>2006</strong>, uK<br />

(125) Cadence Design Kit and MPW Service<br />

R.F. Scholz<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

162 A n n u A l R e p o R t 2 0 0 6<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(126) Design Kit & Multi Project Wafer Service<br />

R.F. Scholz<br />

German-Korean Workshop on nanotechnology<br />

nnFC - IHp, Frankfurt (oder),<br />

February 14-15, <strong>2006</strong>, Germany<br />

(127) Heteroepitaxial (Pr 2 o 3 ) 1-x (Y 2 o 3 ) Mixed<br />

oxide Systems on Si Substrates as buffer<br />

Layers for the Preparation of High -Quality<br />

Single Crystalline Layer Systems<br />

t. Schroeder, H.-J. Müssig<br />

project Review Siltronic, Burghausen,<br />

February, <strong>2006</strong>, Germany<br />

(128) on the Epitaxy of Twin-free Cubic (111)<br />

Praseodymium Sesquioxide Films on<br />

Si(111)<br />

t. Schroeder, Ch. Wenger, H.-J. Müssig<br />

DpG Frühjahrstagung Dresden,<br />

March 27-31, <strong>2006</strong>, Germany<br />

(129) oxide Engineering and Lattice Matching<br />

Approaches for the Preparation of Single<br />

Crystalline Semiconductor-insulator-<br />

Semiconductor Systems<br />

t. Schroeder<br />

Materials Science Seminar of tu Dresden,<br />

october 07, <strong>2006</strong>, Germany<br />

(130) Physics of Metal-oxide-Semiconductor<br />

(MoS) Structures<br />

t. Schroeder<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(131) Studying the CMoS Process Compatibility<br />

of Praseodymium Silicate Layers on<br />

Si(001): Physical, Electrical, Thermal and<br />

Etching Properties<br />

t. Schroeder, G. lupina, Ch. Wenger, A.u. Mane,<br />

J. Dabrowski, H.-J. Müssig<br />

14 th Workshop on Dielectrics in Microelectro-


nics (WoDIM), Catania,<br />

June 26-28, <strong>2006</strong>, Italy<br />

(132) An implementation Study on Fault-Tolerant<br />

LEoN-3 Processor System<br />

Z. Stamenkovic, C. Wolf, G. Schoof, J. Gaisler<br />

Ip-Based SoC Design Conference, Grenoble,<br />

December 06-07, <strong>2006</strong>, France<br />

(133) LEoN-2: General Purpose Processor for<br />

a Wireless Engine<br />

Z. Stamenkovic, C. Wolf, G. Schoof, J. Gaisler<br />

9 th Ieee Workshop on Design and Diagnostics<br />

of electronic Circuits and Systems, prague,<br />

April 18-21, <strong>2006</strong>, Czech Republic<br />

(134) A Fully Differential 60 GHz Receiver Front-<br />

End with integrated PLL in SiGe:C biCMoS<br />

Y. Sun, S. Glisic, F. Herzel<br />

european Microwave Integrated Circuits<br />

Conference <strong>2006</strong>, Manchester,<br />

September 10-15, <strong>2006</strong>, uK<br />

(135) A Fully Differential 60 GHz Receiver Front-<br />

End with integrated PLL in SiGe:C biCMoS<br />

Y Sun, S. Glisic, F. Herzel<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(136) An integrated 60 GHz Receiver Front-End<br />

in SiGe:C biCMoS<br />

Y. Sun, l. Wang, J. Borngräber, F. Herzel,<br />

W. Winkler, R. Kraemer<br />

the 6 th topical Meeting on Silicon Monolithic<br />

Integrated Circuits in RF Systems (SiRFIC)<br />

<strong>2006</strong>, San Diego, January 18-20, <strong>2006</strong>, uSA<br />

(137) An integrated 60 GHz Transceiver Front-<br />

End for oFDM in SiGe biCMoS<br />

Y. Sun, S. Glisic, F. Herzel, K. Schmalz, e. Grass,<br />

W. Winkler, R. Kraemer<br />

WWRF 16, Shanghai, April 26-28, <strong>2006</strong>, China<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

(138) Standardization of Test Methods of bulk<br />

Microdefects and Denuded Zone in<br />

Annealed CZ Si<br />

R. takada, n. Inoue, K. Moriya, K. Kashima,<br />

K. nakashima, M. Kato, S. Kitagawa, t. ono,<br />

H. uzushido, n. nango and V. Akhmetov<br />

eCS 10 th International Symposium on Silicon<br />

Materials Science and technology, Denver,<br />

May 07-12, <strong>2006</strong>, uSA<br />

(139) Development of SiGe biCMoS Technologies<br />

at the iHP: introduction<br />

B. tillack<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(140) NNFC - iHP Workshop: introduction<br />

B. tillack<br />

German-Korean Workshop on nanotechnology<br />

nnFC - IHp, Frankfurt (oder),<br />

February 14-15, <strong>2006</strong>, Germany<br />

(141) Design and implementation of a QoS<br />

Capable WLAN Modem for iEEE 802.11a<br />

K. tittelbach-Helmrich, G. panic, D. Dietterle,<br />

M. Krstic, J. Klatt, n. Fiebig, J. lehmann<br />

Workshop Quality-of-Service over Wireless<br />

lAns for Converged enterprise networks,<br />

Berlin, May 24, Germany<br />

(142) bias Conditions in Gamma Radiation<br />

Assurance Tests of bipolar Technologies<br />

for HEP Applications<br />

M. ullan, S. Diez, F. Campabadal, M. lozano,<br />

G. pellegrini, D. Knoll, B. Heinemann<br />

<strong>2006</strong> nuclear Science Symposium, Medical<br />

Imaging Conference and 15 th International<br />

Room temperature Semiconductor Detector<br />

Workshop, San Diego,<br />

october 29 - november 04, <strong>2006</strong>, uSA<br />

(143) Gamma Radiation Effects on Three<br />

Different SiGe HbT Technologies<br />

M. ullan, S. Diez, F. Campabadal, M. lozano,<br />

A n n u A l R e p o R t 2 0 0 6<br />

163


G. pellegrini, D. Knoll, B. Heinemann<br />

RADeCS <strong>2006</strong>, Athens,<br />

September 27-29, <strong>2006</strong>, Greece<br />

(144) interaction of iron with Grown-in<br />

Dislocations in p-type Silicon:<br />

An EbiC / DLTS Study<br />

o. Vyvenko, M. Kittler, W. Seifert<br />

International Conference on extended Defects<br />

in Semiconductors, eDS <strong>2006</strong>, Halle,<br />

September 17-22, <strong>2006</strong>, Germany<br />

(145) Transforming Protocol Specifications for<br />

Wireless Sensor Networks into Efficient<br />

Embedded System implementations<br />

G. Wagenknecht, D. Dietterle, J.-p. ebert,<br />

R. Kraemer<br />

3 rd european Workshop on Wireless Sensor<br />

networks (eWSn), Zurich,<br />

February 13-15, <strong>2006</strong>, Switzerland<br />

(146) 77 GHz Automotive Radar Receiver Frontend<br />

in SiGe:C biCMoS Technology<br />

l. Wang, J. Borngräber, W. Winkler<br />

eSSCIRC <strong>2006</strong>, Montreux,<br />

September 18-22, <strong>2006</strong>, Switzerland<br />

(147) A 0.7-1.4 GHz Variable band Low Noise<br />

Amplifier for Multi-band Applications<br />

l. Wang, W. Winkler, G. Wang, J. Borngräber<br />

8 th International Conference on Solid-State<br />

and Integrated-Circuit technology, Shanghai,<br />

october 23-26, <strong>2006</strong>, China<br />

(148) An improved Highly-Linear Low-Power<br />

Down-Conversion Micromixer for 77 GHz<br />

Automotive Radar in SiGe Technology<br />

l. Wang, R. Kraemer, J. Borngräber<br />

Ieee Mtt-S International Microwave<br />

Symposium, San Francisco,<br />

June 11-16, <strong>2006</strong>, uSA<br />

(149) bAAD: bidirectional Arbitrated<br />

Adaptive DFE<br />

G. Wang, J.-p. ebert, R. Kraemer<br />

164 A n n u A l R e p o R t 2 0 0 6<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

<strong>2006</strong> Ieee Sarnoff Symposium, princeton,<br />

March 27-28, <strong>2006</strong>, uSA<br />

(150) Advanced Dielectric Thin Film Deposition<br />

Techniques in <strong>Microelectronics</strong>: From<br />

Research to Production<br />

Ch. Wenger<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(151) High Quality Layered Pr 2 Ti 2 o 7 / Sio 2 MiM<br />

Capacitor for Mixed-Signal Applications<br />

Ch. Wenger, R. Sorge, t. Schroeder, A.u. Mane,<br />

D. Knoll, J. Dabrowski, H.-J. Müssig<br />

the 6 th topical Meeting on Silicon Monolithic<br />

Integrated Circuits in RF Systems (SiRFIC)<br />

<strong>2006</strong>, San Diego, January 18-20, <strong>2006</strong>, uSA<br />

(152) High-k Metal-insulator-Metal Capacitors<br />

for Radio Frequency Mixed-Signal Application<br />

Ch. Wenger, A.u. Mane, R. Sorge, G. Weidner,<br />

t. Schroeder, J. Dabrowski, G. lippert,<br />

p. Zaumseil, H.-J. Müssig<br />

DpG Frühjahrstagung Dresden,<br />

March 27-31, <strong>2006</strong>, Germany<br />

(153) Non-Linearity of High-k MiM Capacitors<br />

Ch. Wenger, t. Schroeder, J. Dabrowski,<br />

R. Sorge, H.-J. Müssig, S. pasko, Ch. lohe<br />

14 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM), Catania,<br />

June 26-28, <strong>2006</strong>, Italy<br />

(154) New Support System at iHP and Customer<br />

Satisfaction Questionnaire<br />

W. Wichmann<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(155) An indoor Localization System based on<br />

DTDoA for Different Wireless LAN<br />

F. Winkler, e. Fischer, e. Grass, p. langendörfer


3 rd Workshop on positioning, navigation and<br />

Communication – WpnC <strong>2006</strong>, Hannover,<br />

March 16, <strong>2006</strong>, Germany<br />

(156) Front-End MMiC for Low-Cost 24 GHz Radar<br />

Systems<br />

W. Winkler, J. Borngräber<br />

International Radar Symposium (IRS <strong>2006</strong>),<br />

Krakow, May 22-26, <strong>2006</strong>, poland<br />

(157) Radar Circuits and Components<br />

W. Winkler<br />

36 th european Microwave Conference <strong>2006</strong>,<br />

Manchester, September 10-15, <strong>2006</strong>, uK<br />

(158) interconnect Technologies, Metallization,<br />

Selected backend of Line (bEoL) Topics<br />

D. Wolansky<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(159) Self-organized Pattern Formation of<br />

biomolecules at Silicon Surfaces<br />

A. Wolff, W. Fritzsche, M. Kittler, X. Yu,<br />

M. Reiche, t. Wilhelm, M. Seibt, o. Voß<br />

DnA-Based nanoscale Integration Symposium,<br />

Jena, May 23-25, <strong>2006</strong>, Germany<br />

(160) Self-organized Pattern Formation of<br />

biomolecules at Silicon Surfaces<br />

A. Wolff, W. Fritzsche, M. Kittler, X. Yu,<br />

M. Reiche, t. Wilhelm, M. Seibt, o. Voß<br />

Summer School: Complex Materials:<br />

Cooperative projects of the natural,<br />

engineering and Biosciences; International<br />

university Bremen,<br />

June 24 - July 01, <strong>2006</strong>, Germany<br />

(161) Self-organized Pattern Formation of<br />

biomolecules at Silicon Surfaces<br />

A. Wolff, W. Fritzsche, M. Kittler, X. Yu,<br />

M. Reiche, t. Wilhelm, M. Seibt, o. Voß<br />

Symposium‚ DAnn-based nanoscale<br />

Integration‘, Jena, May 18-22, <strong>2006</strong>, Germany<br />

V o R T R Ä G E – P R E S E N T A T i o N S<br />

(162) P Segregation behavior in SiGe:C Epitaxy<br />

Y. Yamamoto, K. Köpke, p. Zaumseil, B. tillack<br />

ASM user Meeting, parsdorf,<br />

September 28, <strong>2006</strong>, Germany<br />

(163) Phosphorus Segregation Control for SiGe:C<br />

Epitaxy<br />

Y. Yamamoto, K. Köpke, p. Zaumseil, B. tillack<br />

3 rd International SiGe technology and Device<br />

Meeting, IStDM <strong>2006</strong>, princeton,<br />

May 15-17, <strong>2006</strong>, uSA<br />

(164) Combined CL / EbiC / DLTS investigation of<br />

a Regular Dislocation Network Formed by<br />

Silicon Wafer Direct bonding<br />

X. Yu, o. Vyvenko, M. Kittler, W. Seifert,<br />

t. Mchedlidze, t. Arguirov, M. Reiche<br />

International Conference on Beam Injection<br />

Assessment of Microstructures in Semiconductors<br />

(BIAMS <strong>2006</strong>), St. petersburg,<br />

June 11-15, <strong>2006</strong>, Russia<br />

(165) Enhancement of iR Emission from a<br />

Dislocation Network in Si due to an<br />

External bias Voltage<br />

X. Yu, o.F. Vyvenko, M. Reiche, M. Kittler<br />

e-MRS <strong>2006</strong> Spring Meeting, nice,<br />

May 29 - June 02, <strong>2006</strong>, France<br />

(166) investigation of Dislocation Networks<br />

Formed by Si Wafer Direct bonding<br />

X. Yu, M. Kittler, t. Arguirov, W. Seifert,<br />

M. Ratzke, M. Reiche<br />

International Conference on extended Defects<br />

in Semiconductors <strong>2006</strong> (eDS <strong>2006</strong>), Halle,<br />

September 17-22, <strong>2006</strong>, Germany<br />

(167) A 70 MHz – 4.1 GHz 5 th -order Elliptic gm-C<br />

Low-Pass Filter in Complementary SiGe<br />

Technology<br />

l. Yuan, R. Krithivasan, W.-M.l. Kuo,<br />

l. Xiangtao, J.D. Cressler, H. Gustat,<br />

B. Heinemann<br />

Ieee Bipolar / BiCMoS Circuits and technology<br />

Meeting, BCtM <strong>2006</strong>, Maastricht,<br />

october 08-10, <strong>2006</strong>, the netherlands<br />

A n n u A l R e p o R t 2 0 0 6<br />

165


(168) Qualification Status of iHP’s 0.25 µm<br />

biCMoS Technologies<br />

p. Zaumseil<br />

5 th Workshop High-performance SiGe BiCMoS<br />

for Wireless and Broadband Communication,<br />

Frankfurt (oder),<br />

September 25-26, <strong>2006</strong>, Germany<br />

(169) X-Ray Characterization of Dielectric Films<br />

(an overview)<br />

p. Zaumseil<br />

5 th International Summerschool at IHp,<br />

Frankfurt (oder),<br />

August 28 - September 02, <strong>2006</strong>, Germany<br />

(170) X-Ray Characterization of Periodic Sub-nm<br />

Surface Relief Gratings<br />

p. Zaumseil, M. Birkholz, G. Weidner<br />

Xtop <strong>2006</strong>, Baden-Baden,<br />

September 19-22, <strong>2006</strong>, Germany<br />

(171) optical Properties, Elasto-optical Effects,<br />

and Critical-Point Parameters of biaxially<br />

Stressed Si 1-y C y Alloys on Si (001)<br />

St. Zollner, V. Vartanian, J.p. lui, p. Zaumseil,<br />

H.-J. osten, A.A. Demkov, B-Y nguyen<br />

<strong>2006</strong> 3 rd International SiGe technology and<br />

Device Meeting, IStDM <strong>2006</strong>, princeton,<br />

May 15-17, <strong>2006</strong>, uSA<br />

166 A n n u A l R e p o R t 2 0 0 6<br />

b E R i C H T E – R E P o R T S<br />

Berichte<br />

<strong>Report</strong>s<br />

(1) Zum weiteren Vorgehen bei der<br />

Zusammenarbeit mit biologie und Medizin<br />

M. Birkholz<br />

Strategiepapier, Frankfurt (oder), April <strong>2006</strong><br />

(2) Scenario Definition and initial Threat<br />

Analysis<br />

A.J.D. Casaca, D. Westhoff, p. langendörfer,<br />

K. piotrowski, S. peter<br />

project ubiSec&Sens<br />

(3) Ab initio investigation of Dielectrics for<br />

Modern <strong>Microelectronics</strong><br />

J. Dabrowski, A. Fleszar, G. lupina, A.u. Mane<br />

projekt: nIC hfo06, April <strong>2006</strong><br />

(4) Feasibility Study Wireless Technologies<br />

for NSR applications<br />

D. Dietterle, J.-p. ebert, p. langendörfer,<br />

G. panic, S. peter, K. piotrowski, J. Kersten,<br />

K. Dombrowski<br />

Final project <strong>Report</strong> for Airbus,<br />

IHp GmbH, Frankfurt (oder), May <strong>2006</strong><br />

(5) bASUMA – Projektzwischenbericht<br />

J.-p. ebert, D. Dietterle, G. Wang,<br />

A. J. Bakurudeen<br />

2. Halbjahr 2005, IHp GmbH, Frankfurt (oder),<br />

February <strong>2006</strong><br />

(6) bASUMA – Projektzwischenbericht<br />

J.-p. ebert, D. Dietterle, G. Wang,<br />

A. J. Bakurudeen<br />

1. Halbjahr <strong>2006</strong>, IHp GmbH, Frankfurt (oder),<br />

September <strong>2006</strong><br />

(7) Contamination during High Temperature<br />

Treatments in SiC Reactor Tubes<br />

A. Fischer, V. Akhmetov, G. Kissinger,<br />

M. Kittler<br />

SIWeDS Fall Meeting, Cancun,<br />

november 02-03, <strong>2006</strong>, Mexico


(8) Future Silicon Wafers (Abschlussbericht)<br />

G. Kissinger<br />

projekt Future Silicon Wafers, Dezember <strong>2006</strong><br />

(9) Future Silicon Wafers (Zwischenbericht)<br />

G. Kissinger<br />

projekt Future Silicon Wafers, Juli <strong>2006</strong><br />

(10) Alternatives Silicium für Solarzellen<br />

(ASiS): Einfluss von Verunreinigungen auf<br />

die elektrische Wirkung von Kristalldefekten<br />

M. Kittler, W. Seifert, t. Arguirov, G. Jia,<br />

o.F. Vyvenko<br />

Abschlussbericht BMu-projekt 0329846 H,<br />

<strong>2006</strong><br />

(11) Zwischenbericht SobSi-Projekt<br />

(Volkswagenstiftung)<br />

M. Kittler, M. Reiche, M. Seibt,<br />

W. Fritzsche et al.<br />

Februar <strong>2006</strong><br />

(12) DRAM Capacitors on TiN-Pr 2 o 3 /<br />

Al 2 o 3 - TiN basis for DRAM Applications<br />

G. lippert<br />

Industry <strong>Report</strong>: IHp -Qimonda Feasibility<br />

Study, Final <strong>Report</strong>, July <strong>2006</strong><br />

(13) Pr 2 o 3 -Added Al 2 o 3 Dielectrics for Future<br />

DRAM Technologies<br />

G. lippert, H.-J. Müssig<br />

Abschlussbericht zur Machbarkeitsstudie,<br />

IHp-Infineon projekt, 01.03.<strong>2006</strong><br />

(14) Evaluation of Heteroepitaxial Si 1-x Ge x /<br />

(Pr 2 o 3 ) 1-x (Y 2 o 3 ) x / Si(111) Systems as<br />

Soi Materials<br />

t. Schroeder<br />

Industry <strong>Report</strong>: Appendix to the<br />

IHp-SIltRonIC Feasibility study Burghausen,<br />

06.02.06<br />

b E R i C H T E – R E P o R T S<br />

(15) Ge and Si Heteroepitaxy via bixbyte based<br />

buffer oxides on the Si Technology<br />

Platform<br />

t. Schroeder<br />

Industry <strong>Report</strong> of the Siltronic – IHp<br />

technology project<br />

(16) Monolithic integration of New Valuable<br />

Semiconductors on the Si Technology<br />

Platform<br />

t. Schroeder, p. Storck<br />

Industry <strong>Report</strong> of the Siltronic – IHp<br />

technology project<br />

(17) Final System Performance and Validation<br />

<strong>Report</strong><br />

M. Spegel, p. Reinhardt, B. Cheetham, A. lunn,<br />

F.-M. Krause, K. tittelbach-Helmrich<br />

Deliverable D7.2 des WInDeCt projektes<br />

(18) interim <strong>Report</strong>: Scientific Cooperation<br />

between AiXTRoN and iHP<br />

Ch. Wenger<br />

project High-K (Aixtron), September <strong>2006</strong><br />

(19) Specification of the Advanced Concealed<br />

Data Aggregation<br />

D. Westhoff, C. Castelluccia, S. peter,<br />

p. langendörfer<br />

project ubiSec&Sens<br />

(20) Specification, implementation and Simulation<br />

of Secure Distributed Data Storage<br />

D. Westhoff, p. langendörfer, K. piotrowski,<br />

A. poschmann<br />

project ubiSec&Sens<br />

A n n u A l R e p o R t 2 0 0 6<br />

167


Monographien<br />

Monographs<br />

(1) Thin Film Analysis by X-Ray Scattering<br />

M. Birkholz<br />

with contributions by p. Fewster and C. Genzel,<br />

Wiley-VCH, Weinberg, 356 pages, (<strong>2006</strong>)<br />

(2) <strong>2006</strong> SiGe and Ge: Materials, Processing<br />

and Devices Symposium<br />

D. Harame, J. Cressler, B. tillack, G. Masini,<br />

S. Koester, J. Boquet, K. Rim, M. Caymax,<br />

A. Reznicek, S. Zaima ( eds.)<br />

eCS transactions 3(7) (<strong>2006</strong>)<br />

(3) The Silicon Age<br />

M. Kittler, D. Yang (eds.)<br />

proc. 2 nd Sino-German Symposium,<br />

held 19-24 September 2005 in Cottbus,<br />

Germany, Special issue:<br />

physica Status Solidi (a), 203(4),<br />

657-809 (<strong>2006</strong>)<br />

(4) Wireless Network Security<br />

S. Shen, C. lin, Y. Sun, J. pan, p. langendörfer,<br />

Z. Cao .<br />

Wireless Communications and Mobile<br />

(Wiley) 6(3) (<strong>2006</strong>)<br />

168 A n n u A l R e p o R t 2 0 0 6<br />

M o N o G R A P H S – H A b i L i T A T i o N S / D i S S E R T A T i o N S<br />

Habilitationen / Dissertationen<br />

Habilitations / Dissertations<br />

(1) Atomic Puzzle - Growth-Structure-Property<br />

Relations in Thin Solid Films for Advanced<br />

Technological Applications<br />

M. Birkholz<br />

Habilitation Btu Cottbus (<strong>2006</strong>)<br />

(2) Development and Characterisation of a<br />

Process Technology for a 0.25µm SiGe:C<br />

RF-biCMoS embedded Flash Memory<br />

A. Fox<br />

Dissertation technische universität Kiel (<strong>2006</strong>)<br />

(3) Request-driven GALS Technique for<br />

Datapath Architectures<br />

M. Krstic<br />

Dissertation Btu Cottbus (<strong>2006</strong>)<br />

(4) Praseodymium Silicate High-k Dielectrics<br />

on Si(100)<br />

G. lupina<br />

Dissertation Btu Cottbus (<strong>2006</strong>)<br />

(5) Key Management for Wireless Ad-Hoc<br />

Networks<br />

D. Sanchez<br />

Dissertation Btu Cottbus (<strong>2006</strong>)


Diplomarbeiten / Masterarbeiten /<br />

Bachelorarbeiten<br />

Diploma Theses / Master Theses /<br />

bachelor Theses<br />

D i P L o M A T H E S E S / M A S T E R T H E S E S / b A C H E L o R T H E S E S<br />

(1) Design und Entwicklung eines graphischen<br />

Editors für Rapid Prototyping und Realisierung<br />

kontext-sensitiver Dienste mit PLASMA<br />

S. Adam<br />

Masterarbeit Btu Cottbus (<strong>2006</strong>)<br />

(2) Eine Datensicherungs- und Transportschicht<br />

für drahtlose Sensornetze<br />

M. Brzozowski<br />

Masterarbeit Btu Cottbus (<strong>2006</strong>)<br />

(3) Entwurf und implementation eines LDPC-<br />

Kodierers / Dekodierers für ein Gbit-WLAN<br />

M. ehrig<br />

Diplomarbeit Humboldt-universität Berlin (<strong>2006</strong>)<br />

(4) Simulation und Realisierung eines auf<br />

differentiellen Laufzeiten basierenden<br />

Systems zur Positionsbestimmung und<br />

Anpassung an diverse Netzwerkstandards<br />

e. Fischer<br />

Diplomarbeit Humboldt-universität Berlin (<strong>2006</strong>)<br />

(5) Automatische Verifizierung von<br />

SPiCE-Modellen in Perl<br />

t. Mausolf<br />

Diplomarbeit FH Brandenburg (<strong>2006</strong>)<br />

(6) Evaluation of Design Alternatives for<br />

Flexible Elliptic Curve Hardware Accelerators<br />

S. peter<br />

Diplomarbeit Btu Cottbus (<strong>2006</strong>)<br />

(7) Desing of a Power Saving Methodology for<br />

Next Generation Wireless System-on-Chip<br />

Design<br />

K. Saeed<br />

Master of Science KtH Stockholm (<strong>2006</strong>)<br />

(8) Design of a Hardware Accelerator for<br />

iEEE 802.15.3 MAC Protocol<br />

H. Shah<br />

Master of Science KtH Stockholm (<strong>2006</strong>)<br />

(9) Dreidimensionale ortsbestimmung von<br />

mobilen Funksensoren in schwierigen<br />

Umgebungsbedingungen<br />

S. Willenbacher<br />

Masterarbeit Btu Cottbus (<strong>2006</strong>)<br />

(10) Materialcharakterisierung von Solarzellen<br />

aus multikristallinem Silicium<br />

Y. Yeromenko<br />

Diplomarbeit Btu Cottbus (<strong>2006</strong>)<br />

(11) Femtosekunden-Laser-ionisations-Flugzeitmassensprektrometrie<br />

für die Analyse<br />

von Schichtsystemen<br />

l. Zhu<br />

Diplomarbeit Btu Cottbus (<strong>2006</strong>)<br />

A n n u A l R e p o R t 2 0 0 6<br />

169


Patente<br />

Patents<br />

(1) Vorrichtung und Verfahren zur<br />

strukturierten immobilisierung von<br />

Molekülen auf Halbleitersubstraten<br />

M. Birkholz, J. Bauer, M. Kittler<br />

De-patentanmeldung IHp.271.05,<br />

am 02.03.06, AZ: 10 <strong>2006</strong> 010 495.1<br />

(2) Protokollbeschleuniger für das iEEE<br />

802.15.3 drahtlose Medienzugriffsprotokoll<br />

D. Dietterle<br />

De-patentanmeldung IHp.284.06,<br />

am 14.09.06, AZ: 10 <strong>2006</strong> 043 779.9<br />

(3) integrierter impuls-Generator mit<br />

abstimmbarer impulsbreite<br />

X. Fan<br />

De-patentanmeldung IHp.293.06,<br />

am 23.11.06, AZ: 10 <strong>2006</strong> 055 868.5<br />

(4) Asynchrone Hüllschaltung für eine global<br />

asynchrone, lokale synchrone (GALS)<br />

Schaltung<br />

e. Grass, M. Krstic<br />

pCt-Anmeldung IHp.268.pCt, am 01.08.06,<br />

AZ: pCt / ep<strong>2006</strong> / 064898<br />

(5) Leistungsverstärker mit Delta-Sigma-<br />

Modulator mit hilfsweiser Rückkopplung<br />

H. Gustat<br />

De-patentanmeldung IHp.281.06,<br />

am 21.11.06, AZ: 10 <strong>2006</strong> 055 577.5<br />

(6) Sigma-Delta-Modulator mit variablem<br />

Taktsignal<br />

H. Gustat, p. ostrovskyy<br />

De-patentanmeldung IHp.277.06,<br />

am 17.11.06, AZ: 10 <strong>2006</strong> 054 776.4-42<br />

170 A n n u A l R e p o R t 2 0 0 6<br />

P A T E N T E – P A T E N T S<br />

(7) Verfahren zum Kalibrieren von<br />

Stromquellen<br />

S. Halder, H. Gustat<br />

De-patentanmeldung IHp.269.05,<br />

am 06.06.06, AZ: 10 <strong>2006</strong> 027 165.3<br />

(8) Halbleiterbauelement mit versetzungsbasiertem<br />

vergrabenen Leitbahnsystem<br />

M. Kittler, W. Seifert, X. Yu<br />

De-patentanmeldung IHp.275.05,<br />

am 13.02.06, AZ: 10 <strong>2006</strong> 007 611.7<br />

(9) Versetzungsbasierte MiS-LED<br />

M. Kittler, X. Yu, o. Vyvenko, t. Arguirov,<br />

W. Seifert, M. Reiche<br />

De-patentanmeldung IHp.283.06,<br />

am 31.05.06, AZ: 10 <strong>2006</strong> 026 457.6<br />

(10) Versetzungsbasierter Lichtemitter<br />

M. Kittler, W. Seifert, t. Arguirov, M. Reiche<br />

De-patentanmeldung IHp.265.05A,<br />

am 16.02.06, AZ: 10 <strong>2006</strong> 008 025.4-33<br />

(11) Versetzungsbasierter Lichtemitter<br />

M. Kittler, W. Seifert, t. Arguirov, M. Reiche<br />

pCt-patentanmeldung IHp.265.pCt,<br />

am 03.05.06, AZ: pCt / ep<strong>2006</strong> / 062030<br />

(12) Komplementäre bipolar-Halbleitervorrichtung<br />

D. Knoll, B. Heinemann, K.-e. ehwald<br />

De-patentanmeldung IHp.294.06,<br />

am 08.12.06, AZ: 10 <strong>2006</strong> 059 113.5<br />

(13) Geschütztes Ausführen einer Datenverarbeitungsanwendung<br />

eines Dienste-<br />

anbieters für einen Nutzer durch eine<br />

vertrauenswürdige Ausführungsumgebung<br />

p. langendörfer, M. Maaser<br />

De-patentanmeldung IHp.272.05,<br />

am 26.04.06, AZ: 10 <strong>2006</strong> 020 093.4


(14) Verfahren und Vorrichtung zum berechnen<br />

einer Polynom-Multiplikation, insbesondere<br />

für die elliptische Kurven-Kryptographie<br />

p. langendörfer, Z. Dyka, S. peter<br />

pCt-patentanmeldung IHp.264.pCt,<br />

am 06.03.06, AZ: pCt / ep<strong>2006</strong> / 060494<br />

(15) optischer Translations-Rotations-Sensor<br />

o. Maye, M. Maaser, J. Schäffner<br />

De-patentanmeldung IHp.287.06,<br />

am 29.12.06, AZ: 10 <strong>2006</strong> 062 673.7<br />

(16) MiM / MiS-Struktur mit Praseodymtitanat<br />

oder Praseodymoxid als isolatormaterial<br />

H.-J. Müssig, G. lippert, Ch. Wenger<br />

uS-patentanmeldung<br />

am 14.06.06, AZ: 11 / 454, 145<br />

(17) Verfahren zur Reduktion eines Polynoms<br />

in einem binären finiten Feld<br />

S. peter, p. langendörfer<br />

De-patentanmeldung IHp.276.05,<br />

am 22.03.06, AZ: 10 <strong>2006</strong> 013 989.5<br />

(18) integrierte Schaltung mit Strahlungsschutz<br />

G. Schoof, R. Kraemer<br />

De-patentanmeldung IHp.286.06,<br />

am 23.11.06, AZ: 10 <strong>2006</strong> 055 867.7-34<br />

P A T E N T E – P A T E N T S<br />

(19) Verschlüsselungseinheit<br />

F. Vater, S. peter, u. Jagdhold, p. langendörfer<br />

De-patentanmeldung IHp.289.06,<br />

am 22.12.06, AZ: 10 <strong>2006</strong> 062 649.4<br />

(20) Method and Apparatus for Low-Complexity<br />

and Fast Start-up Adaptive Equalization of<br />

Multipath Channel Through Accurate<br />

initialization<br />

G. Wang<br />

pCt-Anmeldung IHp.274.pCt, am 14.12.06,<br />

AZ: pCt / ep<strong>2006</strong> / 069711<br />

(21) Verfahren und Vorrichtung geringer<br />

Komplexität für eine adaptive Entzerrung<br />

von Mehrwegkanälen<br />

G. Wang<br />

De-patentanmeldung IHp.274.05,<br />

am 19.04.06, AZ: 10 <strong>2006</strong> 018 914.0<br />

A n n u A l R e p o R t 2 0 0 6<br />

171


172 A n n u A l R e p o R t 2 0 0 6<br />

A N G E b o T E U N D L E i S T U N G E N – D E L i V E R A b L E S A N D S E R V i C E S<br />

Deliverables and Services


A N G E b o T E U N D L E i S T U N G E N – D E L i V E R A b L E S A N D S E R V i C E S<br />

Multiprojekt Wafer (MPW) und<br />

Prototyping Service<br />

Das <strong>IHP</strong> bietet seinen Forschungspartnern und Kunden<br />

Zugriff auf seine leistungsfähigen 0,25-µm-SiGe-BiC-<br />

MOS-Technologien.<br />

Die Technologien sind insbesondere für Anwendungen im<br />

oberen GHz-Bereich geeignet, so z.B. für die drahtlose und<br />

Breitbandkommunikation oder Radar. Sie bieten integrierte<br />

HBTs mit Grenzfrequenzen bis zu 220 GHz und integrierte<br />

HF-LDMOS-Bauelemente mit Durchbruchspannungen bis<br />

zu 33 V einschließlich komplementärer Bauelemente.<br />

Verfügbar sind folgende vier Technologien:<br />

SG25H1: Eine Hochleistungs-Technologie mit<br />

npn-HBTs bis zu f T / f max = 180 / 220 GHz.<br />

SG25H2: Eine komplementäre Hochleistungs-<br />

Technologie mit npn-HBTs ähnlich SG25H1<br />

und zusätzlichen pnp-HBTs mit<br />

f T / f max = 90 / 120 GHz.<br />

SG25H3: Eine Technologie mit mehreren npn-HBTs,<br />

deren Parameter von einer hohen HF-Performance<br />

(f T / f max = 110 / 180 GHz) zu größeren<br />

Durchbruchspannungen bis zu 7 V reichen.<br />

SGB25VD: Eine kostengünstige Technologie mit mehreren<br />

npn-Transistoren mit Durchbruchspannungen<br />

bis zu 7 V. Eine Besonderheit dieser Technologie<br />

sind zusätzliche integrierte komplementäre<br />

HF-LDMOS-Bauelemente mit<br />

Durchbruchspannungen bis zu 33 V.<br />

Ab 2008 bietet das <strong>IHP</strong> Zugriff auf seine 0,13-µm-<br />

BiCMOS-Technologie als nächste Generation. Diese<br />

Technologie wird integrierte HBTs mit Grenzfrequenzen<br />

bis zu 300 GHz enthalten.<br />

Es finden technologische Durchläufe nach einem festen,<br />

unter www.ihp-microelectronics.com verfügbaren Zeitplan<br />

statt.<br />

Multiproject Wafer (MPW) and<br />

Prototyping Service<br />

IHp offers research partners and customers access to<br />

its powerful 0.25 µm SiGe BiCMoS technologies.<br />

the technologies are especially suited for applications<br />

in the higher GHz bands (e.g. for wireless,<br />

broadband, radar). they provide integrated HBts with<br />

cut-off frequencies of up to 220 GHz and integrated<br />

RF lDMoS devices with breakdown voltages of up to<br />

33 V, including complementary devices.<br />

The following four technologies are available:<br />

SG25H1: A high-performance technology with<br />

npn-HBts up to f t / f max = 180 / 220 GHz.<br />

SG25H2: A complementary high-performance<br />

technology with npn-HBts similar to<br />

SG25H1 and additional pnp-HBts with<br />

f t / f max = 90 / 120 GHz.<br />

SG25H3: A technology with a set of npn-HBts<br />

ranging from a high RF performance<br />

(f t / f max = 110 GHz / 180 GHz) to higher<br />

breakdown voltages up to 7 V.<br />

SGB25VD: A cost-effective technology with a set of<br />

npn-HBts up to a breakdown voltage of<br />

7 V. A distinctive feature of this technology<br />

is additional integrated complementary<br />

RF lDMoS devices with breakdown<br />

voltages up to 33 V.<br />

From 2008 IHp will offer access to its next generation<br />

0.13 µm BiCMoS technology. this technology will<br />

include integrated HBts with cut-off frequencies of<br />

up to 300 GHz.<br />

the schedule for MpW & prototyping runs is located<br />

at www.ihp-microelectronics.com.<br />

A n n u A l R e p o R t 2 0 0 6<br />

173


174 A n n u A l R e p o R t 2 0 0 6<br />

A N G E b o T E U N D L E i S T U N G E N – D E L i V E R A b L E S A N D S E R V i C E S<br />

Ein Cadence-basiertes Design-Kit für Mischsignale ist<br />

verfügbar. Wiederverwendbare Schaltungsblöcke und<br />

IPs des <strong>IHP</strong> für die drahtlose und Breitbandkommunikation<br />

werden zur Unterstützung von Designs angeboten.<br />

In den folgenden Tabellen sind die wesentlichen Parameter<br />

der für MPW & Prototyping angebotenen Technologien<br />

dargestellt:<br />

1. High-Performance 0.25 µm SiGe biCMoS<br />

(SG25H1)<br />

Parameter npn1 npn2<br />

bipolar Section<br />

A e 0.21 x 0.84 µm 2 0.18 x 0.84 µm 2<br />

peak f max 190 GHz 220 GHz<br />

peak f t 190 GHz 180 GHz<br />

BV Ce0 1.9 V 1.9 V<br />

BV CBo 4.5 V 4.5 V<br />

V A 40 V 40 V<br />

β 200 200<br />

A cadence-based mixed signal design kit is available.<br />

For high frequency designs an analogue Design<br />

Kit in ADS can be used. IHp’s reusable blocks and Ips<br />

for wireless and broadband are offered to support<br />

designs.<br />

technical key-parameters of the technologies offered<br />

for MpW & prototyping are:<br />

2. Complementary High-Performance 0.25 µm<br />

SiGe biCMoS (SG25H2)<br />

Parameter npn pnp<br />

bipolar Section<br />

A e 0.21 x 0.84 µm 2<br />

peak f max 170 GHz 120 GHz<br />

peak f t 170 GHz 90 GHz<br />

BV Ce0 1.9 V - 2.5 V<br />

BV CBo 4.5 V - 4.0 V<br />

V A 40 V 30 V<br />

β 160 100<br />

3. 0.25 µm SiGe biCMoS with a set of npn-HbTs, ranging from high RF performance to high breakdown<br />

voltages (SG25H3)<br />

Parameter High High Medium High<br />

Performance1 Performance2 Voltage Voltage<br />

bipolar Section<br />

A e 0.22 x 0.84 µm 2 0.42 x 0.84 µm 2 0.22 x 2.24 µm 2 0.22 x 2.24 µm 2<br />

peak f max 180 GHz 140 GHz 140 GHz 80 GHz<br />

peak f t 110 GHz 120 GHz 45 GHz 30 GHz<br />

BV Ce0 2.3 V 2.3 V 5 V > 7 V<br />

BV CBo 6.0 V 6.0 V 15.5 V 21.0 V<br />

V A 30 V 30 V 30 V 30 V<br />

β 150 150 150 150


A N G E b o T E U N D L E i S T U N G E N – D E L i V E R A b L E S A N D S E R V i C E S<br />

4. 0.25 µm SiGe biCMoS with High-Voltage Devices (SGb25VD)<br />

bipolar Section<br />

Parameter High Standard High<br />

Performance Voltage<br />

A e 0.42 x 0.84 µm 2<br />

peak f max 95 GHz 90 GHz 70 GHz<br />

peak f t 75 GHz 45 GHz 25 GHz<br />

BV Ceo 2.4 V 4.0 V 7.0 V<br />

BV CBo > 7 V > 15 V > 20 V<br />

V A > 50 V > 80 V > 100 V<br />

β 190 190 190<br />

LDMoS Section<br />

n-LDMoS p-LDMoS<br />

n-LDMoS 23 n-LDMoS 13 n-LDMoS<br />

i10****<br />

p-LDMoS 8 p-LDMoS 12<br />

BV DSS * 26 V 15 V 11.5 V -10 V -13.5 V<br />

I Dsat ** 140 µA / µm 140 µA / µm 175 µA / µm 85 µA / µm 90 µA / µm<br />

(V GS = 1.5 V) (V GS = 1.5 V) (V GS = 1.5 V) (V GS = -1.5 V) (V GS = -1.5 V)<br />

I leakage < 15 pA / µm < 15 pA / µm < 15 pA / µm < 50 pA / µm < 50 pA / µm<br />

(V DS = 20 V) (V DS = 10 V) (V DS = 8 V) (V DS = -8 V) (V DS = -8 V)<br />

R ON 11 Ωmm 7 Ωmm 7.5 Ωmm 16 Ωmm 11.5 Ωmm<br />

Peak f max *** 40 GHz 43 GHz 46 GHz 25 GHz 22 GHz<br />

Peak f T *** 19 GHz 23 GHz 21 GHz 11 GHz 11 GHz<br />

*:@100 pA / µm **:@V = 5 V ***:@V = 4 V ****: substrate isolated<br />

DS DS<br />

An addidional n-LDMOS with BV of 33 V is available.<br />

DSS<br />

A n n u A l R e p o R t 2 0 0 6<br />

175


176 A n n u A l R e p o R t 2 0 0 6<br />

A N G E b o T E U N D L E i S T U N G E N – D E L i V E R A b L E S A N D S E R V i C E S<br />

CMoS and Passives of 0.25 µm Technologies<br />

Design Kits<br />

Parameter SG25H1 – H3 SGb25VD<br />

CMoS Section<br />

Core Supply Voltage 2.5 V<br />

nMoS V th 0.6 V<br />

I Dsat 540 µA / µm 570 µA / µm<br />

I off 3 pA / µm<br />

pMoS V th - 0.56 V - 0.51 V<br />

I Dsat 230 µA / µm 290 µA / µm<br />

I off 3 pA / µm<br />

Passives<br />

MIM Capacitor 1 fF / µm 2<br />

n + poly Resistor 210 Ω /<br />

p + poly Resistor 280 Ω / 310 Ω /<br />

High poly Resistor 1600 Ω / 2000 Ω /<br />

Varactor C max / C min 3<br />

Inductor Q@2.4 GHz 12 (1 nH), 6 (15 nH)<br />

I nductor Q@5.8 GHz 16 (1 nH), 10 (2 nH)<br />

5. An Additional 0.13 µm BiCMOS With the Following Scheduled Parameters Will be Available From 2008<br />

bipolar Section<br />

Parameter<br />

Die Design Kits unterstützen eine Cadence Mischsignal-<br />

Plattform:<br />

- Design Framework II (Cadence 5.0-5.1 / 6.1<br />

vorgesehen ab 4. Quartal 2007)<br />

- Verhaltens-Beschreibung (Verilog HDL)<br />

- Logische Synthese & Optimierung (VHDL / HDL<br />

Compiler, Design Compiler / Synopsys, Power<br />

Compiler / Synopsys)<br />

npn13P npn13V<br />

A e 0.12 x 0.48 µm 2 0.12 x 0.48 µm 2<br />

peak f max 300 GHz 120 GHz<br />

peak f t 250 GHz 45 GHz<br />

BV CBo 1.7 V 4 V<br />

BV CBo 5.5 V 16 V<br />

β 500 450<br />

Design Kits<br />

the design kits support a Cadence mixed signal platform:<br />

- Design Framework II (Cadence 5.0-5.1 / 6.1<br />

scheduled for Q4 2007)<br />

- Behavioral Modeling (Verilog HDl)<br />

- logic Synthesis & optimization<br />

(VHDl / HDl Compiler, Design Compiler /<br />

Synopsys, power Compiler / Synopsys)


A N G E b o T E U N D L E i S T U N G E N – D E L i V E R A b L E S A N D S E R V i C E S<br />

- Test Generation / Synthetisierer / Test Compiler<br />

(Synopsys)<br />

- Simulation (RF: SpectreRF, Analog: SpectreS,<br />

Verhaltens-Beschreibung / Digital: Leapfrog / NC-<br />

Affirma / Verilog-XL / ModelSim)<br />

- Platzieren & Verbinden (Silicon Ensemble & Preview)<br />

- Layout (Virtuoso Editor-Cadence)<br />

- Verifizierung (Diva and Assura: DRC / LVS / Extract /<br />

Parasitic Extraction)<br />

- ADS-Support über RFDE / RFIC mit dynamischem<br />

Link zu Cadence ist verfügbar<br />

- Ein eigenständiges ADS Kit einschließlich<br />

Momentum Substrate Layer File wird unterstützt,<br />

jedoch ohne Layout-Unterstützung<br />

- Unterstützung von Analog Office und Tanner über<br />

Partner<br />

- ECL-Bibliothek für SGB25VD<br />

- Strahlungsresistente CMOS-Bibliothek ist geplant<br />

- β Design Kit für 0,13 µm BiCMOS ist ab<br />

4. Quartal 2007 verfügbar<br />

Verfügbare analoge und digitale Blöcke und Designs<br />

für die drahtlose und Breitbandkommunikation<br />

Zur Unterstützung von Designs bietet das <strong>IHP</strong> Schaltungsblöcke<br />

und Schaltungen für Lösungen im Bereich<br />

drahtlose und Breitbandkommunikation an:<br />

- LC-VCO für 77-81 GHz mit Ausgangspuffer<br />

- Komponenten für 60-GHz-Analog-Frontends<br />

(LNA, Mischer, vollständig integrierte PLL)<br />

- 60 nach 5 GHz Empfänger-Frontend mit vollständig<br />

integrierter PLL<br />

- 5 nach 60 GHz Sender-Frontend mit vollständig<br />

integrierter PLL<br />

- Mischer, VCOs, Prescaler, VCO-Prescaler für 24 GHz<br />

- DAC-Komponenten für mittlere und hohe<br />

Geschwindigkeiten bis zu 30 GSps<br />

- UWB Transceiver-Komponenten wie Mischer-<br />

Korrelator und Breitband-LNA<br />

- Statische und dynamische Teilerschaltungen bis<br />

zu 60 GHz<br />

- 5-GHz Breitband-Modem (1 Gbps) für OFDM<br />

- 8-11 GHz und 16-19 GHz Integer-N PLLs mit<br />

integriertem VCO mit geringem Phasenrauschen<br />

- test Generation / Synthesizer / test Compiler<br />

(Synopsys)<br />

- Simulation (RF: SpectreRF, Analog: SpectreS,<br />

Behavioral / Digital: leapfrog / nC-Affirma /<br />

Verilog-Xl / ModelSim)<br />

- place & Route (Silicon ensemble & preview)<br />

- layout (Virtuoso editor-Cadence)<br />

- Verification (Diva and Assura: DRC / lVS / extract /<br />

parasitic extraction)<br />

- ADS-support via RFDe / RFIC dynamic link to<br />

Cadence is available<br />

- A standalone ADS Kit including Momentum<br />

substrate layer file is supported, but without<br />

layout support<br />

- Support of Analog office, Catena, and tanner<br />

via partners is available<br />

- eCl library for SGB25VD<br />

- Radiation hard CMoS library is planned<br />

- β Design Kit for 0.13 µm BiCMoS will be available<br />

from Q4 2007<br />

Available Analog and Digital blocks and Designs<br />

for Wireless and broadband Communications<br />

to support designs, IHp offers a wide range of blocks<br />

and designs for wireless & broadband solutions:<br />

- 77-81 GHz lC-VCo with output buffer<br />

- 60 GHz Analog Frontend Components<br />

(lnA, Mixers, fully-integrated pll)<br />

- 60 to 5 GHz RX-Frontend with fully-integrated<br />

pll<br />

- 5 to 60 GHz tX-Frontend with fully-integrated<br />

pll<br />

- 24 GHZ mixers, VCos, prescaler, VCo-prescaler<br />

- DAC components for medium and high speed up<br />

to 30 GSps<br />

- uWB transceiver components such as mixer<br />

correlator, broadband lnA<br />

- Static and dynamic divider circuits for up<br />

to 60 GHz<br />

- 5 GHz broadband modem (1 Gbps) for oFDM<br />

- 8-11 GHz and 16-19 GHz Integer-n plls with<br />

integrated low phase-noise VCo<br />

A n n u A l R e p o R t 2 0 0 6<br />

177


178 A n n u A l R e p o R t 2 0 0 6<br />

A N G E b o T E U N D L E i S T U N G E N – D E L i V E R A b L E S A N D S E R V i C E S<br />

- I 2 C-Interface<br />

- SPI-Interface<br />

- SPW (Signal Processing Worksystem) und MATLAB-<br />

Modelle für einen digitalen Basisband-Prozessor für<br />

ein IEEE 802.11a / p-konformes Modem einschliess-<br />

lich der Einheiten für Synchronisation und<br />

Kanalschätzung<br />

- Designs für Basisband-Verarbeitung (Viterbi<br />

Decoder, FFT / IFFT Prozessor, CORDIC Prozessor)<br />

- Synthetisierbares VHDL-Modell des kompletten<br />

IEEE 802.11a OFDM Basisband- Prozessors einschließlich<br />

der Synchronisation und Kanalschätzung<br />

- Echtzeit-Implementierung des MAC-Layer für ein<br />

IEEE 802.11a-kompatibles Modem für eingebettete<br />

Anwendungen, bestehend aus einem auf MIPS- oder<br />

ARM-Prozessoren laufenden C-Programm, sowie<br />

einem speziellen Hardware-Beschleuniger<br />

- Ein abstraktes SDL-Modell des MAC-Layer für ein<br />

IEEE 802.11a- und HiperLAN / 2-kompatibles Modem<br />

mit Testbenches für verschiedene Anwendungs-<br />

Szenarien<br />

- Ein abstraktes SDL-Modell für IEEE 802.15.3 und<br />

IEEE 802.15.4<br />

- 5-GHz-Link-Emulator und Entwicklungsumgebung<br />

für WLAN<br />

- TCP / IP-Prozessor einschließlich Hardware-<br />

Beschleuniger für das Protokoll sowie symmetrische<br />

und asymmetrische Verschlüsselung einschließlich<br />

MD5<br />

- Flexible ECC- und AES-Kryptoprozessoren<br />

- Basisband-Modelle und Realisierungen für<br />

Gigabit-WLAN<br />

- Kontext-sensitive verteilte Middleware-Plattform<br />

(PLASMA) für das drahtlose Internet<br />

Transfer von Technologien und Technologie-Modulen<br />

Das <strong>IHP</strong> bietet den Transfer seiner 0,25-µm-BiCMOS-<br />

Technologien und Technologiemodule (HBT, LDMOS)<br />

an. Die technologischen Parameter entsprechen weitgehend<br />

den oben für MPW & Prototyping genannten.<br />

- I 2 C-Interface<br />

- SpI-Interface<br />

- SpW (Signal processing Worksystem) and<br />

MAtlAB models of a digital baseband<br />

processor for an Ieee 802.11a / p compliant<br />

modem, including the synchronization and<br />

channel estimation units<br />

- Designs for baseband processing (Viterbi decoder,<br />

FFt / IFFt processor, CoRDIC processor)<br />

- Synthesizable VHDl model of the complete<br />

Ieee 802.11a oFDM baseband processor including<br />

synchronization and channel estimation<br />

- Realtime implementation of the MAC layer for an<br />

Ieee 802.11a compliant modem for embedded<br />

applications consisting of a C-program running<br />

on MIpS or ARM processors, and a dedicated hardware<br />

accelerator<br />

- Abstract SDl model of MAC layer for<br />

Ieee 802.11a and HiperlAn / 2 compliant<br />

modem with testbenches for various deployment<br />

scenarios<br />

- Abstract SDl model for Ieee 802.15.3 and<br />

Ieee 802.15.4<br />

- 5 GHz link emulator and WlAn design /<br />

debug kit<br />

- tCp / Ip-processor including hardware<br />

accelerators for protocol and symmetric<br />

and asymmetric encryption including<br />

MD5<br />

- Flexible eCC and AeS cryptoprocessors<br />

- Baseband-models and realisations for<br />

Gigabit WlAn<br />

- Context-sensitive distributed Middleware plat-<br />

form (plASMA) for wireless internet applications<br />

Transfer of Technologies and Technology Modules<br />

IHp offers its 0.25 µm BiCMoS technologies and technology<br />

modules (HBt-Modules, lDMoS-Modules) for<br />

transfer. the technological parameters comply to a<br />

large extent with the parameters described above for<br />

MpW & prototyping.


A N G E b o T E U N D L E i S T U N G E N – D E L i V E R A b L E S A N D S E R V i C E S<br />

Unterstützung bei Prozess-Modulen<br />

Das <strong>IHP</strong> bietet Unterstützung bei der Realisierung spezieller<br />

Prozess-Module für Forschung und Entwicklung<br />

und für Prototyping bei geringen Volumina für Standard-Prozess-Module<br />

und Prozess-Schritte.<br />

Verfügbar sind u.a. folgende Prozess-Module:<br />

- Standard-Prozesse (Implantation, Ätzen, CMP &<br />

Abscheidung von Schichtstapeln wie thermisches<br />

SiO 2 , PSG, Si 3 N 4 , Al, TiN, W)<br />

- Epitaxie (Si, Si:C, SiGe, SiGe:C)<br />

- Optische Lithographie (i-Linie und 248 nm bis<br />

hinab zu 100 nm Strukturgröße)<br />

- Verkürzte Prozessabläufe.<br />

Fehleranalyse und Diagnostik<br />

Das <strong>IHP</strong> bietet Unterstützung bei der Ausbeuteerhöhung<br />

durch Fehleranalyse mit modernen Ausrüstungen<br />

wie z.B. AES, AFM, FIB, LST, REM, SIMS, STM und<br />

TEM.<br />

Process Module Support<br />

IHp offers support for advanced process<br />

modules for research and development<br />

purposes and small volume prototyping.<br />

process modules available include:<br />

- Standard processes (implantation, etching,<br />

CMp & deposition of layer stacks such<br />

as thermal Sio 2 , pSG, Si 3 n 4 , Al, tin, W)<br />

- epitaxy (Si, Si:C, SiGe, SiGe:C)<br />

- optical lithography (i-line and 248 nm down<br />

to 100 nm structure size)<br />

- Short-flow processing.<br />

Failure Mode Analysis and Diagnostics<br />

IHp offers support for yield enhancement through<br />

failure mode analysis with state-of-the-art equipment,<br />

including AeS, AFM, FIB, lSt, SeM, SIMS, StM<br />

and teM.<br />

Für weitere Informationen wenden Sie sich bitte an: For more information please contact:<br />

Dr. Wolfgang Kissinger Dr. René Scholz<br />

(General contact) (MPW & Prototyping contact)<br />

<strong>IHP</strong> <strong>IHP</strong><br />

Im Technologiepark 25 Im Technologiepark 25<br />

15236 Frankfurt (Oder), Germany 15236 Frankfurt (Oder), Germany<br />

Email: kissinger@ihp-microelectronics.com Email : scholz@ihp-microelectronics.com<br />

Tel: +49 335 56 25 410 Tel : +49 335 56 25 647<br />

Fax: +49 335 56 25 222 Fax +49 335 56 25 327<br />

A n n u A l R e p o R t 2 0 0 6<br />

179


Wegbeschreibung zum <strong>IHP</strong><br />

180 A n n u A l R e p o R t 2 0 0 6<br />

W E G b E S C H R E i b U N G Z U M i H P – D i R E C T i o N S T o i H P<br />

per Flugzeug<br />

- Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis<br />

Bahnhof Berlin-Zoologischer Garten (19 Minuten);<br />

dann mit dem RegionalExpress RE 1 bis Frankfurt<br />

(Oder) Hauptbahnhof (ca. 1 Stunde 20 Minuten).<br />

- Vom Flughafen Berlin-Schönefeld mit dem Airport-<br />

Express oder der S-Bahnlinie S 9 bis Bahnhof Berlin-Ostbahnhof<br />

(19 bzw. 32 Minuten); dann mit dem<br />

RegionalExpress RE 1 bis Frankfurt (Oder) Hauptbahnhof<br />

(ca. 1 Stunde).<br />

- Vom Flughafen Berlin-Tempelhof mit der U-Bahnlinie<br />

U 6 Richtung Alt-Tegel bis zur Haltestelle Friedrichstraße<br />

(11 Minuten); umsteigen in den Regional-<br />

Express RE 1 bis Frankfurt (Oder) Hauptbahnhof<br />

(ca. 1 Stunde 15 Minuten).<br />

per Bahn<br />

- Von den Berliner Bahnhöfen Zoologischer Garten,<br />

Hauptbahnhof, Friedrichstraße, Alexanderplatz<br />

oder Ostbahnhof mit dem RegionalExpress RE 1 bis<br />

Frankfurt ( Oder) Hauptbahnhof.<br />

per Auto<br />

- Über den Berliner Ring auf die Autobahn A 12 in Richtung<br />

Frankfurt (Oder) / Warschau; Abfahrt Frankfurt<br />

(Oder)-West, an der Ampel links in Richtung Beeskow<br />

und dem Wegweiser „Technologiepark Ostbrandenburg“<br />

folgen.<br />

per Straßenbahn in Frankfurt (Oder)<br />

- Ab Frankfurt (Oder) Hauptbahnhof mit der Linie 3<br />

oder 4 in Richtung Markendorf Ort bis Haltestelle<br />

Technologiepark (14 Minuten).<br />

Directions to iHP<br />

by plane<br />

- From Berlin-tegel Airport take the bus X9 to the<br />

railway station Berlin-Zoologischer Garten (19 minutes);<br />

then take the Regionalexpress Re 1 to Frankfurt<br />

(oder) Hauptbahnhof (appr. 1 hour 20 minutes).<br />

- From Berlin-Schönefeld Airport take the Airport-<br />

express or the S-Bahn line S 9 to the railway<br />

station Berlin ostbahnhof (19 resp. 32 minutes);<br />

then take the Regionalexpress Re 1 to<br />

Frankfurt (oder) Hauptbahnhof (appr. 1 hour).<br />

- From Berlin-tempelhof Airport take the subway<br />

line u 6 in the direction Alt-tegel to the station<br />

Friedrichstraße (11 minutes); there transfer to<br />

the Regionalexpress Re 1 to Frankfurt (oder)<br />

Hauptbahnhof (appr. 1 hour 15 minutes).<br />

by train<br />

- take the train Regionalexpress Re 1 from the<br />

Berlin railway stations Zoologischer Garten,<br />

Hauptbahnhof, Friedrichstraße, Alexanderplatz<br />

or ostbahnhof to Frankfurt (oder) Hauptbahnhof.<br />

by car<br />

- take the highway A 12 from Berlin in the direction<br />

Frankfurt (oder) / Warschau (Warsaw); take<br />

exit Frankfurt (oder)-West, at the traffic lights<br />

turn left in the direction Beeskow and follow<br />

the signs to “technologiepark ostbrandenburg”.<br />

by tram in Frankfurt (oder)<br />

- take the tram 3 or 4 from railway station Frankfurt<br />

(oder) Hauptbahnhof in the direction Markendorf<br />

ort to technologiepark (14 minutes).


Herausgeber / Publisher<br />

IHp GmbH – Innovations for High performance <strong>Microelectronics</strong><br />

/ Institut für innovative Mikroelektronik<br />

Postadresse / Postbox<br />

postfach 1466 / postbox 1466<br />

15204 Frankfurt (oder)<br />

Deutschland / Germany<br />

Besucheradresse / Address for Visitors<br />

Im technologiepark 25<br />

15236 Frankfurt (oder)<br />

Deutschland / Germany<br />

Telefon / Fon +49 335 56 25 0<br />

Telefax / Fax +49 336 56 25 300<br />

e-Mail ihp@ihp-microelectronics.com<br />

Internet www.ihp-microelectronics.com<br />

i M P R E S S U M – i M P R i N T<br />

Redaktion / Editors<br />

Dr. Wolfgang Kissinger / Heidrun Förster<br />

Gesamtherstellung / Production in design and layout<br />

GIRAFFe Werbeagentur<br />

leipziger Straße 187<br />

15232 Frankfurt (oder)<br />

Telefon / Fon +49 335 50 46 46<br />

Telefax / Fax +49 336 50 46 45<br />

e-Mail kontakt@giraffe.de<br />

Internet www.giraffe.de<br />

Bildnachweise / Photocredits<br />

IHp, Winfried Mausolf, Rainer Weißflog<br />

A n n u A l R e p o R t 2 0 0 6<br />

181


182 A n n u A l R e p o R t 2 0 0 6<br />

N o T i Z E N – N o T E S


N o T i Z E N – N o T E S<br />

A n n u A l R e p o R t 2 0 0 6<br />

183


184 A n n u A l R e p o R t 2 0 0 6<br />

N o T i Z E N – N o T E S

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!