07.12.2012 Views

Annual Report 2005 (PDF 4.3 MB) - IHP Microelectronics

Annual Report 2005 (PDF 4.3 MB) - IHP Microelectronics

Annual Report 2005 (PDF 4.3 MB) - IHP Microelectronics

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Annual</strong> <strong>Report</strong> <strong>2005</strong><br />

JAHRESBERICHT <strong>2005</strong> | INSTITUT FÜR INNOVATIVE MIKROELEKTRONIK


<strong>Annual</strong> <strong>Report</strong> <strong>2005</strong><br />

JAHRESBERICHT <strong>2005</strong> | INSTITUT FÜR INNOVATIVE MIKROELEKTRONIK


Vorwort Foreword<br />

Im vergangenen Jahr ist das <strong>IHP</strong> bei der erfolgreichen<br />

Realisierung seiner Forschungsprojekte, der Erweiterung<br />

der Kooperationen sowie bei der Modernisierung<br />

der Infrastruktur deutlich vorangekommen.<br />

Die wissenschaftlichen Leistungen des Institutes fanden<br />

national und international großes Interesse, so<br />

zum Beispiel die Ergebnisse zu 60-GHz-Komponenten<br />

(vorgestellt auf der ISSCC), zu ultraschnellen Wandlern<br />

(BCTM) oder zu siliziumbasierten Lichtemittern (IEDM).<br />

Deutlich nahm die Nutzung des Multi-Projekt-Wafer &<br />

Prototyping Services durch Universitäten, andere Forschungseinrichtungen<br />

sowie Industrieunternehmen zu.<br />

Die Forschungsarbeiten zu neuen Hoch-k-Materialien<br />

waren Basis für neue, auf konkrete Anwendungen zielende<br />

Projekte.<br />

Die Kooperationsbeziehungen des <strong>IHP</strong> haben sich in Umfang<br />

und Qualität spürbar weiterentwickelt. Sie spiegeln<br />

sich auch in den im vergangenen Jahr deutlich gesteigerten<br />

Drittmitteleinnahmen wider.<br />

Die Zusammenarbeit mit Forschungseinrichtungen,<br />

Universitäten und Industrieunternehmen in Berlin und<br />

Brandenburg ist für uns ebenso wichtig wie die Pflege<br />

unserer zahlreichen internationalen Forschungskooperationen.<br />

Daher sind wir aktiv dabei, unsere Kompetenzen<br />

auf dem Gebiet der drahtlosen Kommunika-<br />

tionstechnologien noch intensiver für Kooperationen<br />

mit den in Berlin und Brandenburg stark vertretenen<br />

Branchen wie Sensortechnik, Automotive, Luft- und<br />

Raumfahrt sowie Lebenswissenschaften einzusetzen.<br />

Im Rahmen der Verfahren zur Qualitätssicherung in der<br />

Leibniz-Gemeinschaft fand eine fachliche Begutachtung<br />

der Arbeit des <strong>IHP</strong> durch den Wissenschaftlichen Beirat<br />

statt. Neben einer positiven Einschätzung der Ziele und<br />

Leistungen des Institutes erhielten wir dabei auch wertvolle<br />

Hinweise für neue strategische Forschungsrichtungen.<br />

Die Forschungsergebnisse des vergangenen Jahres<br />

konnten nur durch die engagierte und kreative Arbeit<br />

unserer Belegschaft und die starke Unterstützung<br />

durch die Brandenburgische Landesregierung und die<br />

Bundesregierung erreicht werden, wofür wir an dieser<br />

Stelle danken möchten.<br />

Wolfgang Mehr<br />

Wiss.-Techn. Geschäftsführer<br />

2<br />

Manfred Stöcker<br />

Adm. Geschäftsführer<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

During the last year, <strong>IHP</strong><br />

made a significant progress<br />

in the successful<br />

realization of its research<br />

projects, in the extension<br />

of its cooperation as well<br />

as in the modernization<br />

of the infrastructure.<br />

The scientific achievements<br />

of the institute at-<br />

Prof. Dr. Wolfgang Mehr tracted large national and<br />

international interest, for example, the results of the<br />

60 GHz components (introduced at the ISSCC), of ultra<br />

fast converters (BTCM) or of the silicon-based light<br />

emitters (IEDM). The utilization of the Multi-Project<br />

Wafer & Prototyping Service by universities, other research<br />

institutions and industrial enterprises increased<br />

considerably. The research work of new high-k<br />

materials was the basis for new projects, focused on<br />

specific applications.<br />

The cooperation relationships of the <strong>IHP</strong> further developed<br />

noticeably in circumference and quality. This is<br />

reflected clearly also in the increased third-party fundings<br />

during the last year.<br />

The collaboration with research institutes, universities<br />

and industrial enterprises is just as important for<br />

us as our numerous international research cooperation.<br />

Therefore, we apply actively our competencies<br />

in wireless communication technologies in cooperation<br />

with firms from branches such as sensor technique,<br />

automotive, aerospace industry as well as life<br />

sciences which are strongly represented in Berlin and<br />

Brandenburg.<br />

In the framework of procedures to secure the quality<br />

in the Leibniz Association, an examination of the<br />

work at the <strong>IHP</strong> by the Scientific Advisory Board took<br />

place. Besides a positive evaluation of the goals and<br />

achievements of the institute, we also got valuable<br />

advice for new strategic areas of research.<br />

The research results of the past year are attributable<br />

to the dedicated and creative work of our staff and the<br />

strong support from the regional government of Brandenburg<br />

and the federal government, which we would<br />

like to pay our particular thanks.


Vorwort/Foreword<br />

Aufsichtsrat/Supervisory Board<br />

Wissenschaftlicher Beirat/Scientific Advisory Board<br />

Das <strong>IHP</strong> auf einen Blick/<strong>IHP</strong> in a Nutshell<br />

Das Jahr <strong>2005</strong>/Update <strong>2005</strong><br />

Angebote und Leistungen/Deliverables and Services<br />

Forschung des <strong>IHP</strong>/<strong>IHP</strong>’s Research<br />

Ausgewählte Projekte/Selected Projects<br />

Drahtloses Internet/Wireless Internet<br />

Technologieplattform/Technology Platform<br />

Materialien für die Mikroelektronik/Materials<br />

for <strong>Microelectronics</strong><br />

Gemeinsames Labor <strong>IHP</strong>/BTU – <strong>IHP</strong>/BTU Joint Lab<br />

Konferenzen und Workshops/Conferences and<br />

Workshops<br />

Zusammenarbeit und Partner/Collaboration and<br />

Partners<br />

Gastwissenschaftler und Seminare/Guest Scientists<br />

and Seminars<br />

Publikationen/Publications<br />

Nachdrucke ausgewählter Publikationen/<br />

Reprints of Selected Publications<br />

Erschienene Publikationen/<br />

Published Papers<br />

Eingeladene Vorträge/Invited Presentations<br />

Vorträge/Presentations<br />

Berichte/<strong>Report</strong>s<br />

Monografien/Monographs<br />

Patente/Patents<br />

Wegbeschreibung zum <strong>IHP</strong>/ Directions to <strong>IHP</strong><br />

Impressum / Imprint<br />

Inhaltsverzeichnis<br />

Seite<br />

2<br />

4<br />

5<br />

6<br />

8<br />

16<br />

24<br />

28<br />

62<br />

66<br />

70<br />

74<br />

78<br />

158<br />

159<br />

29<br />

41<br />

52<br />

79<br />

130<br />

143<br />

145<br />

153<br />

155<br />

156<br />

Contents<br />

Page<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 3


Aufsichtsrat Supervisory Board<br />

Aufsichtsrat<br />

Konstanze Pistor<br />

Vorsitzende<br />

Ministerium für Wissenschaft, Forschung und Kultur<br />

Land Brandenburg<br />

MinDirig Dr. Wolf-Dieter Lukas<br />

Stellvertretender Vorsitzender (bis 07.06.<strong>2005</strong>)<br />

Bundesministerium für Bildung und Forschung<br />

MinR Thomas Sondermann<br />

Stellvertretender Vorsitzender (ab 08.06.<strong>2005</strong>)<br />

Bundesministerium für Bildung und Forschung<br />

Dr.-Ing. Peter Draheim<br />

Silicon Manufacturing Itzehoe SMI GmbH<br />

(ab 08.02.<strong>2005</strong>)<br />

Christian Funke<br />

Stellvertretendes Mitglied für die Person der Vorsitzenden<br />

(ab 02.08.<strong>2005</strong>)<br />

Ministerium für Wissenschaft, Forschung und Kultur<br />

Land Brandenburg<br />

Prof. Dr. Helmut Gabriel<br />

Freie Universität Berlin<br />

Dr. Eckhard Grass<br />

<strong>IHP</strong> GmbH (ab 14.12.<strong>2005</strong>)<br />

Norbert Quinkert<br />

Motorola GmbH, Taunusstein (ab 08.02.<strong>2005</strong>)<br />

Dr. Harald Richter<br />

<strong>IHP</strong> GmbH<br />

Prof. Dr. Ernst Sigmund<br />

Brandenburgische Technische Universität Cottbus<br />

Dr. Wolfgang Winkler<br />

<strong>IHP</strong> GmbH (bis 14.12.<strong>2005</strong>)<br />

MinR Gerhard Wittmer<br />

Ministerium der Finanzen<br />

Land Brandenburg<br />

4<br />

Supervisory Board<br />

Konstanze Pistor<br />

Chair<br />

Ministry of Science, Research and Culture<br />

State of Brandenburg<br />

Dr. Wolf-Dieter Lukas<br />

Deputy Chair (until June 7, <strong>2005</strong>)<br />

Federal Ministry of Education and Research<br />

MinR Thomas Sondermann<br />

Deputy Chair (since June 8, <strong>2005</strong>)<br />

Federal Ministry of Education and Research<br />

Dr.-Ing. Peter Draheim<br />

Silicon Manufacturing Itzehoe SMI GmbH<br />

(since February 8, <strong>2005</strong>)<br />

Christian Funke<br />

Deputy member of the person of the chair<br />

(since August 2, <strong>2005</strong>)<br />

Ministry of Science, Research and Culture<br />

State of Brandenburg<br />

Prof. Helmut Gabriel<br />

Freie Universität Berlin<br />

Dr. Eckhard Grass<br />

<strong>IHP</strong> GmbH (since December 14, <strong>2005</strong>)<br />

Norbert Quinkert<br />

Motorola GmbH, Taunusstein (since February 2, <strong>2005</strong>)<br />

Dr. Harald Richter<br />

<strong>IHP</strong> GmbH<br />

Prof. Ernst Sigmund<br />

Technical University of Brandenburg, Cottbus<br />

Dr. Wolfgang Winkler<br />

<strong>IHP</strong> GmbH (until December 14, <strong>2005</strong>)<br />

Gerhard Wittmer<br />

Ministry of Finance<br />

State of Brandenburg<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T


Wissenschaftlicher Beirat<br />

Prof. Dr. Hermann G. Grimmeiss<br />

Vorsitzender<br />

Department of Solid State Physics<br />

Lund University, Schweden<br />

Dr. Jürgen Arndt<br />

Stellvertretender Vorsitzender<br />

ATMEL Germany GmbH, Heilbronn<br />

Prof. Dr. Ignaz Eisele<br />

Fakultät für Elektrotechnik und Informationstechnik<br />

Universität der Bundeswehr München<br />

Prof. Dr. Christian Enz<br />

CSEM SA, Neuchatel, Schweiz<br />

Prof. Dr. Ulrich Rohde<br />

Synergy Microwave Corporation, USA<br />

Dr. Josef Winnerl<br />

Infineon Technologies AG, München<br />

Prof. Dr. Günter Zimmer<br />

Fraunhofer IMS, Duisburg<br />

Leitung<br />

Prof. Dr. Wolfgang Mehr<br />

Wissenschaftlich-Technischer Geschäftsführer<br />

Manfred Stöcker<br />

Administrativer Geschäftsführer<br />

Wissenschaftlicher<br />

Beirat<br />

Scientific Advisory Board<br />

Prof. Hermann G. Grimmeiss<br />

Chair<br />

Department of Solid State Physics<br />

Lund University, Sweden<br />

Dr. Jürgen Arndt<br />

Deputy<br />

ATMEL Germany GmbH, Heilbronn<br />

Prof. Ignaz Eisele<br />

Faculty of Electrical Engineering and Information<br />

Technology, University of the Bundeswehr, Munich<br />

Prof. Christian Enz<br />

CSEM SA, Neuchatel, Switzerland<br />

Prof. Ulrich Rohde<br />

Synergy Microwave Corporation, USA<br />

Dr. Josef Winnerl<br />

Infineon Technologies AG, Munich<br />

Prof. Günter Zimmer<br />

Fraunhofer IMS, Duisburg<br />

Management<br />

Prof. Wolfgang Mehr<br />

Director<br />

Manfred Stöcker<br />

Administrative Director<br />

Scientific Advisory<br />

Board<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 5


Das <strong>IHP</strong> auf einen Blick<br />

<strong>IHP</strong> in a Nutshell


Das Institut<br />

- Gegründet 1983; 1991 Neugründung aus einem<br />

früheren Akademieinstitut mit langjähriger Erfahrung<br />

in der Mikroelektronik auf Silizium-Basis<br />

- ca. 200 Mitarbeiter aus 16 Ländern<br />

- Mitglied der Leibniz-Gemeinschaft<br />

Aufgabe<br />

- Wirkung als Europäisches Forschungs- und Innovationszentrum<br />

für drahtlose Kommunikationstechnologien<br />

- Stärkung der Wettbewerbsfähigkeit der deutschen<br />

und europäischen Mikroelektronik- und Kommunikationsforschung<br />

- Erhöhung der Attraktivität der Region als Hochtechnologiestandort<br />

Strategie<br />

- Konzentration auf drahtlose und Breitbandkommunikation<br />

- Erarbeitung zukunftsorientierter Technologien,<br />

Schaltkreise und Systeme bis zu Prototypen<br />

- Wertschöpfung durch Innovation<br />

Infrastruktur<br />

- Vollständige Innovations-Kette vom Material bis zu<br />

Systemen, einschließlich Pilotlinie mit 0,25 (0,13) µm-<br />

BiCMOS-Technologien<br />

Kompetenzen<br />

- Systeme für die drahtlose Kommunikation<br />

- HF-Schaltkreisentwurf<br />

- Erweiterung von Silizium-CMOS-Technologien für<br />

neue Funktionen<br />

- Materialien für die Mikroelektronik-Technologie<br />

<strong>IHP</strong> auf einen Blick <strong>IHP</strong> in a Nutshell<br />

The Institute<br />

- Founded in 1983; re-established in 1991 as a successor<br />

institution to the former institute of the<br />

East German Academy with extensive experience<br />

in silicon microelectronics<br />

- 200 employees from 16 countries<br />

- Member of the Leibniz Association<br />

Mission<br />

- To act as a European Research- and Innovation<br />

Center for wireless communication technologies<br />

- To strengthen the competitive position of the German<br />

and European microelectronic and communication<br />

research<br />

- To enhance the attractiveness of the region as a<br />

location for high technology<br />

Strategy<br />

- To focus on solutions for wireless and broadband<br />

communications<br />

- Development of forward-looking technologies, circuits<br />

and systems up to prototypes<br />

- To create value through innovation<br />

Facilities<br />

- Complete innovation chain from materials to systems,<br />

including a pilot line with 0.25 (0.13) µm BiCMOS<br />

technologies<br />

Competencies<br />

- Systems for wireless communication<br />

- RF circuit design<br />

- Extension of silicon CMOS technologies for new<br />

functionalities<br />

- Materials for microelectronic technology<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 7


Das Jahr <strong>2005</strong><br />

Update <strong>2005</strong>


Über die wissenschaftlichen Arbeiten hinaus gab es<br />

im Jahr <strong>2005</strong> zahlreiche Initiativen zur weiteren Vernetzung<br />

der Forschung des <strong>IHP</strong>, zur Vorbereitung neuer<br />

Forschungsprojekte und zur Nutzung erzielter Ergebnisse.<br />

Wissenschaftler des <strong>IHP</strong> waren aktiv als Initiatoren, Organisatoren<br />

oder Vortragende wissenschaftlicher Konferenzen<br />

und Workshops. Beispiele dafür sind die internationale<br />

Konferenz GADEST, ein Fachsymposium<br />

im Rahmen der 69. Jahrestagung der DPG, ein Symposium<br />

an der BTU Cottbus gemeinsam mit chinesischen<br />

Partnern sowie internationale Workshops in Frankfurt<br />

(Oder) und Moskau. Mit dem National NanoFab Center<br />

Korea wurde ein Memorandum of Understanding für<br />

eine Forschungskooperation unterzeichnet.<br />

Die Kooperation des <strong>IHP</strong> mit regionalen Hoch- und<br />

Fachhochschulen entwickelte sich deutlich weiter. Die<br />

Grundlagenforschung im gemeinsamen Labor mit der<br />

BTU Cottbus führte zu international beachteten Ergebnissen.<br />

In Würdigung seiner Leistungen wurde Herr Dr.<br />

Martin Kittler, der auch das gemeinsame Labor leitet,<br />

zum außerplanmäßigen Professor der BTU ernannt. Für<br />

Studenten des Studienganges Physikalische Technik<br />

der TFH Wildau wurde am <strong>IHP</strong> ein Praktikum mit Vorlesungen<br />

und praktischen Übungen durchgeführt. Die<br />

bisherige wissenschaftliche Zusammenarbeit mit der<br />

TU Berlin wurde <strong>2005</strong> in einem Kooperationsvertrag<br />

verankert.<br />

Die erweiterte Zusammenarbeit des <strong>IHP</strong> mit der Industrie<br />

dokumentiert sich u.a. in Verbundprojekten und zahlreichen<br />

bilateralen Verträgen. Eine Vereinbarung zur Forschungskooperation<br />

wurde zwischen der AIXTRON AG<br />

und dem <strong>IHP</strong> unterzeichnet. Im Juni wurde eine Epitaxie-<br />

Anlage der Centrotherm GmbH im Reinraum des <strong>IHP</strong> in<br />

Betrieb genommen, auf der gemeinsam mit dem Institut<br />

hochproduktive Abscheideprozesse entwickelt werden.<br />

Die Arbeit der <strong>2005</strong> eröffneten Transferstelle der<br />

Zukunftsagentur Brandenburg für das <strong>IHP</strong> und die Europa-Universität<br />

Viadrina zielt besonders auf eine Verstärkung<br />

der Zusammenarbeit mit mittelständischen<br />

Firmen in Berlin und Brandenburg.<br />

Die Nutzung der Forschungsergebnisse des <strong>IHP</strong> war<br />

<strong>2005</strong> Gegenstand mehrerer Veranstaltungen. So<br />

fand im Februar ein Workshop zum Thema „Verwertung<br />

von Schutzrechten – Vertragsstrategien zu Forschungskooperationen<br />

mit der Industrie“ statt. Im<br />

November wurde am <strong>IHP</strong> zum Thema „Firmengründun-<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Das Jahr <strong>2005</strong> Update <strong>2005</strong><br />

Beyond the scientific work of the <strong>IHP</strong>, the year <strong>2005</strong><br />

produced numerous initiatives for the further networking<br />

of the institute’s research, for the preparation of<br />

new research projects and for the utilization of attained<br />

results.<br />

The scientists of the institute actively participated in<br />

many international conferences and workshops as initiators,<br />

organizers or lecturers. Examples are the international<br />

conference GADEST, a specialized symposium<br />

in the framework of the 69th annual conference<br />

of the German Physical Society, a symposium at the<br />

BTU Cottbus together with Chinese partners and international<br />

workshops in Frankfurt (Oder) and Moscow. A<br />

Memorandum of Understanding for a research cooperation<br />

was signed together with the National NanoFab<br />

Center Korea.<br />

The <strong>IHP</strong> cooperation with regional universities and universities<br />

of applied sciences was enhanced distinctly.<br />

The basic research of the joint laboratory with BTU<br />

Cottbus led to internationally recognized results. In appreciation<br />

of his achievements, Dr. Martin Kittler, who<br />

is also the head of the joint laboratory, was appointed<br />

as associate professor of the BTU. A training including<br />

lectures and practical exercises were offered by the<br />

<strong>IHP</strong> for students studying Physical Technology at the<br />

TFH Wildau. The already existing scientific cooperation<br />

with the TU Berlin was anchored with a cooperation<br />

contract in <strong>2005</strong>.<br />

The expanded cooperation of the <strong>IHP</strong> with industries<br />

expresses itself, among other things, in cooperation<br />

projects and numerous bilateral contracts. An agreement<br />

about a research cooperation was signed between<br />

the AIXTRON AG and the <strong>IHP</strong>. In June an epitaxy-system<br />

of the centrotherm GmbH was put into<br />

operation in the cleanroom of the <strong>IHP</strong>, on which, jointly<br />

with the institute, high productive deposition processes<br />

will be developed. The work of the Brandenburg<br />

Economic Development Board (ZAB) transfer position<br />

for the European University Viadrina and the <strong>IHP</strong>, founded<br />

in <strong>2005</strong>, focuses especially on a stronger cooperation<br />

of the <strong>IHP</strong> with medium-sized firms in Berlin and<br />

Brandenburg.<br />

The utilization of <strong>IHP</strong>`s research results was objective<br />

in several events in <strong>2005</strong>. For instance, a workshop<br />

with the theme “Making use of property rightscontract<br />

strategies for research cooperation with<br />

the industry” took place in February. In November,<br />

9


Das Jahr <strong>2005</strong> Update <strong>2005</strong><br />

gen“ ein Science2Market-Tag gemeinsam mit LeibnizX,<br />

der Europa-Universität Viadrina und der BTU Cottbus<br />

durchgeführt. Ein Forscherteam des <strong>IHP</strong> wurde mit seiner<br />

Gründungsidee „Silicon Radar“ in der ersten Stufe<br />

des Businessplan-Wettbewerbs Berlin-Brandenburg<br />

mit dem ersten Preis ausgezeichnet.<br />

Wissenschaftliche Ergebnisse<br />

10<br />

Drahtloses Internet: Systeme und Anwendungen<br />

Die Arbeiten auf diesem sehr dynamischen Forschungsgebiet<br />

erfolgten unter starker Beteiligung des <strong>IHP</strong> an<br />

nationalen und europäischen Verbundprojekten. Die Ergebnisse<br />

fanden eine hohe internationale Beachtung.<br />

Auf besonderes Interesse stießen dabei die erreichten<br />

Lösungen zu 60-GHz-Transceivern und schnellen A/D-<br />

bzw. D/A-Wandlern.<br />

Beispiele für Ergebnisse im Jahr <strong>2005</strong> sind:<br />

1. Integrierte Lösungen für Systeme zur drahtlosen<br />

Kommunikation mit sehr hohen Datenraten.<br />

Weitere Schaltungen zur 5-GHz-Technik (IEEE<br />

802.11a) wurden fertiggestellt. Zusätzlich wurden<br />

Schaltungen für die Car2car-Kommunikation bei<br />

5,9 GHz entworfen.<br />

Die Transceiver-Komponenten für 60 GHz wurden<br />

fertiggestellt und optimiert. Zwei Versionen integrierter<br />

60-GHz-Receiver und Transmitter (mit und<br />

ohne integrierte Antenne) wurden entworfen.<br />

2. Radarsensoren bei 24 GHz und bei 77 GHz.<br />

Verschiedene Mischer und VCO-Versionen bei 24 GHz<br />

wurden nach Lösung technischer Probleme im Zusammenhang<br />

mit der Flip-Chip-Technik erfolgreich<br />

präpariert. Weitere Entwicklungen auf der Basis<br />

von aktiven und passiven Mischern wurden fertiggestellt<br />

und ausgewertet.<br />

Die 77-GHz-Komponenten für das FMCW-Radar wurden<br />

weiter optimiert und vermessen. Erste Integrationsschritte<br />

werden derzeit für das Tape-Out vorbereitet<br />

(siehe auch Projekt KOKON).<br />

3. Schnelle A/D- und D/A-Wandler.<br />

In diesem 2004 begonnenen Projekt konnten bereits<br />

internationale Spitzenergebnisse erreicht<br />

werden. So wurde ein Track-and-Hold (THC)-Modul<br />

entwickelt, das bei 10 GSps eine Auflösung von<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

a Science2Market-day at the <strong>IHP</strong> with the topic “firm<br />

foundations” was jointly organized with LeibnizX, the<br />

European University Viadrina and the BTU Cottbus. A<br />

research team of the <strong>IHP</strong> won the first prize with its<br />

foundation idea “Silicon Radar” in the first round of the<br />

business plan competition Berlin-Brandenburg.<br />

Scientific Results<br />

Wireless Internet: Systems and Applications<br />

The work of this very dynamic research area took<br />

place under strong participation of the <strong>IHP</strong> in National<br />

and European cooperation projects. The results received<br />

high international recognition, especially the attained<br />

solutions for the 60 GHz transceivers and fast<br />

A/D- and D/A-converters.<br />

Examples of results in <strong>2005</strong> were:<br />

1. Integrated solutions for systems of wireless communication<br />

with high data rates.<br />

Further circuits for 5 GHz technology (IEEE<br />

802.11a) were completed. In addition to that, circuits<br />

for the Car2car-Communication at 5.9 GHz<br />

were designed.<br />

The transceiver components for 60 GHz were completed<br />

and optimized. Two versions of integrated<br />

60 GHz receivers and transmitters (with and without<br />

an integrated antenna) were designed.<br />

2. Radar sensors at 24 GHz and at 77 GHz.<br />

Several mixers and VCO-Versions at 24 GHz were<br />

prepared successfully after having solved technical<br />

problems in connection with the flip chip technology.<br />

Further developments on the basis of active<br />

and passive mixers were completed and analyzed.<br />

The 77 GHz components for the FMCW radar were<br />

further optimized and measured. First integration<br />

steps are in preparation for the tape-out (also see<br />

KOKON project).<br />

3. Fast A/D- and D/A-Converter.<br />

In this project, initialized in 2004, international first<br />

rate results were attained. For instance, a Trackand-Hold<br />

(THC)-Module was developed, which at<br />

10 GSps reaches a resolution of 8 Bit. For this de-


echten 8 Bit erreicht. Für diese Entwicklung wurden<br />

neueste Hochleistungs-Technologien des <strong>IHP</strong><br />

eingesetzt.<br />

4. Integriertes Wireless-Bus-System für medizinische<br />

Anwendungen im Rahmen des Projektes BASUMA.<br />

Die erforderliche Knotenarchitektur wurde realisiert<br />

und erfolgreich getestet. Das generische, ereignisgesteuerte<br />

Betriebssystem REFLEX wurde<br />

portiert und zusammen mit einer innovativen SDL-<br />

Laufzeitumgebung integriert. Dadurch werden die<br />

Entwicklungszeiten für MAC und Netzwerkprotokolle<br />

deutlich verkürzt. Erstmals wurde eine auf dem<br />

neuen LEON-Prozessor basierende Modulbasis gewählt.<br />

Ausgehend von diesen Ergebnissen wurden<br />

verschiedene weiterführende Projekte zu Sensornetzwerken<br />

initiiert.<br />

5. Ultra-Wide-Band-Schaltkreise.<br />

Die Arbeiten erfolgten im Rahmen des Europäischen<br />

Projektes PULSERS, dessen Ziel die Entwicklung<br />

innovativer UWB-Schaltkreise ist. Erste<br />

am <strong>IHP</strong> entwickelte UWB-Komponenten wurden<br />

ausgewertet und optimiert. Erste Komponentengruppen<br />

wurden integriert. Im Rahmen der begonnenen<br />

zweiten Phase des Projektes erfolgt die Realisierung<br />

vollständiger UWB-Transceiver.<br />

6. Modulare Prozessor-Bibliothek.<br />

LEON-2- und LEON-3-Prozessoren wurden erfolgreich<br />

realisiert und getestet. Da diese Prozessoren<br />

in einer strahlungsharten Ausführung auch für z. B.<br />

Satelliteneinsätze verwendet werden sollen, wurde<br />

mit einer Prüfung der Strahlungsfestigkeit begonnen.<br />

Technologieplattform für drahtlose und Breitbandkommunikation<br />

Die 0,25-µm-BiCMOS-Technologien wurden <strong>2005</strong> stabilisiert<br />

und in ausgewählten Punkten weiterentwickelt.<br />

Ein zweiter wesentlicher Schwerpunkt war die Entwicklung<br />

eines 0,13-µm-BiCMOS-Prozesses als nächste<br />

Technologiegeneration des <strong>IHP</strong> für die Erforschung<br />

neuer Bauelemente-, Schaltungs- und Systemkonzepte<br />

sowie für den Prototyping-Service. Der Prototyping-<br />

Service des <strong>IHP</strong> wurde <strong>2005</strong> ausgebaut und weiter qualifiziert.<br />

Das Jahr <strong>2005</strong> Update <strong>2005</strong><br />

velopment, latest high-performance technologies<br />

of the <strong>IHP</strong> were applied.<br />

4. Integrated wireless bus system for medical applications<br />

in the framework of the BASUMA project.<br />

The required node architecture was realized and<br />

successfully tested. The generic, event-controlled<br />

operating system REFLEX was ported and together<br />

with an innovative SDL cycle time environment integrated.<br />

That is the reason why the development<br />

periods for MAC and network protocols were distinctly<br />

shortened. For the first time a module basis,<br />

based on the new LEON processor, was selected.<br />

Based on these results, various ongoing projects<br />

on sensor networking were initiated.<br />

5. Ultra-Wideband circuits.<br />

The works were realized within the framework of<br />

the European project PULSERS, whose goal is the<br />

development of innovative UWB integrated circuits.<br />

First UWB-components, developed by the <strong>IHP</strong>, were<br />

analyzed and optimized. First component groups<br />

were integrated. In the framework of the initialized<br />

second phase of the project, the realization of integrated<br />

UWB transceivers takes place.<br />

6. Modular processor library.<br />

LEON-2- and LEON-3-Processors were successfully<br />

realized and tested. The processors in a radiation<br />

hard version also will be used for e.g. satellite<br />

missions. That is why, in a first step, the radiation<br />

resistance was tested.<br />

Technology platform for Wireless and Broadband<br />

Communication<br />

The 0.25 µm BiCMOS technologies were stabilized in<br />

<strong>2005</strong> and were enhanced in specific points. A second<br />

essential emphasis was the development of a 0.13 µm<br />

BiCMOS process as the next technology generation of<br />

the <strong>IHP</strong> for the exploration of new devices-, circuits-<br />

and system concepts as well as for the Prototyping<br />

Service. The Prototyping Service of the <strong>IHP</strong> was enlarged<br />

and further qualified in <strong>2005</strong>.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 11


Das Jahr <strong>2005</strong> Update <strong>2005</strong><br />

12<br />

Beispiele für Ergebnisse <strong>2005</strong> sind:<br />

1. Stabilisierung und Weiterentwicklung der 0,25-µm-<br />

BiCMOS-Technologien.<br />

Das <strong>IHP</strong> stellte im Jahr <strong>2005</strong> vier 0,25-µm-BiCMOS-<br />

Technologien für die Realisierung interner Projekte<br />

sowie für den MPW & Prototyping Service zur<br />

Verfügung. Angeboten wurde die Technologiefamilie<br />

SG25 mit den Technologien SG25H1, H2 und<br />

H3, mit identischem CMOS- und Metallisierungsteil,<br />

aber unterschiedlichem Bipolarteil, sowie die Technologie<br />

SGB25VD. Detaillierte Angaben zu diesen<br />

Technologien finden sich im Kapitel „Angebote und<br />

Leistungen“ dieses Berichtes.<br />

2. Integration nichtflüchtiger Speicher in 0,25-µm-<br />

SiGe-BiCMOS.<br />

Es ist eine Prozesstechnologie zur Integration von<br />

„Embedded Flash“-Speichern, basierend auf einem<br />

„Floating-Gate“-Ansatz, in die 0,25-µm-SiGe-<br />

BiCMOS Technologieplattform entwickelt worden.<br />

Das Integrationskonzept ist modular und erfordert<br />

nur vier zusätzliche Maskenebenen. Für die Realisierung<br />

von nichtflüchtigen Registern wurde eine<br />

Konzep-tion zur Integration eines weiteren Zelltyps,<br />

der Single-Poly-Zelle, erarbeitet und es wurden erste<br />

Testschaltungen damit entworfen.<br />

3. SiGe-BiCMOS-Technologie für 77/79-GHz-Automobil-<br />

Radar<br />

Die Arbeiten des <strong>IHP</strong> erfolgten im Rahmen des<br />

B<strong>MB</strong>F-Verbundprojektes KOKON, in dem deutsche<br />

Automobilhersteller und die Halbleiterindustrie gemeinsam<br />

die Integration und Zuverlässigkeit von<br />

Si-Millimeterwellen-Schaltkreisen (MMIC) für die<br />

Anwendung als Radar-Sende/Empfangseinheit<br />

(Anti-Kollisions-Radar, Nahbereichs-Radar) im Frequenzbereich<br />

76-81 GHz testen. Im Rahmen des<br />

Projektes wurden spannungsgesteuerte Oszillatoren<br />

(VCO) bei 78 GHz entworfen und mit Technologien<br />

des <strong>IHP</strong> realisiert, wobei mit einem einstufigen<br />

Leistungsverstärker 9 mW Ausgangsleistung<br />

erreicht wurden.<br />

4. Entwicklung einer 0,13-µm-SiGe-BiCMOS-Techno-<br />

logie.<br />

<strong>2005</strong> wurde mit der Entwicklung eines 0,13-µm-<br />

SiGe-BiCMOS-Prozesses als nächste Technologiegeneration<br />

für die Erforschung neuer Bauelemente,<br />

Schaltungs- und Systemkonzepte sowie für den<br />

Prototyping-Service des <strong>IHP</strong> begonnen. Bisher<br />

Examples of results in <strong>2005</strong> were:<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

1. Stabilization and enhancement of the 0.25 µm<br />

BiCMOS technologies<br />

The <strong>IHP</strong> offered four 0.25 µm BiCMOS technologies<br />

in <strong>2005</strong> for the realization of <strong>IHP</strong>-intern projects as<br />

well as in MPW & Prototyping Service. Offered in<br />

this year was the SG25 technology family with the<br />

SG25H1, H2 and H3 technologies, with identical<br />

CMOS- and metallization but different bipolar part,<br />

as well as the SGB25VD technology. Detailed information<br />

about these technologies can be found<br />

in the chapter “Deliverables and Services” of this<br />

report.<br />

2. Integration of non-volatile memory in 0.25 µm SiGe<br />

BiCMOS.<br />

It is a technological process for the integration of<br />

“embedded flash”-memories, based on a “Floating-<br />

Gate”-approach, that was developed in the 0.25 µm<br />

SiGe BiCMOS technology platform. The integration<br />

concept is modular and demands only four additional<br />

mask levels. For the realization of non-volatile<br />

registers, a concept for the integration of another<br />

cell type, the Single-Poly-Cell, was developed, and<br />

first test circuits were designed with it.<br />

3. SiGe BiCMOS technology for 77/79 GHz automotive<br />

radar.<br />

The works of the <strong>IHP</strong> were realized in the framework<br />

of the B<strong>MB</strong>F cooperation project KOKON, in<br />

which German automobile producers and the semiconductor<br />

industry are jointly testing the integration<br />

and the reliability of Si-millimeter-wave integrated<br />

circuits (MMIC) for the application as radar<br />

transmitter/receiver units (Anti-Collision-Radar, Close-Range-Radar)<br />

in the frequency range 76-81 GHz.<br />

In the framework of the project, voltage-controlled<br />

oscillators (VCO) at 78 GHz were designed and realized<br />

with technologies of the <strong>IHP</strong>, whereas with<br />

a single stage power amplifier 9 mW output power<br />

were attained.<br />

4. Development of a 0.13 µm SiGe BiCMOS technology.<br />

In <strong>2005</strong> the development of a 0.13 µm SiGe BiCMOS<br />

process as the next technology generation for the<br />

exploration of new devices-, circuits- and system<br />

concepts as well as for the Prototyping Service of<br />

the <strong>IHP</strong> was initiated. Up to now, process modules


wurden die Prozessmodule für die Herstellung der<br />

Strukturen im 0,13-µm-Niveau entwickelt und erste<br />

Bipolar- und MOS-Transistoren mit 0,13 µm-Designregeln<br />

hergestellt.<br />

5. Weltweite Nutzung der <strong>IHP</strong>-Technologien durch<br />

MPW und Prototyping-Service.<br />

Die regelmäßigen Technologie-Shuttles am <strong>IHP</strong> ermöglichen<br />

auch Industriepartnern, Hochschulen<br />

und anderen Forschungseinrichtungen die Präparation<br />

innovativer Entwicklungsmuster und Prototypen.<br />

Derzeit arbeiten weltweit Designer aus mehr<br />

als 100 Einrichtungen mit dem Design-Kit des <strong>IHP</strong>.<br />

<strong>2005</strong> wurden vier Shuttle Runs durchgeführt. Außer-<br />

dem wurden vier Engineering Runs für Partner<br />

präpariert. Durch eine verbesserte Infrastruktur<br />

(Design-Kit-Server) und zusätzliche Design-Kit-Versionen<br />

konnte das Angebot für Partner und Kunden<br />

weiter verbessert werden.<br />

Materialien für die Mikroelektronik-Technologie<br />

Ein Schwerpunkt der Materialforschung war die Nutzung<br />

der Ergebnisse zu Hoch-k-Isolatoren auf Basis<br />

von Praseodym für spezifische Anwendungen. Arbeiten<br />

zu neuen Gebieten wie silizium-basierte Lichtemitter<br />

und zur Verbindung von Drahtlos-Technologien mit<br />

biologischen Technologien wurden weitergeführt.<br />

Beispiele für Ergebnisse <strong>2005</strong> sind:<br />

1. Praseodymsilikat als Gateisolator für CMOS.<br />

Für die Anwendung als Gateisolator wurden Praseodymsilikate<br />

entwickelt, deren dielektrische Konstante<br />

den Wert 12 erreicht. Pr-Silikat-Schichten mit einer<br />

äquivalenten Oxidschichtdicke (EOT) von 1,8 nm<br />

zeigen eine um drei Größenordnungen kleinere Leckstromdichte<br />

im Vergleich zu Oxynitridschichten derselben<br />

EOT. Die Pr-arme Interfaceschicht bewirkt<br />

eine hohe Ladungsträgerbeweglichkeit im Kanal<br />

des MOSFETs. Der Schichtstapel ist bis zu CMOStypischen<br />

Prozesstemperaturen stabil. Die Integration<br />

der Schichten in einen konventionellen CMOS-<br />

Prozess wurde experimentell erprobt.<br />

2. MIM-Kondensatoren.<br />

Durch den laminaren Aufbau zweier dielektrischer<br />

Schichten, bestehend aus SiO 2 und Pr 2 Ti 2 O 7 , gelang<br />

es, einen leistungsstarken Kondensator für<br />

HF-Anwendungen zu entwickeln. Durch die Kombination<br />

einer 8 nm dicken SiO 2 - und einer 24 nm<br />

Das Jahr <strong>2005</strong> Update <strong>2005</strong><br />

for the production of structures on 0.13 µm level<br />

were developed, and first bipolar- and MOS-transistors<br />

with 0.13 µm design rules were produced.<br />

5. Worldwide use of the <strong>IHP</strong> technologies by the MPW<br />

and Prototyping Service.<br />

The regular technology shuttles at <strong>IHP</strong> also allow<br />

industrial partners, universities and research institutes<br />

to prepare innovative development samples<br />

and prototypes. Designers are currently working<br />

worldwide in more than 100 organizations with<br />

the <strong>IHP</strong> design kit. Four Shuttle Runs were organized<br />

in <strong>2005</strong>. Moreover, four Engineering Runs for<br />

partners were prepared. Through an improved infrastructure<br />

(Design-Kit-Server) and additional Design-Kit-Versions,<br />

the offer for partners and clients<br />

could be further improved.<br />

Materials for <strong>Microelectronics</strong> Technology<br />

A main focus of the materials research was the use<br />

of the results of High-k-Isolators on the basis of praseodymium<br />

for specific applications. Works on new<br />

areas like silicon-based light emitters and on the linking<br />

of wireless technologies with biological technologies<br />

were further developed.<br />

Examples of results in <strong>2005</strong> were:<br />

1. Praseodymium silicate as gate isolator for CMOS.<br />

For the application as gate isolator, praseodymium<br />

silicates were developed, whose high-k dielectric attained<br />

a value of 12. Pr-silicate layers with an equivalent<br />

oxide layer thickness (EOT) of 1.8 nm show<br />

a leakage current density that is three magnitudes<br />

smaller than the oxide nitride layers of the same<br />

EOT. The Pr-poor interface layer causes high charge<br />

carrier mobility in the MOSFET channel. The layer<br />

stack is constant up to CMOS-typical process temperatures.<br />

The integration of the layers in a conventional<br />

CMOS process was experimentally tested.<br />

2. MIM capacitors.<br />

Through the laminar construction of two dielectrical<br />

layers, which consist of SiO 2 and Pr 2 Ti 2 O 7 , the<br />

<strong>IHP</strong> succeeded in developing a powerful capacitor<br />

for RF-applications. Through the combination of<br />

8 nm thick SiO 2 - and a 24 nm thick Pr 2 Ti 2 O 7 -layer,<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 13


Das Jahr <strong>2005</strong> Update <strong>2005</strong><br />

14<br />

dicken Pr 2 Ti 2 O 7 -Schicht konnte eine Kapazität von<br />

3,2 fF/µm 2 bei extrem kleinen Leckströmen und<br />

vernachlässigbaren Kapazitäts-Spannungs-Koeffizienten<br />

erzielt werden.<br />

MIM-Kondensatoren mit Pr 2 O 3 als Dielektrikum erreichen<br />

hingegen eine sehr hohe Dielektrizitätskonstante,<br />

weisen aber zu hohe Leckströme auf. Mit<br />

Al 2 O 3 /Pr 2 O 3 /Al 2 O 3 -Schichtstapeln gelang es, eine<br />

Kapazität von 5,7 fF/µm 2 bei ausreichend geringer<br />

Leckstromdichte zu erreichen.<br />

3. Heteroepitaxie auf Si-Wafern.<br />

Die kontrollierte Herstellung heteroepitaktischer<br />

Halbleiter-Isolator-Halbleiter-Systeme ermöglicht die<br />

Fertigung innovativer SOI-Wafer. Im Mittelpunkt der<br />

Arbeiten des <strong>IHP</strong> stand <strong>2005</strong> die Entwicklung eines<br />

zwillingsfreien, kubischen Pr 2 O 3 (111)/Si(111)-Trägersystems.<br />

Dieses System steht jetzt als Basis für die<br />

Herstellung einkristalliner, heteroepitaktischer Epi-<br />

Si/Pr 2 O 3 /Si(111)-Schichtsysteme zur Verfügung.<br />

4. Kohärente Nukleation von Sauerstoffpräzipitaten in<br />

Silizium.<br />

Es wurde ein neues Modell für die kohärente Nukleation<br />

von Sauerstoffpräzipitaten entwickelt, das<br />

auf der Agglomeration von VO 2 -Komplexen beruht.<br />

Es ist das erste Modell, das die Nukleation von<br />

Sauerstoffpräzipitaten in Silizium auf der Basis kohärenter<br />

Keimbildung beschreibt. Alle bisherigen<br />

Modelle gehen von einem inkohärenten Nuklea-<br />

tionsprozess aus, der jedoch energetisch viel unwahrscheinlicher<br />

ist.<br />

5. Silizium-basierte Lichtemitter.<br />

Es wurde nachgewiesen, dass auf Implantation basierende<br />

Emitter (Band-Band-Linie bei 1,1 µm) eine<br />

ausgedehnte Lichtquelle darstellen können. Die<br />

Nutzung als On-Chip-Lichtquelle erscheint jedoch<br />

unwahrscheinlich, da die notwendige Rotverschiebung<br />

eine sehr dicke SiGe-Schicht erfordert.<br />

Direktes Si-Waferbonden (in Zusammenarbeit mit<br />

dem MPI für Mikrostrukturphysik Halle) erlaubt die<br />

reproduzierbare Erzeugung von Versetzungsnetzwerken.<br />

Dabei kann Licht sowohl bei Wellenlängen<br />

von 1,55 µm (D1-Linie) als auch 1,3 µm (D3-Linie)<br />

erzeugt werden. Wir erwarten, dass diese versetzungsbasierte<br />

Lichtquelle eine praktikable Lösung<br />

für den On-Chip-Lichtemitter werden kann.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

a capacity of 3.2 fF/µm 2 by extreme small leakage<br />

currents and negligible capacitance voltage coefficients<br />

was reached.<br />

By contrast, MIM-capacitors with Pr 2 O 3 as dielectric<br />

reach a very high permittivity but have a<br />

high leakage current. With Al 2 O 3 /Pr 2 O 3 /Al 2 O 3 -layer<br />

stacks, the <strong>IHP</strong> succeeded in reaching a capacity<br />

of 5.7 fF/µm 2 at a sufficient minor leakage current<br />

density.<br />

3. Heteroepitaxy on Si-wafers.<br />

The controlled production of heteroepitaxial semiconductor-insulator-semiconductor<br />

systems facilitated<br />

the production of innovative SOI wafers. In<br />

<strong>2005</strong>, the work of the <strong>IHP</strong> focused on the development<br />

of a twin-free, cubic Pr2O3(111)/Si(111)-carrier<br />

system. This system is now available as basis<br />

for the growth of monocrystalline, heteroepitaxial<br />

Epi-Si/Pr 2 O 3 /Si(111)-layer systems.<br />

4. Coherent nucleation of oxygen precipitates in silicon.<br />

A new model for coherent nucleation of oxygen<br />

precipitates that is based on the agglomeration of<br />

VO2-complexes was developed. It is the first model<br />

that describes the nucleation of oxygen precipitates<br />

in silicon on the basis of coherent nucleation.<br />

All the previous models assume an incoherent<br />

nucleation process, that is, however, energetically<br />

more improbable.<br />

5. Silicon-based light emitters.<br />

It was proven that implantation-based emitters<br />

(Band-Band-Line at 1.1 µm) can represent an expanded<br />

light source. However, the use as on-chip<br />

light source seems to be improbable, because the necessary<br />

red shift demands a very thick SiGe layer.<br />

Direct Si-wafer bonding (in cooperation with the<br />

MPI of Microstructure Physics, Halle) permits the<br />

reproducible creation of dislocation networks. Thereby,<br />

light at wavelengths both of 1.55 µm (D1-<br />

Line) and of 1.3 µm (D3-Line) can be generated.<br />

We expect that the dislocation-based light source<br />

can become a viable solution for the on-chip light<br />

emitter.


6. Projekte und Projektstudien zur Verbindung elektronischer<br />

und biologischer Technologien.<br />

Ziel des Projektes SOBSI ist die kontrollierte selbstorganisierte<br />

Anlagerung von Biomolekülen an die<br />

Si-Oberfläche unter Ausnutzung der Coulombschen<br />

Wechselwirkung zwischen den Versetzungen und<br />

Biomolekülen. Realisiert wurden bisher die gesteuerte<br />

Herstellung eines Versetzungsnetzwerkes und<br />

der Nachweis der Streufelder oberhalb der Si-Oberfläche.<br />

Eine Projektstudie zu integrierten SAW-Bauelementen<br />

für die Anwendung als Hochfrequenzfilter sehr<br />

hoher Güte und auch als Biosensoren wurde begonnen.<br />

Ziel ist die Integration in die BiCMOS-Technologie<br />

des <strong>IHP</strong>.<br />

Eine Projektstudie zur Entwicklung von Mikrochips<br />

für die biophysikalische Forschung wurde ebenfalls<br />

begonnen. Auf diesen Chips sollen Biomoleküle<br />

auf aktiven Gebieten immobilisiert und mit hochfrequenten<br />

elektrischen Feldern angeregt werden<br />

können. Diese Methodik ist geeignet für neuartige<br />

zeitaufgelöste Untersuchungen, die sowohl für<br />

die aktuelle biophysikalische Forschung als auch<br />

für die Sensorik von Biomolekülen von Bedeutung<br />

sind.<br />

Das Jahr <strong>2005</strong> Update <strong>2005</strong><br />

6. Projects and project studies for the linking of electronic<br />

and biological technologies.<br />

The aim of the SOBSI project is the controlled,<br />

self-organized adsorption of biomolecules on the<br />

Si-surface with the exploitation of the Coulomb’s<br />

interaction between the dislocations and the biomolecules.<br />

Up to now, the controlled production of<br />

a dislocation network and the evidence of the stray<br />

fields above the Si-surface were realized.<br />

A project study about integrated SAW-devices for<br />

the application as high frequency filters in very<br />

high quality and also as biosensors was initiated,<br />

main objective being the integration in the BiCMOS<br />

technology of the <strong>IHP</strong>.<br />

A project study about the development of microchips<br />

for the biophysical research was also initiated.<br />

On these chips, biomolecules in active areas<br />

are to be immobilized and to be stimulated with<br />

high-frequency electrical fields. This method is suitable<br />

for novel time-resolved investigations, which<br />

are important for both the present biophysical research<br />

and the sensors for biomolecules.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 15


Angebote und Leistungen<br />

Deliverables and Services


Multiprojekt Wafer (MPW)<br />

und Prototyping Service<br />

Das <strong>IHP</strong> bietet seinen Kunden und Partnern Zugriff auf<br />

seine leistungsfähige 0,25-µm-SiGe-BiCMOS-Technologien.<br />

Die Technologien sind insbesondere für Anwendungen<br />

im oberen GHz-Bereich geeignet, so z.B. für die drahtlose<br />

und Breitbandkommunikation oder Radar. Sie<br />

bieten integrierte HBTs mit Grenzfrequenzen bis zu<br />

220 GHz und integrierte HF-LDMOS-Bauelemente mit<br />

Durchbruchspannungen bis zu 26 V einschließlich komplementärer<br />

Bauelemente.<br />

Verfügbar sind folgende vier Technologien:<br />

SG25H1: Eine Hochleistungs-Technologie mit npn-<br />

HBTs bis zu f T /f max = 180/220 GHz.<br />

SG25H2: Eine komplementäre Hochleistungs-Technologie<br />

mit npn-HBTs ähnlich SG25H1<br />

und zusätzlichen pnp-HBTs mit f T /f max =<br />

85/120 GHz.<br />

SG25H3: Eine Technologie mit mehreren npn-HBTs,<br />

deren Parameter von einer hohen HF-Performance<br />

(f T /f max = 110/190 GHz) zu höheren<br />

Durchbruchspannungen bis zu 7 V reichen.<br />

SGB25VD: Eine kostengünstige Technologie mit mehreren<br />

npn-Transistoren mit Durchbruchspannungen<br />

bis zu 7 V. Eine Besonderheit<br />

dieser Technologie sind zusätzliche integrierte<br />

komplementäre HF-LDMOS-Bauelemente<br />

mit Durchbruchspannungen bis zu<br />

26 V.<br />

Die Technologiefamilie SGC25 des <strong>IHP</strong> (SGC25A,<br />

SGC25B, SGC25C) wird weiterhin genutzt, aber derzeit<br />

durch die neue Familie SG25H mit verbesserten<br />

Parametern und zusätzlichen Leistungen ersetzt.<br />

Das <strong>IHP</strong> entwickelt derzeit eine 0,13-µm-BiCMOS-Technologie<br />

als nächste Generation.<br />

Es finden technologische Durchläufe nach einem festen,<br />

unter www.ihp-microelectronics.com verfügbaren<br />

Zeitplan statt.<br />

Angebote und<br />

Leistungen<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Multiproject Wafer (MPW)<br />

and Prototyping Service<br />

Deliverables and<br />

<strong>IHP</strong> offers customers and partners access to its powerful<br />

0.25 µm SiGe:C BiCMOS technologies.<br />

The technologies are suited to applications in the higher<br />

GHz bands (e.g. for wireless, broadband, radar).<br />

They provide integrated HBTs with cut-off frequencies<br />

of up to 220 GHz and RF LDMOS devices with breakdown<br />

voltages up to 26 V, including complementary<br />

devices.<br />

The following four technologies are available:<br />

Services<br />

SG25H1: A high-performance technology with npn-<br />

HBTs up to f T /f max = 180/220 GHz.<br />

SG25H2: A complementary high-performance technology<br />

with npn-HBTs comparable to<br />

SG25H1 and additional pnp-HBTs with<br />

f T /f max = 85/120 GHz.<br />

SG25H3: A technology with a set of npn-HBTs,<br />

ranging from a high RF performance<br />

(f T /f max = 110/190 GHz) to high breakdown<br />

voltages up to 7 V.<br />

SGB25VD: A cost-effective technology with a set of<br />

npn-HBTs up to a breakdown voltage of 7 V.<br />

A distinctive feature of this technology is<br />

additional integrated complementary RF<br />

LDMOS devices with breakdown voltages<br />

up to 26 V.<br />

<strong>IHP</strong>’s SGC25-family of technologies (SGC25A, SGC25B,<br />

SGC25C) is still running but is currently replaced by<br />

the new SG25H-family with improved parameters and<br />

additional features.<br />

<strong>IHP</strong> is developing its next generation 0.13 µm BiCMOS<br />

technology.<br />

The schedule for MPW & Prototyping runs is available<br />

at www.ihp-microelectronics.com.<br />

17


Angebote und<br />

Leistungen<br />

18<br />

Parameter npn pnp<br />

Bipolar Section<br />

A E<br />

Deliverables and<br />

Services<br />

Ein Cadence-basiertes Design-Kit für Mischsignale ist<br />

verfügbar. Wiederverwendbare Schaltungsblöcke und<br />

IPs des <strong>IHP</strong> für die drahtlose und Breitbandkommunikation<br />

werden zur Unterstützung von Kundendesigns<br />

angeboten.<br />

In den folgenden Tabellen sind die wesentlichen Parameter<br />

der für MPW und Prototyping angebotenen Technologien<br />

dargestellt:<br />

1. 0,25-µm-Hochleistungs-SiGe-BiCMOS-Techno-<br />

logie (SG25H1).<br />

2. Komplementäre 0,25-µm-Hochleistungs-SiGe-<br />

BiCMOS-Technologie (SG25H2).<br />

0.21 x 0.84 µm 2<br />

Peak f max 170 GHz 120 GHz<br />

Peak f T 170 GHz 85 GHz<br />

BV CE0 1.9 V 2.5 V<br />

V A 40 V 30 V<br />

� 160 100<br />

Der CMOS-Bereich und die passiven Bauelemente entsprechen<br />

SG25H3.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

A cadence-based mixed signal design kit is available.<br />

<strong>IHP</strong>’s reusable blocks and IPs for wireless and broadband<br />

are offered to support customer designs.<br />

Technical key-parameters of the technologies offered<br />

for MPW and Prototyping are:<br />

1. High-Performance 0.25 µm SiGe BiCMOS-Technology<br />

(SG25H1).<br />

Parameter npn1 npn2<br />

Bipolar Section<br />

A E 0.21 x 0.84 µm 2 0.18 x 0.84 µm 2<br />

Peak f max 190 GHz 220 GHz<br />

Peak f T 190 GHz 180 GHz<br />

BV CE0 1.9 V 1.9 V<br />

V A 40 V 40 V<br />

� 200 200<br />

Der CMOS-Bereich und die passiven Bauelemente entsprechen<br />

SG25H3.<br />

For CMOS section and passives please see SG25H3.<br />

2. Complementary High-Performance 0.25 µm<br />

SiGe BiCMOS (SG25H2).<br />

For CMOS section and passives please see SG25H3.


3. 0,25-µm-SiGe-BiCMOS-Technologie mit mehreren<br />

npn-HBTs im Bereich von großer HF Performance<br />

bis zu höheren Durchbruchspannungen<br />

(SG25H3).<br />

Parameter High<br />

Performance<br />

Bipolar Section<br />

Angebote und<br />

Leistungen<br />

High<br />

Performance 1<br />

Deliverables and<br />

Services<br />

3. 0.25 µm SiGe BiCMOS with a set of npn-HBTs,<br />

ranging from high RF performance to high<br />

breakdown voltages (SG25H3).<br />

High<br />

Performance SHP<br />

High<br />

Performance 2<br />

A E 0.21 x 0.84 µm 2 0.42 x 0.84 µm 2 0.21 x 0.84 µm 2 0.21 x 0.84 µm 2<br />

Peak f max 190 GHz 140 GHz 140 GHz 80 GHz<br />

Peak f T 110 GHz 120 GHz 45 GHz 25 GHz<br />

BV CE0 2.3 V 2.3 V 5 V 7 V<br />

V A 30 V 30 V 30 V 30 V<br />

� 150 150 150 150<br />

CMOS Section (0.25 µm) Value<br />

Passives<br />

Core Supply Voltage 2.5 V<br />

nMOS Vth 0.6 V<br />

IDsat 540 µA/µm<br />

I off 3 pA/µm<br />

pMOS Vth -0.56 V<br />

IDsat 230 µA/µm<br />

I off 3 pA/µm<br />

MIM Capacitor 1 fF/µm 2<br />

N + Poly Resistor 210 �/[ ]<br />

P + Poly Resistor 295 �/[ ] (SG25H3)<br />

280 �/[ ] (SG25H1/H2)<br />

High Poly Resistor 1850 �/[ ] (SG25H3)<br />

1600 �/[ ] (SG25H1/H2)<br />

Varactor C /C max min<br />

3<br />

Inductor Q@2.4 GHz 12 (1 nH), 6 (15 nH)<br />

Inductor Q@5.8 GHz 16 (1 nH), 10 (2 nH)<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 19


Angebote und<br />

Leistungen<br />

20<br />

n-LDMOS p-LDMOS<br />

n-LDMOS 23 n-LDMOS 13 n-LDMOS<br />

I10****<br />

p-LDMOS 8 p-LDMOS 12<br />

BV DSS * 26 V 16 V 11.5 V -11 V -13.5 V<br />

I Dsat ** 140 µA/µm<br />

(V GS = 1.5 V)<br />

I leakage<br />

< 15 pA/µm<br />

(V DS = 20 V)<br />

Deliverables and<br />

Services<br />

4. 0,25-µm-SiGe-BiCMOS-Technologie mit Bau-<br />

elementen für höhere Spannungen (SGB25VD).<br />

Die Technologie enthält neben HBTs auch komplementäre<br />

HF-LDMOS-Bauelemente. In den folgenden zwei<br />

Tabellen sind wichtige Parameter zusammengefasst.<br />

Bipolar Section<br />

Parameter<br />

A E<br />

140 µA/µm<br />

(V GS = 1.5 V)<br />

< 15 pA/µm<br />

(V DS = 10 V)<br />

175 µA/µm<br />

(V GS = 1.5 V)<br />

< 15 pA/µm<br />

(V DS = 8 V)<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

85 µA/µm<br />

(V GS = -1.5 V)<br />

< 50 pA/µm<br />

(V DS = -8 V)<br />

90 µA/µm<br />

(V GS = -1.5 V)<br />

< 50 pA/µm<br />

(V DS = -8 V)<br />

R ON 11 �mm 7 �mm 7.5 �mm 16 �mm 11.5 �mm<br />

Peak f max *** 40 GHz 43 GHz 46 GHz 21 GHz 22 GHz<br />

Peak f T *** 19 GHz 23 GHz 21 GHz 8 GHz 11 GHz<br />

*:@100 pA/µm **:@V DS = 5 V ***:@V DS = 4 V ****: substrate isolated<br />

4. 0.25 µm SiGe BiCMOS with High-Voltage Devices<br />

(SGB25VD).<br />

In addition to HBTs the technology also has complementary<br />

RF LDMOS. Key parameters are summarized<br />

in the following two tables.<br />

High<br />

Performance Standard<br />

0.5 x 0.9 µm 2<br />

High<br />

Voltage<br />

Peak f max 95 GHz 90 GHz 70 GHz<br />

Peak f T 75 GHz 45 GHz 25 GHz<br />

BV CEO 2.4 V 4.0 V 7.0 V<br />

BV CBO > 7 V > 15 V > 20 V<br />

V A >50 V >80 V >100 V<br />

� 190<br />

CMOS Section (0.25 µm)<br />

Passives<br />

Core Supply Voltage 2.5 V<br />

nMOS Vth 0.6 V<br />

IDsat 570 µA/µm<br />

I off 3 pA/µm<br />

pMOS Vth -0.51 V<br />

IDsat 290 µA/µm<br />

I off 3 pA/µm<br />

MIM Capacitor 1 fF/µm 2<br />

P + Poly Resistor 310 �/[ ]<br />

High Poly Resistor 2000 �/[ ]<br />

Varactor C /C max min<br />

3<br />

Inductor Q@2.4 GHz 12 (1 nH), 6 (15 nH)<br />

Inductor Q@5.8 GHz 16 (1 nH), 10 (2 nH)


Design Kit<br />

Die Design Kits unterstützen eine Cadence Mischsignal-<br />

Plattform:<br />

- Design Framework II (Cadence 5.0-5.1)<br />

- Verhaltens-Beschreibung (Verilog HDL)<br />

- Logische Synthese und Optimierung (VHDL/HDL<br />

Compiler, Design Compiler/Synopsys, Power Compiler/Synopsys)<br />

- Test Generation/Synthetisierer/Test Compiler (Synopsys)<br />

- Simulation (RF: SpectreRF, Analog: SpectreS, Verhaltens-Beschreibung/Digital:Leapfrog/NC-Affirma/Verilog-XL/ModelSim)<br />

- Platzieren und Verbinden (Silicon Ensemble und<br />

Preview)<br />

- Layout (Virtuoso Editor-Cadence)<br />

- Verifizierung (Diva and Assura: DRC/LVS/Extract/<br />

Parasitic Extraction)<br />

- ADS-Support über RFDE/RFIC mit dynamischem<br />

Link zu Cadence ist verfügbar<br />

- Ein eigenständiges ADS Kit einschließlich Momentum<br />

Substrate Layer File wird unterstützt, jedoch<br />

ohne Layout-Unterstützung<br />

- Unterstützung von Analog Office und Tanner über<br />

Partner.<br />

Verfügbare analoge und digitale<br />

Blöcke und Designs für die drahtlose<br />

und Breitbandkommunikation<br />

Zur Unterstützung von Kundendesigns bietet das <strong>IHP</strong><br />

Schaltungsblöcke und Schaltungen für Lösungen im<br />

Bereich drahtlose und Breitbandkommunikation an:<br />

- 2,4-GHz-Transceiver-Komponenten wie LNAs, Mischer,<br />

VCOs, Teiler, Prescaler, Demodulatoren, Frequenzsynthesizer<br />

und Zwischenfrequenz-Verstärker<br />

- 2,4-GHz-Single-Chip PA/LNA/RX/TX-Switch in hybrider<br />

Technologie<br />

- 5-GHz-(IEEE 802.11a/p, HiperLAN/2)-Single-Chip-<br />

Transceiver und Komponenten wie z.B. 5 GHz<br />

VCOs, Polyphasenfilter, Zwischenfrequenz-Abwärts-<br />

Angebote und<br />

Leistungen<br />

Design Kit<br />

The design kits support a Cadence mixed signal platform:<br />

- Design Framework II (Cadence 5.0-5.1)<br />

- Behavioral Modeling (Verilog HDL)<br />

- Logic Synthesis and Optimization (VHDL/HDL Compiler,<br />

Design Compiler/Synopsys, Power Compiler/<br />

Synopsys)<br />

- Test Generation/Synthesizer/Test Compiler (Synopsys)<br />

- Simulation (RF: SpectreRF, Analog: SpectreS, Behavioral/Digital:<br />

Leapfrog/NC-Affirma/Verilog-XL/<br />

ModelSim)<br />

- Place and Route (Silicon Ensemble and Preview)<br />

- Layout (Virtuoso Editor - Cadence)<br />

Deliverables and<br />

- Verification (Diva and Assura: DRC/LVS/Extract/<br />

Parasitic Extraction)<br />

- ADS-support via RFDE/RFIC dynamic link in Cadence<br />

is available<br />

- A standalone ADS Kit including Momentum substrate<br />

layer file is supported but without layout<br />

support.<br />

- Support of Analog Office and Tanner via partners<br />

is available.<br />

Available Analog and Digital<br />

Blocks and Designs for Wireless<br />

and Broadband<br />

Services<br />

To support customer designs, <strong>IHP</strong> offers a wide range<br />

of blocks and designs for wireless and broadband<br />

solutions:<br />

- 2.4 GHz transceiver components, such as LNAs,<br />

mixers, VCOs, dividers, prescalers, demodulators,<br />

frequency synthesizers, and IF amplifiers<br />

- Hybrid 2.4 GHz single-chip PA/LNA/RX/TX-switch<br />

- 5 GHz (IEEE 802.11a/p, HiperLAN/2) single-chip<br />

transceiver and components, such as 5 GHz VCOs,<br />

polyphase filters, IF down mixers, gain controlled<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 21


Angebote und<br />

Leistungen<br />

22<br />

Mischer, spannungsgesteuerte Verstärker, aktive<br />

Basisband-Filter, Synthetisierer, I 2 C-Bus Interface;<br />

vollständiger Single-Chip IP-Block<br />

- DAC-Komponenten für mittlere und hohe Geschwindigkeiten<br />

bis zu 10 GSps<br />

- UWB Transceiver-Komponenten wie Pulsgenerator,<br />

Mischer Korrelator, LNA<br />

- 24-GHz-Mischer, 24-GHz-VCO<br />

- Statische und dynamische Teilerschaltungen bis zu<br />

60 GHz; 60-GHz-LNA, PLL, Mischer und VCO<br />

- 76-GHz-LC-Oszillator<br />

Deliverables and<br />

Services<br />

- SPW (Signal Processing Worksystem) und MATLAB-<br />

Modelle für einen digitalen Basisband-Prozessor<br />

für ein IEEE 802.11a/p-konformes Modem einschließlich<br />

der Einheiten für Synchronisation und<br />

Kanalschätzung<br />

- Designs für Basisband-Verarbeitung (Viterbi Decoder,<br />

FFT/IFFT Prozessor, CORDIC Prozessor)<br />

- Synthetisierbares VHDL Modell des kompletten<br />

IEEE 802.11a OFDM Basisband-Prozessors einschließlich<br />

der Synchronisation und Kanalschätzung<br />

- Echtzeit-Implementierung des MAC-Layer für ein<br />

IEEE 802.11a-kompatibles Modem für eingebettete<br />

Anwendungen, bestehend aus einem auf MIPS-<br />

oder ARM-Prozessoren laufenden C-Programm sowie<br />

einem speziellen Hardware-Beschleuniger<br />

- Ein abstraktes SDL-Modell des MAC-Layer für ein<br />

IEEE 802.11a-und HiperLAN/2-kompatibles Modem<br />

mit Testbenches für verschiedene Anwendungs-<br />

Szenarien<br />

- Ein abstraktes SDL-Modell für IEEE 802.15.3 und<br />

IEEE 802.15.4<br />

- 5-GHz-Link-Emulator und Entwicklungsumgebung<br />

für WLAN<br />

- TCP/IP-Prozessor einschließlich Hardware-Beschleuniger<br />

für das Protokoll sowie symmetrische und<br />

asymmetrische Verschlüsselung einschließlich MD5.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

amplifiers, active baseband filters, synthesizer, and<br />

I 2 C-Bus interface; full single-chip IP block<br />

- DAC components for medium and high speed up to<br />

10 GSps<br />

- UWB transceiver components such as pulse generator,<br />

mixer correlator, LNA<br />

- 24 GHz mixers, 24 GHz VCOs<br />

- Static and dynamic divider circuits for up to 60 GHz;<br />

60 GHz LNA, PLL, mixers, VCO<br />

- 76 GHz LC-oscillator<br />

- SPW (Signal Processing Worksystem) and MAT-<br />

LAB models of a digital baseband processor for<br />

an IEEE 802.11a/p compliant modem, including the<br />

synchronization and channel estimation units<br />

- Designs for baseband processing (Viterbi decoder,<br />

FFT/IFFT processor, CORDIC processor)<br />

- Synthesizable VHDL model of the complete IEEE<br />

802.11a OFDM baseband processor including synchronization<br />

and channel estimation<br />

- Realtime implementation of the MAC layer for an<br />

IEEE 802.11a compliant modem for embedded<br />

applications consisting of a C-program running on<br />

MIPS or ARM processors, and a dedicated hardware<br />

accelerator<br />

- Abstract SDL model of MAC layer for IEEE 802.11a<br />

and HiperLAN/2 compliant modem with testbenches<br />

for various deployment scenarios<br />

- Abstract SDL model for IEEE 802.15.3 and IEEE<br />

802.15.4<br />

- 5 GHz link emulator and WLAN design/debug kit<br />

- TCP/IP-processor including hardware accelerators<br />

for protocol and symmetric and asymmetric encryption<br />

including MD5 .


Transfer von Technologien und<br />

Technologie-Modulen<br />

Das <strong>IHP</strong> bietet den Transfer seiner 0,25-µm-BiCMOS-<br />

Technologien und Technologiemodule (HBT, LDMOS)<br />

an. Die technologischen Parameter entsprechen weitgehend<br />

den oben für MPW & Prototyping genannten.<br />

Für die Technologie SGB25VD sind außerdem Bau-<br />

elemente mit höherer als der genannten Performance<br />

verfügbar.<br />

Unterstützung bei Prozess-Modulen<br />

Das <strong>IHP</strong> bietet Unterstützung bei der Realisierung spezieller<br />

Prozess-Module für Forschung und Entwicklung<br />

und für Prototyping bei geringen Volumina für Standard-Prozess-Module<br />

und Prozess-Schritte.<br />

Verfügbar sind u.a. folgende Prozess-Module:<br />

- Standard-Prozesse (Implantation, Ätzen, CMP und Abscheidung<br />

von Schichtstapeln wie thermisches SiO 2 ,<br />

PSG, Si 3 N 4 , Al, TiN, W)<br />

- Hochtemperatur- und Niedertemperatur-Epitaxie<br />

(Si, Si:C, SiGe, SiGe:C)<br />

- Optische Lithographie (i-Linie und 248 nm bis hinab<br />

zu 100 nm Strukturgröße)<br />

- Verkürzte Prozessabläufe.<br />

Fehleranalyse und Diagnostik<br />

Das <strong>IHP</strong> bietet Unterstützung bei der Ausbeuteerhöhung<br />

durch Fehleranalyse mit modernen Ausrüstungen<br />

wie z.B. AES, AFM, FIB, LST, REM, SIMS, STM<br />

und TEM.<br />

Für weitere Informationen wenden Sie sich bitte an/ For more information please contact:<br />

Dr. Wolfgang Kissinger<br />

<strong>IHP</strong> GmbH<br />

Im Technologiepark 25<br />

15236 Frankfurt (Oder), Germany<br />

Email kissinger@ihp-microelectronics.com<br />

Telefon +49 335 56 25 410<br />

Telefax +49 335 56 25 222<br />

Angebote und<br />

Leistungen<br />

Transfer of Technologies and<br />

Technology Modules<br />

<strong>IHP</strong> offers its 0.25 µm BiCMOS technologies and technology<br />

modules (HBT-Modules, LDMOS-Modules) for<br />

transfer.<br />

The technological parameters comply to a large extent<br />

with the parameters described above for MPW and<br />

Prototyping. For SGB25VD, additional devices with a<br />

higher performance are available.<br />

Process Module Support<br />

<strong>IHP</strong> offers support for advanced process modules for<br />

research and development purposes and small volume<br />

prototyping.<br />

Process modules available include:<br />

- Standard processes (implantation, etching, CMP<br />

and deposition of layer stacks such as thermal<br />

SiO 2 , PSG, Si 3 N 4 , Al, TiN, W)<br />

- High-temperature and low-temperature epitaxy (Si,<br />

Si:C, SiGe, SiGe:C)<br />

- Optical lithography (i-line and 248 nm down to 100 nm<br />

structure size)<br />

- Short-flow processing.<br />

Deliverables and<br />

Failure Mode Analysis and Diagnostics<br />

<strong>IHP</strong> offers support for yield enhancement through failure<br />

mode analysis with state-of-the-art equipment,<br />

including AES, AFM, FIB, LST, SEM, SIMS, STM and<br />

TEM.<br />

Dr. Renè Scholz<br />

<strong>IHP</strong> GmbH<br />

Im Technologiepark 25<br />

15236 Frankfurt (Oder), Germany<br />

Email scholz@ihp-microelectronics.com<br />

Phone +49 335 56 25 647<br />

Fax +49 335 56 25 222<br />

Services<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 23


Forschung des <strong>IHP</strong><br />

<strong>IHP</strong>’s Research


Das <strong>IHP</strong> arbeitet an drei eng miteinander verbundenen<br />

Forschungsprogrammen. Gemeinsames Ziel ist<br />

die Schaffung innovativer Lösungen für Anwendungen<br />

in den Bereichen drahtlose und Breitbandkommunikation.<br />

Die Forschungsprogramme nutzen die besonderen<br />

Möglichkeiten des <strong>IHP</strong>. So verfügt das <strong>IHP</strong> über eine<br />

Pilotlinie für technologische Entwicklungen sowie für<br />

die Fertigung von Chips für eigene Projekte und Entwicklungen<br />

Dritter. Eine weitere Besonderheit ist das<br />

vertikale Forschungskonzept des <strong>IHP</strong> unter Nutzung<br />

der zusammenhängenden und aufeinander abgestimmten<br />

Kompetenzen des Institutes auf den Gebieten Systementwicklung,<br />

Schaltungsentwurf, Technologie und<br />

Materialforschung.<br />

Die Forschung des <strong>IHP</strong> setzt ebenso auf die typischen<br />

Stärken eines Leibniz-Institutes: Sie ist charakterisiert<br />

durch eine langfristige, komplexe Arbeit, die Grundlagenforschung<br />

mit anwendungsorientierter Forschung<br />

verbindet.<br />

Die Realisierung der Forschungsprogramme erfolgt<br />

mit Hilfe eines regelmäßig aktualisierten Portfolios<br />

von Projekten. Die Aktualisierung geschieht aufgrund<br />

inhaltlicher Erfordernisse sowie der Möglichkeiten für<br />

Kooperationen und Finanzierung. Drittmittelprojekte<br />

werden im Einklang mit den strategischen Zielen des<br />

<strong>IHP</strong> eingeworben.<br />

Im Folgenden werden wesentliche Zielstellungen der<br />

Forschungsprogramme des <strong>IHP</strong> beschrieben.<br />

Drahtloses Internet: Systeme und<br />

Anwendungen<br />

In diesem Programm werden komplexe Systeme für<br />

das drahtlose Internet in Form von Prototypen und Anwendungen<br />

untersucht und entwickelt. Ziel sind Hardware/Software-Systemlösungen<br />

auf hochintegrierten<br />

Single-Chips. Der vertikale Forschungsansatz zeigt<br />

sich auch in der Architektur der erarbeiteten Systeme.<br />

Im Wesentlichen wird die Wechselwirkung zwischen<br />

den Schichten optimiert und eine vertikale Migration<br />

der semantischen Elemente realisiert.<br />

Die drei Hauptforschungsrichtungen sind Systeme mit<br />

hoher Performance, Systeme mit geringem Energieverbrauch<br />

und Middleware für kontextabhängige Anwendungen.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Forschung des <strong>IHP</strong> <strong>IHP</strong>‘s Research<br />

<strong>IHP</strong> is working on three closely connected research<br />

programs. The joint objective is the creation of innovative<br />

solutions for wireless and broadband applications.<br />

The research programs make use of the special opportunities<br />

of the <strong>IHP</strong>. In this way the institute has a<br />

pilot line for technological developments as well as<br />

for manufacturing chips for its own projects and the<br />

developments of third parties. An additional particularity<br />

is the <strong>IHP</strong> vertical research concept employing<br />

the associated and harmonized competencies of the<br />

institute in the fields of system development, circuit<br />

design, technology and materials research.<br />

The research of the <strong>IHP</strong> is based on the typical<br />

strengths of a Leibniz Institute; it is dominated by<br />

long-term, complex efforts which connect basic research<br />

with application-oriented research.<br />

The realization of the programs is accomplished through<br />

a project portfolio which is regularly updated according<br />

to the content requirements as well as through opportunities<br />

for cooperations and outside funding. Grant projects<br />

are acquired in such a manner as to serve the<br />

strategic goals of <strong>IHP</strong>.<br />

Significant goals of <strong>IHP</strong>’s research programs are specified<br />

below.<br />

Wireless Internet: Systems and Applications<br />

This program investigates and develops complex systems<br />

for wireless Internet as prototypes and applications<br />

with the objective to find solutions for Hardware/<br />

Software systems on highly integrated single chips.<br />

The vertical approach is also reflected in the architecture<br />

of the addressed systems. Basically inter-layer<br />

interaction is optimized and vertical migrations of semantic<br />

elements are performed.<br />

The three major directions of research are systems<br />

with high performance, systems with low-power consumption<br />

and middleware systems for context sensitive<br />

applications.<br />

25


Forschung des <strong>IHP</strong> <strong>IHP</strong>‘s Research<br />

Für drahtlose Systeme mit hoher Performance ist es das<br />

Ziel, alle Funktionen eines drahtlosen PDA auf einem Chip<br />

zu integrieren. Dabei sollen Datenraten bis über 1 Gbps<br />

bei Trägerfrequenzen bis zu 60 GHz erreicht werden.<br />

Die Forschung zu Systemen mit geringem Energieverbrauch<br />

hat zum Ziel, Sensornetze auf einem Chip zu<br />

realisieren. Typische Anwendungen dafür sind Body-<br />

Area-Netze für medizinische Anwendungen oder Wellness.<br />

Die Forschung zu kontextabhängigen Middleware-Systemen<br />

betrifft insbesondere auch den Schutz der Privatsphäre<br />

und die Sicherheit bei der Nutzung mobiler<br />

Endgeräte. Darüber hinaus wird die symmetrische<br />

bzw. asymmetrische Verteilung von Ressourcen zwischen<br />

Endgeräten und Servern im Gesamtsystem untersucht.<br />

Technologieplattform für drahtlose<br />

und Breitbandkommunikation<br />

In diesem Programm sollen Technologien mit zusätzlichen<br />

Funktionen entwickelt werden, insbesondere<br />

durch die Erweiterung industrieller CMOS-Technologien.<br />

Die Hauptforschungsrichtungen in diesem Programm<br />

sind Technologien mit hoher Performance, kostengünstige<br />

Technologien und System-on-Chip, sowie die Sicherung<br />

des Zugriffs interner und externer Designer auf<br />

die Technologien des <strong>IHP</strong>.<br />

Die Forschung in Richtung Technologien hoher Performance<br />

zielt auf extrem schnelle SiGe-HBTs, einschließlich<br />

komplementärer Bauelemente und neuer Bauelementekonzepte<br />

für Anwendungen bei Frequenzen bis<br />

oberhalb 100 GHz.<br />

Zielstellung der Forschung für kostengünstige Technologien<br />

ist es, BiCMOS-Technologien mit ausreichender<br />

Performance und geringen Fertigungskosten zu ent-<br />

wickeln sowie darin zusätzliche Module wie HF-LDMOS,<br />

Flash und passive Bauelemente zu integrieren.<br />

Die 0,25-µm-BiCMOS-Technologien des <strong>IHP</strong> sind weltweit<br />

für Designer nutzbar. Ein Zeitplan für die entsprechenden<br />

technologischen Durchläufe in der Pilotlinie<br />

in Frankfurt (Oder) ist über die Internetadresse des<br />

<strong>IHP</strong> verfügbar. Eine neue 0,13-µm-BiCMOS-Technologie<br />

wird entwickelt.<br />

26<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

The goal for high-performance wireless systems is to integrate<br />

all functionalities of a wireless PDA on a single<br />

chip. The targets are to achieve a data rate exceeding<br />

1 Gbps at carrier frequencies of up to 60 GHz.<br />

The research on systems with low energy consumption<br />

is directed towards sensor networks on single<br />

chips. Typical applications are body-area networks for<br />

health care or wellness.<br />

Research in context-sensitive middleware systems<br />

addresses privacy and security questions in using mobile<br />

devices. Moreover we investigate symmetrical and<br />

asymmetrical resource distribution between client and<br />

server parts of the overall system.<br />

Technology Platform for Wireless<br />

and Broadband<br />

The aim of this program is to develop value-added<br />

technologies, preferably BiCMOS technologies, by the<br />

modular extension of industrial CMOS. The major directions<br />

of the research in this program are technologies<br />

with a high performance, low-cost technologies<br />

including system-on-chip, and the provision of technology<br />

access for internal and external designers.<br />

The research towards high-performance technologies<br />

targets ultrafast SiGe HBTs, including complementary<br />

devices and new device concepts, for applications at<br />

frequencies of up to more than 100 GHz.<br />

The aim of the research for low-cost technologies is<br />

to develop BiCMOS technologies with ample performance<br />

and low manufacturing costs and to integrate<br />

additional modules such as RF LDMOS, Flash and passive<br />

devices.<br />

<strong>IHP</strong>’s 0.25 µm BiCMOS technologies are worldwide<br />

available for designers. A schedule for technological<br />

runs in the pilot line in Frankfurt (Oder) is available via<br />

<strong>IHP</strong>`s internet address. A new 0.13 µm SiGe BiCMOS<br />

technology is under development.


Materialien für die Mikroelektronik-<br />

Technologie<br />

Die Materialforschung am <strong>IHP</strong> hat die Integration neuer<br />

Materialien in die Technologie zum Ziel, um so zusätz-<br />

liche oder bessere Funktionalitäten zu erreichen. Außerdem<br />

bereitet sie neue Forschungsgebiete am <strong>IHP</strong> vor.<br />

Derzeit ist die Auswahl und Fertigung von Isolatoren<br />

hoher Dielektrizitätskonstante einschließlich der zugehörigen<br />

Gate-Materialien ein Schwerpunkt der Materialforschung<br />

am <strong>IHP</strong>. Anwendungsspezifische Entwicklungen<br />

dieser Isolatoren für MIMs (Metall Isolator<br />

Metall), Speicher, CMOS und SOI werden gemeinsam<br />

mit den Technologen des <strong>IHP</strong> bzw. mit industriellen<br />

Partnern realisiert.<br />

Weitere Projekte werden auf neuen und aussichtsreich<br />

erscheinenden Gebieten durchgeführt. Bei erfolgversprechenden<br />

Ergebnissen werden sie mit höherer Kapazität<br />

fortgesetzt. Beispiel für derartige Forschungsgebiete<br />

ist die Integration optischer Datenübertragung in<br />

der Mikroelektronik oder die Nutzung drahtloser Netzwerke<br />

für biologische oder medizinische Anwendungen.<br />

Auf den folgenden Seiten sind ausgewählte Projekte<br />

der Forschungsprogramme des <strong>IHP</strong> beschrieben.<br />

Forschung des <strong>IHP</strong> <strong>IHP</strong>‘s Research<br />

Materials for <strong>Microelectronics</strong> Technology<br />

Materials research at <strong>IHP</strong> targets the integration of<br />

new materials into the technology to achieve additional<br />

or better functionalities. It also geared towards the<br />

preparation of new research fields at the institute.<br />

Currently, the selection and manufacturing of insulators<br />

with high permittivity, including the associated<br />

gate materials, is one focal point of <strong>IHP</strong>’s materials<br />

research. Application-specific developments of these<br />

insulators for MIMs (metal insulator metal), memory,<br />

CMOS and SOI are realized together with <strong>IHP</strong>’s technology<br />

or with industrial partners.<br />

Additional projects are conducted in new and promising<br />

areas. In successful cases they are then worked<br />

on with increased capacity. Examples for promising<br />

research areas include the integration of optical data<br />

transmissions in microelectronics ort he employment<br />

of wireless networks for biological or medical applications.<br />

On the following pages you will find a description of selected<br />

projects from <strong>IHP</strong>’s research programs.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 27


Ausgewählte Projekte<br />

Selected Projects


Drahtloses Internet/<br />

Wireless Internet<br />

Mobile Business Engine Mobile Business Engine<br />

Im Rahmen des Projektes „Mobile Business Engine“<br />

werden Mechanismen zum Schutz der Privatsphäre<br />

und von privaten sowie geschäftlichen Daten im drahtlosen<br />

Internet untersucht. Diese Ansätze werden in die<br />

am <strong>IHP</strong> entwickelte Middleware-Plattform PLASMA, die<br />

die Realisierung kontext-sensitiver Dienste unterstützt,<br />

integriert.<br />

Das Zusammenwachsen von Mobilkommunikation und<br />

Internet bietet die Möglichkeit zur Realisierung neuartiger<br />

Dienste, Arbeitsabläufe und Geschäftsmodelle.<br />

Der Erfolg derartiger Dienste hängt stark von dem<br />

ihnen entgegen gebrachten Vertrauen ab. In Business-to-Employee-Prozessen<br />

steht der Schutz der<br />

Geschäftsdaten im Vordergrund, wohingegen in Business-to-Consumer-Prozessen<br />

eher der Schutz der Privatsphäre<br />

gewährleistet werden muss. Für beide Bereiche<br />

gilt: Alle Daten müssen während des Transportes,<br />

aber auch auf den verwendeten Endgeräten geschützt<br />

werden.<br />

Für den Schutz der Privatsphäre wurden mit dem<br />

Werkzeug Privacy Advocate und dem Micro Payment<br />

System MONETA zwei Ansätze verfolgt.<br />

Der Privacy Advocate (PrivAd) ist ein Werkzeug, das<br />

den Nutzern von Diensten die Möglichkeit gibt, mit<br />

Dienstanbietern darüber zu verhandeln, welche Daten<br />

bereitgestellt werden müssen und für welche Zwecke<br />

diese anschließend verwendet werden dürfen. Das Verhandlungsergebnis<br />

wird von beiden Seiten digital signiert<br />

und gespeichert. PrivAd nutzt für die Verhandlungen<br />

ein am <strong>IHP</strong> entwickeltes Datenmodell und ist zu<br />

P3P kompatibel. Daher können Server, die PrivAd einsetzen,<br />

auch mit P3P-basierten Werkzeugen wie dem<br />

PrivacyBird von AT&T kommunizieren. Auch PrivAd nutzende<br />

mobile Endgeräte können weiterhin mit Servern<br />

interagieren, die keine oder nur statische Privacy Policies<br />

nutzen. Dabei verhält sich PrivAd dann ähnlich wie<br />

der PrivacyBird und gibt lediglich Warnungen ab, wenn<br />

die Privacy Policy des Servers nicht zu den Wünschen<br />

des Nutzers passt. Unsere Messungen zeigen die Effizienz<br />

des PrivAd. Die Dauer der Verhandlung hängt von<br />

den eingesetzten Strategien ab. Unsere Experimente<br />

Ausgewählte Projekte<br />

Drahtloses Internet<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Selected Projects<br />

Wireless Internet<br />

The project Mobile Business Engine includes research<br />

on mechanisms that allow protecting privacy and business<br />

information in the wireless Internet. These approaches<br />

are integrated in PLASMA, a middleware platform<br />

for context-aware services developed at the <strong>IHP</strong>.<br />

The evolution of mobile communication and Internet<br />

allows new innovative services and also new ways<br />

for working and doing business. The success of these<br />

new services depends on the trust users have in<br />

them. In the business-to-employee scenario the most<br />

important issue is the protection of business information,<br />

whereas in the business-to-consumer scenario<br />

the privacy of the user must be protected. However,<br />

in both cases the data should be protected also while<br />

it is transmitted over the network and also while it is<br />

processed on the device.<br />

Two approaches that protect privacy were investigated.<br />

The first one is the Privacy Advocate tool and the<br />

second is the micro payment scheme MONETA.<br />

The Privacy Advocate (PrivAd) tool allows the service<br />

user to negotiate with the service provider which data<br />

is going to be used in a specific transaction and for<br />

what purposes this data may be used later on. The result<br />

of this negotiation is digitally signed by both parties<br />

and stored. For the negotiation, the PrivAd uses a<br />

data model developed at the <strong>IHP</strong> which is compatible<br />

with P3P, i.e., the servers that use PrivAd are able to<br />

communicate with P3P based tools such as Privacy-<br />

Bird from AT&T. Additionally, PrivAd allows mobile devices<br />

to interact with servers that use a static Privacy<br />

Policy or even those without any Privacy Policy, but<br />

in these cases PrivAd behaves similar to PrivacyBird<br />

and just warns the user that the Privacy Policy of the<br />

server does not fit to the user’s requirements. Our<br />

measurements show that PrivAd is an efficient tool.<br />

The negotiation time depends on the strategies used.<br />

We experimentally determined negotiation times of<br />

100-200 ms. Fig. 1 demonstrates the influence of the<br />

network on the negotiation time. It takes about 2 s in<br />

wireless networks but only about 250 ms in a fast wired<br />

LAN.<br />

29


haben Verhandlungsdauern von ca. 100-200 ms ergeben.<br />

Abb. 1 zeigt den Einfluss des Netzwerkes auf die<br />

Verhandlungsdauer. In drahtlosen Netzen beträgt diese<br />

ca. 2 s, während eine Verhandlung über ein schnelles<br />

drahtgebundenes Netzwerk nur ca. 250 ms benötigt.<br />

MONETA bietet die Möglichkeit, Kleinstbeträge für die<br />

Nutzung eines Dienstes abzurechnen. Hierfür werden<br />

e-Coins zwischen dem Dienstnutzer und dem Dienstanbieter<br />

ausgetauscht. Die MONETA-Architektur kommt<br />

daher ohne Payment-Service-Provider aus. Die MONE-<br />

TA e-Coins sind so gestaltet, dass die Identität des Besitzers<br />

nicht sichtbar ist, solange dieser keinen e-Coin<br />

mehrfach zum Bezahlen nutzt. Hierfür wurden die Hidden-Identity-Ansätze<br />

von Brands und Neumann/Baumgart<br />

überarbeitet, insbesondere verwendet MONETA<br />

elliptische Kurven-Kryptographie (ECC) als asymme-<br />

trisches Verschlüsselungsverfahren. Gemeinsam mit<br />

der lesswire AG wurde ein bezahlter ortssensitiver Informationsdienst<br />

auf der Basis von PLASMA und MONETA<br />

realisiert und bei der CeBIT <strong>2005</strong> vorgestellt. Die Leistungsdaten<br />

dieses Demonstrators belegen eindeutig<br />

die Tragfähigkeit des Ansatzes. Die in Tabelle 1 dargestellten<br />

Messergebnisse zeigen sowohl die Effizienz<br />

unserer Lösung für anonyme Bezahlung als auch unserer<br />

Plattform PLASMA für ortsabhängige Dienste.<br />

Sowohl PrivAd als auch MONETA können die im Rahmen<br />

dieses Projektes entwickelten Hardware-Beschleuniger<br />

für AES (Advanced Encryption Standard)<br />

und ECC zur Realisierung weiterer Leistungsverbesserungen<br />

nutzen.<br />

30<br />

Ausgewählte Projekte<br />

Drahtloses Internet<br />

Selected Projects<br />

Wireless Internet<br />

Operation Client PLASMA Service<br />

Location<br />

Forwarding 1) 0.0625 ms --<br />

Location<br />

Query 2)<br />

-- 45 ms<br />

Payment 0.1 ms/coin 3) -- 200 ms/coin 4)<br />

1) : Client sends position to PLASMA<br />

2) : Service requests client position from PLASMA<br />

3) : Client prepares coin 4) : Server checks coin<br />

Tabelle 1: Leistungsparameter für kostenpflichtigen Informationsservice<br />

bei Einsatz der folgenden Geräte: Mobiles Endgerät:<br />

IPAQ 5500 (400 MHz, Xscale Prozessor); Server: PC (2 GHz).<br />

Table 1: Performance of the paid information application running on<br />

the following devices: Client: IPAQ 5550 (400 MHz, Xscale<br />

processor); Server: PC (2 GHz).<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

MONETA makes it possible to pay a small amount of<br />

money for the use of a service. To do this the user<br />

transfers e-coins to the service provider. The architecture<br />

of MONETA does not involve any Payment Provider.<br />

The e-coins are designed in such a manner that<br />

the identity of the owner is not shown unless the owner<br />

uses one MONETA coin more than once. In order to<br />

provide this functionality, approaches from Brands<br />

and Neumann/Baumgart were investigated and adapted.<br />

The MONETA micro payment scheme uses<br />

Elliptic Curve Cryptography (ECC). Together with<br />

lesswire AG we presented the implementation of<br />

MONETA and PLASMA at CeBIT <strong>2005</strong>. The information<br />

about the performance of this demonstrator is shown<br />

in Table 1.<br />

To improve the performance of both approaches mentioned<br />

above, our AES (Advanced Encryption Standard)<br />

and ECC hardware accelerators may be used.<br />

They were also developed as part of the project Mobile<br />

Business Engine.<br />

Seconds<br />

3.0<br />

2.5<br />

2.0<br />

1.5<br />

1.0<br />

0.5<br />

0<br />

accepted<br />

not accepted<br />

PDA WLAN PDA WLAN PC LAN<br />

(~ 45 % Signal) (~ 70 % Signal) (100 Mbit)<br />

Abb. 1: Messergebnisse erfolgreicher und erfolgloser Verhandlungen<br />

bei drahtlosen und drahtgebundenen LAN-Verbindungen.<br />

Fig. 1: Measurement results of successful and unsuccessful<br />

negotiations via WLAN and fixed LAN connections.


BASUMA − Body Area System for Ubiquitious<br />

Multimedia Communication<br />

Drahtlose Sensornetzwerke (WSN) sind sowohl durch<br />

ihr wirtschaftliches als auch durch ihr technologisches<br />

Potential ein vielbeachtetes Feld der gegenwärtigen<br />

Forschung. Sie müssen typischer Weise längere Zeit<br />

ohne Wartung fehlerfrei funktionieren. Anwendungen<br />

und auch Kommunikationsprotokolle für WSNs werden<br />

durch den immer vielschichtigeren Einsatz komplexer.<br />

Es ist deshalb notwendig, energieeffiziente, kleine<br />

drahtlose Sensorknotenmodule zu entwickeln, die<br />

trotzdem zuverlässig arbeiten.<br />

BASUMA ist ein Forschungsprojekt, das sich genau mit<br />

diesen Fragestellungen beschäftigt. Sein Ziel ist es,<br />

eine Plattform für ein drahtloses, körpernahes Funknetzwerk<br />

(BAN) zu entwickeln. Die Plattform besteht<br />

sowohl aus Hardware- als auch aus Software-Komponenten,<br />

die speziell für kleine, verbrauchsarme Systeme<br />

entwickelt werden.<br />

Die sich aus einer solchen Technologie ergebenden<br />

Möglichkeiten sollen beispielhaft in einer telemedizi-<br />

nischen Anwendung demonstriert werden. Dabei werden<br />

einige batteriebetriebene Sensoren verschiedene<br />

Bioparameter des menschlichen Körpers wie EKG,<br />

Puls, Temperatur oder Sauerstoffgehalt des Blutes<br />

aufnehmen. Ein solches BAN eignet sich hervorragend<br />

zur medizinischen Überwachung von chronischkranken<br />

Patienten. Die aufgezeichneten medizinischen Parameter<br />

werden lokal ausgewertet und nur im Bedarfsfall<br />

zum Arzt übertragen.<br />

Die Fortschritte im System-on-Chip-Entwurf und -Fertigung<br />

(SoC) ermöglichen jetzt die Entwicklung von<br />

LEON2<br />

proc.<br />

AHB<br />

Controller<br />

Protocol<br />

Accelerator<br />

Memory<br />

Controller<br />

Abb. 2: Blockdiagram der BASUMA-Hardware-Plattform.<br />

Memory Bus<br />

Ausgewählte Projekte<br />

Drahtloses Internet<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Selected Projects<br />

Wireless Internet<br />

BASUMA − Body Area System for Ubi-<br />

quitious Multimedia Communication<br />

Wireless sensor networks (WSN) have attracted much<br />

research efforts in recent years. Typical WSN applications<br />

target several months or years of unsupervised<br />

operation. Applications as well as communication protocols<br />

for WSNs are becoming increasingly complex<br />

as the processing capabilities of sensor nodes grow.<br />

There is a strong need for reliable, energy-efficient<br />

software and hardware when WSNs shall become economically<br />

successful.<br />

BASUMA is a research project started in 2004 that has<br />

the objective to develop a platform for wireless communication<br />

around the human body. This platform will<br />

consist of hardware and software components that are<br />

specifically designed for small, low-power devices.<br />

The capabilities of the BASUMA platform are to be demonstrated<br />

with a medical application. A number of<br />

battery-powered sensor nodes measuring various bioparameters,<br />

such as heart rate, temperature, or ECG<br />

are attached to the human body and form a wireless<br />

network. This body area (sensor) network (BAN) forms<br />

the basis for long-term monitoring of chronically ill patients.<br />

The signals measured by the sensor nodes are<br />

locally analyzed and the evaluation within a medical<br />

center is only initiated when necessary.<br />

Advances in System-on-Chip (SoC) design and wireless<br />

communication technology enable the development<br />

of tiny, autarkic running sensor nodes that can<br />

be worn on the human body. As shown in Fig. 2 we follow<br />

a modular concept, which is going to be integrated<br />

in a SoC.<br />

IrqCtrl<br />

I/O port<br />

PROM SRAM<br />

Baseband<br />

Processing<br />

Timers<br />

UARTS<br />

APB<br />

AHB<br />

RF<br />

Frontend<br />

AHB/APB<br />

Bridge<br />

Fig. 2: Block diagram of the BASUMA hardware platform.<br />

31


Ausgewählte Projekte<br />

Drahtloses Internet<br />

32<br />

Selected Projects<br />

Wireless Internet<br />

kleinen, autarken Sensorknoten. Wie in Abb. 2 zu sehen<br />

ist, verwenden wir ein modulares Plattformdesign,<br />

wobei alle Module auf einem einzigen Chip integriert<br />

werden.<br />

Die Energieeffizienz der Hardwareplattform wird durch<br />

Hardwarebeschleuniger erreicht, die besonders zeit-<br />

und energiekritische Teile der Signalverarbeitung, der<br />

Kommunikationsprotokolle und allgemein verwendeter<br />

Funktionen als Hardware realisieren. Beispielsweise<br />

wurde gezeigt, dass eine Hardware-CRC-Generierung<br />

(Cyclic Redundancy Check) 238 mal schneller ausgeführt<br />

wird als eine vergleichbare Softwareimplementierung,<br />

die auf einem 50- MHz-LEON2-Prozessor läuft.<br />

Um die Zeitvorgaben des Kommunikationsprotokolls<br />

zu treffen, müsste eine CRC-Softwareimplementierung<br />

auf einen mit 704 MHz getakteten LEON2-Prozessor<br />

ausgeführt werden. Die Hardwareimplementierung benötigt<br />

einen Takt von lediglich 2,9 MHz. Da der Stromverbrauch<br />

proportional zur Taktfrequenz ist, kann man<br />

von einer beträchtlichen Energieersparnis ausgehen.<br />

Des Weiteren wird ein Energiemanagement-Modul entwickelt,<br />

das vor allem die Leckströme in den Phasen<br />

mit Inaktivität reduziert, in denen weder Sensormessungen<br />

noch Kommunikation stattfinden. Abb. 3 zeigt<br />

für unterschiedliche Aktivitäts/Ruhe-Verhältnise deutlich,<br />

dass der Energieverbrauch durch Leckströme einen<br />

Anteil von bis zu 90 % erreichen kann.<br />

Zu den methodischen Arbeiten in diesem Projekt gehört<br />

die Entwicklung eines Werkzeugs, das eine automatische<br />

Transformation von quasiformalen SDL-Kommunikationsprotokoll-Spezifikationen<br />

in eine effiziente<br />

Implementierung erlaubt. Das ist insofern von Bedeutung,<br />

als hierdurch die Fehleranfälligkeit und der<br />

Zeitaufwand für die Implementierung des validierten<br />

SDL-Modells erheblich verbessert und trotzdem eine<br />

gewisse Effizienz erreicht wird. Tabelle 2 zeigt die Verbesserung<br />

gegenüber dem Standardtool für SDL-Spezifikationen,<br />

Telelogic TAU RTE.<br />

Number of Signals <strong>IHP</strong> Approach Telelogic TAU RTE<br />

1000 0.050 s 0.120 s<br />

3000 0.140 s 0.360 s<br />

8000 0.400 s 0.980 s<br />

Tabelle 2: Zeitdauer für den Austausch von Signalen zwischen zwei<br />

Prozessen.<br />

Table 2: Exchange time for signals between two processes.<br />

J A H R E S B E R I C H T 2 0 0 4 | I H P A N N U A L R E P O R T<br />

We use hardware accelerators to achieve a high energy-efficiency<br />

of the hardware platform. These accelerators<br />

perform otherwise time and energy-consuming<br />

tasks. For example, we have shown that a hardware<br />

implementation of a CRC (Cyclic Redundancy Check)<br />

is 238 times as fast as an equivalent software implementation<br />

running on a 50 MHz LEON2 processor. To<br />

perform the CRC computation in the time limits given<br />

by the communication protocol, the LEON2 processor<br />

would have to run at 704 MHz, whereas the hardware<br />

implementation needs only 2.9 MHz. The energy consumption<br />

is directly proportional to the clock speed,<br />

corroborating the assumption of a high energy reduction<br />

potential of this approach. Furthermore, we develop<br />

an energy management module to reduce the<br />

leakage power consumed during inactive phases of<br />

the sensor node platform, which occur if there are no<br />

sensor measurements or sensor node communication.<br />

Fig. 3 shows for different duty cycles that the leakage<br />

energy can have a share of 90 % of the overall energy<br />

consumption.<br />

In this project we also developed a method that automatically<br />

transforms a formal SDL specification of a<br />

communication protocol into an efficient implementation.<br />

The importance of this approach can be found in<br />

an improvement of the system robustness and reduction<br />

of the implementation time of formally specified<br />

and validated protocols. Moreover, we achieve a much<br />

better efficiency. Table 2 demonstrates the improvement<br />

compared to the standard tool for SDL-Specifications,<br />

Telelogic TAU RTE.<br />

Leakage Loss (%)<br />

100<br />

90<br />

80<br />

70<br />

60<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

Leakage Power<br />

Contribution<br />

20 10 1 0.1<br />

Duty Esleep/Etotal Cycle (%)<br />

(%)<br />

Abb. 3: Leckleistungsverlust vs. Aktivitäts/Ruhe-Verhältnis.<br />

Fig. 3: Leakage loss vs. duty cycle.<br />

1 %<br />

0.2 %<br />

0.1 %<br />

0.01 %<br />

0.02 %


WIGWAM − Wireless Gigabit with<br />

Advanced Multimedia Support<br />

Ziel des <strong>IHP</strong> im Rahmen dieses Verbundprojektes ist<br />

es, ein im 60-GHz-Band arbeitendes Höchstgeschwindigkeits-Kommunikationssystem<br />

mit Datenraten oberhalb<br />

von 1 Gbps zu entwerfen und prototypisch zu implementieren.<br />

Die wesentlichen Beiträge des <strong>IHP</strong> sind<br />

die Entwicklung des analogen Frontends (AFE), des<br />

Basisbandprozessors (BB) und des Medium Access<br />

Control Prozessors (MAC). Die Funktionsweise des<br />

Systems soll mit Hilfe eines Demonstrators nachgewiesen<br />

werden.<br />

Der Basisbandteil im Sender ist primär für die Kodierung<br />

und digitale Modulation der Nachrichten und damit<br />

verbundene Signalformung des IQ-Sendestromes<br />

verantwortlich. Im Empfänger wird das ankommende<br />

Nachrichtensignal analysiert, demoduliert und dekodiert.<br />

Hierfür muss das Empfangssignal mit ausreichender<br />

Genauigkeit synchronisiert werden. Im Laufe<br />

des Projektes wurde ein MATLAB-Simulationsmodell<br />

entwickelt, welches nicht nur den Basisbandteil abbildet,<br />

sondern auch Störeinflüsse des analogen Frontends<br />

sowie den Einfluss der Übertragungsstrecke<br />

modelliert. Algorithmen zur Synchronisation und Kanalschätzung<br />

sind mit Hilfe dieses Modells erfolgreich<br />

getestet worden. Die funktionalen Blöcke der Basis-<br />

Interpolation<br />

Filter<br />

IFFT<br />

Encoder<br />

Encoder<br />

Encoder<br />

SP<br />

APC<br />

D/A<br />

Mapper<br />

Buffler,<br />

Central Control<br />

Interleaver<br />

Interleaver<br />

Interleaver<br />

AFE<br />

Abb. 4: Architektur des OFDM Basisbandprozessors.<br />

CRC<br />

CRC<br />

CRC<br />

Viterbidecoder<br />

Viterbidecoder<br />

Viterbidecoder<br />

Interleaver<br />

Interleaver<br />

Interleaver<br />

Ausgewählte Projekte<br />

Drahtloses Internet<br />

Selected Projects<br />

Wireless Internet<br />

WIGWAM − Wireless Gigabit with<br />

Advanced Multimedia Support<br />

The goal of the <strong>IHP</strong> in this cooperative project is to develop<br />

an ultra-high-speed communication system with<br />

data rates beyond 1 Gbps, operating in the 60 GHz<br />

band. Developing and implementing the analog frontend<br />

(AFE), the baseband processor (BB) and a highthroughput<br />

medium access control processor (MAC)<br />

are the main contributions of <strong>IHP</strong>. The operation of the<br />

system will be verified by a demonstrator.<br />

The baseband part in the transmitter is primarily responsible<br />

for coding and digital modulation of the information<br />

stream forming the IQ baseband signal. In<br />

the receiver, the signal is analysed, demodulated and<br />

decoded. For the receiver to work accurately, precise<br />

synchronization is mandatory. During the project, a<br />

MATLAB model has been developed for the simulation<br />

of the baseband processor. Impairments of the analog<br />

frontend and the radio channel are included in this<br />

model. Synchronization and channel estimation has<br />

been tested successfully using the simulation model.<br />

The functional blocks are realized as VHDL blocks and<br />

mapped on a commercial FPGA platform. The major<br />

blocks have been successfully realized: Fast Fourier<br />

Transformation (FFT), Viterbi decoder, interpolation filter<br />

and decimation filter, interleaver and deinterleaver.<br />

Deinterleaver<br />

Deinterleaver<br />

Mapper<br />

P/S Demapper<br />

Deinterleaver<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 33<br />

AFE<br />

FFT<br />

AGC<br />

D/A<br />

Channel<br />

estimation<br />

& correction<br />

Phase<br />

correction<br />

Buffer<br />

Fig. 4: Architecture of the OFDM baseband processor.<br />

Decimation<br />

Filter<br />

Buffer<br />

coarse SYNC<br />

FINE SYNC


Ausgewählte Projekte<br />

Drahtloses Internet<br />

34<br />

Selected Projects<br />

Wireless Internet<br />

bandverarbeitung werden auf einer kommerziellen<br />

FPGA-Plattform mit synthetisierten VHDL-Modulen realisiert.<br />

Die wesentlichen Module sind bereits erfolgreich<br />

implementiert worden. Dazu gehören eine schnelle<br />

Fouriertransformation (FFT), ein Viterbi-Dekoder, Interpolations-<br />

und Dezimationsfilter sowie Interleaver und<br />

Deinterleaver. Neben der Entwicklung des OFDM-Basisbandprozessors<br />

wurden auch geeignete Parameter der<br />

physikalischen Schicht (PHY) spezifiziert und für den<br />

Übertragungskanal optimiert. In Abb. 4 ist die Architektur<br />

des Basisbandprozessors dargestellt.<br />

Die 60-GHz-Empfänger- und Senderchips wandeln das<br />

60-GHz-Signal in ein Zwischenfrequenzsignal (ZF) von<br />

etwa 5 GHz bzw. umgekehrt. Dies erfordert einen frequenzstabilen<br />

Lokaloszillator bei etwa 55 GHz. Wir haben<br />

diese Anforderung dadurch gelöst, dass wir eine<br />

Phase-locked Loop (PLL) zusammen mit einem rauscharmen<br />

Verstärker und einem Gilbert-Mischer auf demselben<br />

Chip integriert haben. Abb. 5 zeigt das Layout und<br />

die Konversions-Verstärkung des Empfänger-Chips.<br />

LNA mixer<br />

PLL<br />

Die Umwandlung des ZF-Signals in das Basisband und<br />

umgekehrt erfordert einen Empfänger- und einen Senderchip<br />

ähnlich zu einem 802.11a-Frequenzkonverter.<br />

Diese Chips wurden unter Benutzung eines 5-GHz-<br />

Quadratursignals, abgeleitet aus einem 10-GHz-VCO<br />

durch Frequenzteilung in Verbindung mit einem Einseitenbandmischer<br />

und einem regelbaren Verstärker<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

The 60 GHz broadband transmission is based on OFDM<br />

as the basic modulation technique. Besides the development<br />

of the baseband processor, the main para-<br />

meters of the physical layer (PHY) have been specified,<br />

and some optimizations have been applied. In<br />

Fig. 4, an overview of the baseband architecture is<br />

given.<br />

The 60 GHz receiver and transceiver chips convert<br />

the 60 GHz signal to an intermediate frequency (IF) of<br />

about 5 GHz or vice versa, respectively. This requires<br />

a stable local oscillator frequency around 55 GHz. We<br />

have realized this requirement by using a phase-locked<br />

loop (PLL), which has been integrated with a low-noise<br />

amplifier (LNA) and a Gilbert mixer on the same chip.<br />

Fig. 5 shows the layout and the measured conversion<br />

gain of the receiver chip.<br />

The conversion of the IF signal to baseband and vice<br />

versa requires a receiver and transceiver chip similar<br />

to an 802.11a direct down-converter and direct upconverter.<br />

These chips have been implemented using<br />

Conversion Gain (dB)<br />

35<br />

25<br />

Measurement<br />

Simulation<br />

15<br />

56 58 60 62 64 66<br />

RF Frequency (GHz)<br />

Abb. 5: Vollintegrierter 60-GHz-Empfänger-Chip (Layout und Konversions-Verstärkung).<br />

Fig. 5: Fully integrated 60 GHz receiver chip (layout and conversion<br />

gain).<br />

an integrated quadrature 5 GHz signal derived from a<br />

10 GHz VCO by frequency division in conjunction with<br />

a single-sideband mixer and a variable-gain amplifier.<br />

The IF chips were successfully tested. They provide<br />

a sideband-rejection of 38 dB over a wide frequency<br />

range. Fig. 6 shows the output spectra of the receiver<br />

and the transmitter.<br />

<strong>IHP</strong> actively contributes to the emerging 60 GHz standard<br />

IEEE 802.15.3c.


ealisiert. Diese ZF-Chips wurden erfolgreich getestet<br />

und liefern eine Seitenbandunterdrückung von 38<br />

dB über einen weiten Frequenzbereich. Die Ausgangsspektren<br />

vom Empfänger und Sender sind in Abb. 6<br />

dargestellt.<br />

Durch das <strong>IHP</strong> wurden aktiv Beiträge zum entstehenden<br />

60-GHz-Standard IEEE 802.15.3c geleistet.<br />

Abb. 6: Ausgangsspektrum von Empfänger (links) und Sender<br />

(rechts).<br />

Fig. 6: Output spectrum of the receiver (left) and transmitter<br />

(right).<br />

Ausgewählte Projekte<br />

Drahtloses Internet<br />

Selected Projects<br />

Wireless Internet<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 35


Ausgewählte Projekte<br />

Drahtloses Internet<br />

36<br />

Selected Projects<br />

Wireless Internet<br />

Integrierter Radar-Chip Integrated Radar Chip<br />

Ziel des Projektes ist es, integrierte Radar-Schaltungen<br />

zu entwickeln, die trotz der hohen Komplexität auf<br />

einem oder wenigen Chips integriert werden können.<br />

Radartechniken finden immer mehr Eingang in den<br />

Alltag einer modernen Gesellschaft. So funktionieren<br />

z. B. Alarmanlagen, automatische Sanitär-Systeme<br />

oder Tür-öffner auf der Grundlage von neuen Radar-<br />

Techniken. Auch im Bereich Sicherheitstechnik, beispielsweise<br />

in fahrerlosen U- und S-Bahn-Systemen<br />

und anderen automatisch betriebenen Anlagen, setzen<br />

sich Radarsysteme wegen ihrer großen Zuverlässigkeit<br />

und hohen Genauigkeit zunehmend durch. In<br />

privaten PKW werden im Jahre 2010 bis zu 10 Radarsysteme<br />

eingebaut sein.<br />

Diese Radarsysteme werden heute zum großen Teil auf<br />

der Basis von GaAs-Bauelementen mit vielen diskreten<br />

Bauteilen hergestellt. Mit der im <strong>IHP</strong> entwickelten SiGe-<br />

Technologie wird es möglich, die Radar-Schaltungen<br />

kostengünstiger und mit einem größeren Integrationsniveau<br />

zu realisieren. Die ultimative Lösung ist die Integration<br />

eines vollständigen Radar-Systems auf einem<br />

Chip. Nur die Antennen werden dann außerhalb des<br />

Chips angebracht. Für Anwendungen im 24-GHz-ISM-<br />

Bereich (reserviertes Frequenzband für Industrie, Wissenschaft<br />

und Medizin) wurden im <strong>IHP</strong> mehrere integrierte<br />

Schaltungen für industrielle Partner entwickelt.<br />

Daneben wurden auch vorausschauende Forschungen<br />

für weit in der Zukunft liegende Anwendungen berücksichtigt.<br />

Abb. 7 zeigt das Blockschaltbild eines integrierten<br />

Radarsystems. Es besteht aus einem Sendeteil und<br />

einem Empfangsteil. Beide Teile besitzen je einen<br />

24-GHz-Oszillator. Die beiden Oszillatoren sind über<br />

die Vctrl-Leitung synchronisiert, so dass sie exakt die<br />

gleiche Schwingfrequenz besitzen. Der Empfangsteil<br />

wurde als Prototyp in der SGB25VD-Technologie des<br />

<strong>IHP</strong> hergestellt und analysiert. Abb. 8 zeigt das Chip-<br />

Foto. Man erkennt, dass die Bond-Pads mit Lotkugeln<br />

versehen sind, die eine direkte Verbindung des Chips<br />

mit einer Leiterplatte gewährleisten.<br />

In Abb. 9 sind einige Messungen an dem integrierten<br />

Schaltkreis dargestellt. In dem linken Diagramm erkennt<br />

man den breiten Abstimmbereich des integrierten<br />

Oszillators, der von 22 GHz bis 25,8 GHz reicht.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

The goal of the project is the development of complex<br />

radar circuits integrated on a single chip or at most<br />

on a few chips.<br />

More and more, radar techniques are penetrating our<br />

everyday life. For example the working principle of<br />

alarm systems, automatic sanitary systems or door<br />

openers is based on new radar systems. Also in the<br />

security field, e.g. in driverless high-speed railroads<br />

and subway, radar systems will be installed. They will<br />

be used because of their inherent reliability and accuracy.<br />

Up to ten radar systems will be installed in private<br />

cars in the year 2010.<br />

Today many radar systems are produced by using<br />

GaAs devices with a huge number of discrete elements<br />

on the printed circuit board. With the SiGe technologies<br />

of the <strong>IHP</strong> it is possible to produce radar circuits<br />

more cost-effective and with a higher level of integration.<br />

The ultimate solution is the fully integrated radar<br />

system on a single chip. Only the antennas will be<br />

placed outside the chip. For applications in the 24 GHz<br />

ISM band (reserved frequency region for non-commercial<br />

use for industrial, scientific and medical purposes)<br />

the <strong>IHP</strong> developed several integrated circuits for<br />

industrial partners. Besides this, foresighted research<br />

works concerned with applications in the more distant<br />

future were considered.<br />

Fig. 7 shows the block schematic of an integrated radar-system.<br />

It consists of a transmitter part and a receive<br />

part. Both parts are comprised of a 24 GHz oscillator.<br />

The two oscillators are synchronized by the<br />

Vctrl line connecting the two chips. With this, the two<br />

oscillators possess exactly the same oscillation frequency.<br />

The receive part was fabricated and tested<br />

in the SGB25VD technology of the <strong>IHP</strong>. Fig. 8 shows<br />

the chip photo. On the bond pads we can see bumps<br />

for direct soldering of the chip to the printed circuit<br />

board.<br />

Fig. 9 shows some measurement results for the integrated<br />

circuit. In the left diagram, we can see the wide<br />

tuning range of the integrated oscillator from 22 GHz<br />

to 25.8 GHz. The other diagram shows the gain of the<br />

signal derived from the antenna as a function of the<br />

oscillator frequency. In this process, the receive-signal<br />

is down-converted to a much lower intermediate


In dem rechten Diagramm ist die Verstärkung des von der<br />

Antenne kommenden Signals in Abhängigkeit von der Oszillator-Frequenz<br />

dargestellt. Dabei wird in dem Mischer<br />

die Empfangsfrequenz auf eine niedrige Zwischen-<br />

Transmitter<br />

Abb. 7: Die Systemarchitektur des integrierten Radar-Chips.<br />

Fig. 7: The system architecture of the integrated radar chip.<br />

frequenz heruntergemischt. Das Verhältnis der an die<br />

50-Ohm-Last gelieferten Leistung zu der Leistung von<br />

der 24-GHz-Quelle bezeichnet man als Conversion-<br />

Gain. Man erkennt an dem flachen Frequenzverhalten,<br />

dass der Schaltkreis über einen breiten Frequenzbereich<br />

verwendbar ist.<br />

Frequency (GHz)<br />

oscillator 1<br />

Vctrl synchronisation<br />

Receiver MMIC<br />

oscillator 2<br />

IF signal<br />

26<br />

25<br />

24<br />

23<br />

22<br />

21<br />

0<br />

0.5<br />

amplifier<br />

1.0<br />

power amplifier<br />

V ctrl (V)<br />

mixer<br />

1.5<br />

LPF<br />

2.0<br />

2.5<br />

transmit<br />

antenna<br />

LNA receive<br />

antenna<br />

3.0<br />

3.5<br />

Abb. 9: Abstimmbereich und Verstärkung in Abhängigkeit von der<br />

Oszillatorfrequenz.<br />

Ausgewählte Projekte<br />

Drahtloses Internet<br />

Selected Projects<br />

Wireless Internet<br />

frequency. The conversion gain of the receiver is the<br />

power delivered to a 50 Ohm load at the IF-port divided<br />

by the power from the 24 GHz RF-source. It can<br />

be seen that there is a very flat frequency response<br />

gnd<br />

RF input<br />

mixer<br />

filter<br />

Vctrl<br />

24 GHz<br />

oscillator<br />

gnd IF out<br />

gnd<br />

indicating the possible use of the front-end MMIC over<br />

a wide frequency range.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 37<br />

gnd<br />

Vcc<br />

Abb. 8: Chip-Foto des Radar-Frontend (Flip-Chip vorbereitet).<br />

Fig. 8: Chip photo of the flip-chip ready integrated front-end.<br />

Gain (dB)<br />

10<br />

8<br />

6<br />

4<br />

2<br />

0<br />

22 23 24 25 26<br />

f LO (GHz)<br />

Fig. 9: Tuning range and gain as a function of LO frequency.


38<br />

Ausgewählte Projekte<br />

Drahtloses Internet<br />

Ultraschnelle A/D- und D/A-Wandler Ultra-Fast A/D and D/A Converters<br />

Analog-Digital-Wandler (ADC) und Digital-Analog-Wandler<br />

(DAC) bilden die Schnittstelle zwischen den zwei<br />

Welten der Signalverarbeitung, der analogen, in der<br />

die Signale in Zeit und Amplitude kontinuierlichen Werteverlauf<br />

haben und mit analogen Schaltungen wie Verstärkern<br />

und Filtern verarbeitet werden, und der digitalen,<br />

in der die Signale Ströme binärer Daten sind<br />

und mit Logikschaltungen, Prozessoren und Software<br />

verarbeitet werden. Wurden ADC und DAC früher vor<br />

allem in Messgeräten eingesetzt, verrichten sie heute<br />

in fast jedem elektronischen Gerät unauffällig ihre<br />

Dienste und vermitteln zwischen digitalen Systemen<br />

und analoger Umwelt.<br />

Zwei wesentliche Motive treiben die Anforderungen an<br />

die Geschwindigkeit von ADC und DAC immer weiter<br />

in die Höhe: Stetig wachsende Datenraten sowie die<br />

Verlagerung der A/D- und D/A-Schnittstellen elektronischer<br />

Systeme zu immer höheren Frequenzen.<br />

Basierend auf den hervorragenden Eigenschaften der<br />

SiGe-BiCMOS-Technologie des <strong>IHP</strong> zielt das ADC-DAC-<br />

Projekt am <strong>IHP</strong> auf den ultraschnellen Bereich weit jenseits<br />

von einer Milliarde Abtastungen (Giga-Samples)<br />

Analog<br />

Signal<br />

Abb. 10: Funktionsgruppen eines A/D-Wandlers.<br />

Selected Projects<br />

Wireless Internet<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

A/D and D/A converters (ADCs and DACs) are interfacing<br />

the two worlds of electronic signal processing.<br />

Having been used mainly in measurement technology<br />

in earlier years, they can be found now in most electronic<br />

devices.<br />

Two main reasons cause pressure of ADCs and DACs<br />

towards higher sampling rate: The continued growth<br />

of communication data rate and the ongoing shift of<br />

the A/D interface of electronic systems to higher radio<br />

frequencies.<br />

Based on the outstanding parameters of the SiGe<br />

BiCMOS technology of the <strong>IHP</strong>, the ADC-DAC project<br />

of the <strong>IHP</strong> is aimed at the ultra fast range well beyond<br />

one Gigasamples per second. Here, the <strong>IHP</strong> has<br />

some special advantages, e.g. the vertical research<br />

approach including expertise on various fields and the<br />

unique complementary technology providing pnp transistors<br />

with f max beyond 100 GHz.<br />

The medium-term goal is to achieve ADCs and DACs at<br />

8 bit resolution and 10 GSps sampling rate. This is five<br />

times faster than commercially available chips.<br />

Sampling Quantising Encoding<br />

Time domain<br />

quantisation<br />

- Discrete time:<br />

Track-and-Hold<br />

Amplifier (THA)<br />

- Critical for speed<br />

and accuracy<br />

Amplitude domain<br />

quantisation<br />

- Discrete amplitude:<br />

Various architectures<br />

depending on speed / resolution<br />

- Critical for chip area, power<br />

dissipation and accuracy<br />

Fig. 10: Functional blocks of an ADC.<br />

0 1 0 1 1<br />

1 0 1 0 1<br />

1 1 1 1 0<br />

Digital<br />

Data stream


pro Sekunde. SiGe-BiCMOS ist hier die Technologie<br />

der Wahl. Das <strong>IHP</strong> hat dabei einige besondere Vorteile,<br />

die u.a. in seiner vertikalen Forschungsausrichtung<br />

und der damit verbundenen viele Fachgebiete umfassenden<br />

Expertise liegen, und weil es weltweit die einzige<br />

komplementäre Technologie anbieten kann, die<br />

nicht nur npn-Transistoren, sondern auch pnp-Transistoren<br />

mit einer Frequenzgrenze f max von über 100 GHz<br />

bietet.<br />

Als mittelfristiges Ziel sollen ADC und DAC mit 8-Bit-<br />

Auflösung und 10 GSps Abtastrate erreicht werden,<br />

fünfmal schneller als auf dem Markt derzeit erhältlich.<br />

f sample<br />

(GHz)<br />

f in<br />

(GHz)<br />

Input<br />

(V pp )<br />

ENOB<br />

(bit)<br />

BW<br />

(GHz)<br />

Supply<br />

Tabelle 3: Abtast- und Halteverstärker in SiGe (> 5 Bit, > 1 GSps).<br />

(V)<br />

Abb. 10 stellt dar, dass die Abtastrate bei einer gewünschten<br />

Genauigkeit von 6 Bit und mehr durch die<br />

Zeit-Quantisierung begrenzt wird. Ein geeigneter Abtast-<br />

und Halteverstärker (Track-and-Hold-Amplifier,<br />

THA) war deshalb das erste Ziel des ADC-DAC-Projekts<br />

des <strong>IHP</strong>. Innerhalb nur eines Jahres wurden dabei bereits<br />

Weltrekorde wie in Tabelle 3 dargestellt erreicht:<br />

Die höchsten Abtastraten in der Klasse ab 6 Bit erreichen<br />

die beiden THA des <strong>IHP</strong> (in den farbig hervorgehobenen<br />

Zeilen). Der eine, etwas genauere, wurde<br />

in Kooperation mit Prof. Cressler, Georgia Tech, USA,<br />

entwickelt, der andere, wesentlich stromsparendere,<br />

direkt am <strong>IHP</strong>. Normiert man den Energieverbrauch<br />

wie in der Formel in Abb. 11 angegeben, so sieht man,<br />

dass der stromsparende THA des <strong>IHP</strong> bei weitem der<br />

effektivste ist. Dies ist ein wichtiger Vorteil, wenn mehrere<br />

ADC auf einem Chip untergebracht werden sollen,<br />

oder in mobilen Anwendungen.<br />

Wird hohe Linearität eines THA bei hoher Geschwindigkeit<br />

und gleichzeitig großer Signalamplitude gefor-<br />

Ausgewählte Projekte<br />

Drahtloses Internet<br />

Selected Projects<br />

Wireless Internet<br />

Fig. 10 shows that the sampling rate is limited by the<br />

Track-and-Hold-Amplifier (THA) at six and more bits<br />

of accuracy. Therefore the first focus was set on designing<br />

appropriate THAs. As shown in Table 3, world<br />

records have been achieved within the first project<br />

year: The <strong>IHP</strong> THAs have the highest sampling rate<br />

in the >5 bits class. The upper one of the <strong>IHP</strong> THAs<br />

is more accurate. It results from a co-operation with<br />

Prof. Cressler, Georgia Tech, USA. The lower one has<br />

been designed directly at the <strong>IHP</strong>. It is the most effective<br />

THA in terms of normalized power consumption,<br />

as shown in Fig. 11, which is important for interleaved<br />

ADCs or mobile applications.<br />

Pdiss<br />

(W)<br />

Process / f T<br />

(GHz)<br />

Author<br />

2 0.9 0.8 8 0.9 -3.3 0.55 SiGe/65 Vessal, Salama 2004<br />

4 8 0.6 6 10 5.2 0.55 SiGe/45 Jensen, Larson 2000<br />

12.1 1.5 1 8 5.5 3.5 0.7 SiGe/200 <strong>IHP</strong> Lu et al. <strong>2005</strong><br />

10 1 1 6.8 2.7 3.3 0.03 SiGe/200 <strong>IHP</strong> Borokhovych et al., <strong>2005</strong><br />

<strong>IHP</strong> Lu et al., <strong>2005</strong> <strong>IHP</strong> Borokhovych et al., <strong>2005</strong><br />

Table 3: Track-and-Hold-Amplifiers in SiGe technology (> 5 bit,<br />

> 1 GSps).<br />

FOM (pJ)<br />

2.5<br />

2.0<br />

1.5<br />

1.0<br />

0.5<br />

0<br />

Vessal<br />

P diss<br />

FOM = 2·2 ENOB ·ERBW<br />

Jensen<br />

<strong>IHP</strong><br />

Lu et al.<br />

<strong>IHP</strong><br />

Borokh.<br />

Abb. 11: Abtast- und Halteverstärker im Vergleich des normierten<br />

Energieverbrauchs.<br />

Fig. 11: Track-and-Hold-Amplifiers with normalized power<br />

dissipation.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 39


dert, dann stoßen die bisherigen THA-Schaltungen an<br />

ihre Grenzen. Ein Ausweg ist, den THA ausschließlich<br />

aus Emitterfolgern aufzubauen, die sehr gute Linearität<br />

aufweisen. Hierzu benötigt man neue THA-Schaltungen<br />

mit schnellen pnp-Transistoren, (Q1 und Q2 in<br />

Abb. 12). Wie die Grafik in Abb. 13 zeigt, ist ein solcher<br />

aus komplementären Emitterfolgern aufgebauter<br />

THA ab etwa 1 V Signalamplitude der üblichen npn-Differenzstufe<br />

hinsichtlich der Verzerrungen, die von der<br />

dritten Harmonischen der Grundwelle dominiert werden,<br />

überlegen.<br />

Das kleine Team des ADC-DAC-Projekts am <strong>IHP</strong> ist optimistisch,<br />

dass auch die anderen Funktionsblöcke für<br />

ultraschnelle ADC und DAC mit Weltrekordparametern<br />

erreicht werden. Nächste Ziele sind ADC von 3- bis 4-Bit-<br />

Auflösung mit sehr geringer Verlustleistung bei 10 bis<br />

20 GSps, wie sie für drahtlose Kommunikation im Ultra-Wide-Band-Bereich<br />

gebraucht werden, D/A-Wandler<br />

bei 10 GSps sowie schließlich ADC bei 10 GSps und<br />

8-Bit-Auflösung.<br />

40<br />

Ausgewählte Projekte<br />

Drahtloses Internet<br />

Abb. 12: Neuer komplementärer Abtast- und Halteverstärker.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

If high THA linearity is required at both high speed and<br />

large signal amplitude, then the common state of the<br />

art of THAs is at its limits. One solution is to build the<br />

THA completely from emitter followers, requiring very<br />

fast pnp transistors (Q1 and Q2 in Fig. 12). In Fig. 13<br />

it can be seen that this new type of THA is superior in<br />

linearity beyond 1 Volt of signal amplitude.<br />

Input buffer SEF1 SEF2<br />

Input buffer<br />

R1<br />

Level shifter<br />

Selected Projects<br />

C ff<br />

Inp Inn<br />

I<br />

Wireless Internet<br />

C H<br />

T Q4 Q5 T<br />

T Q7 Q8 H<br />

C H<br />

C ff<br />

Q3 Q6<br />

Q1 Q2<br />

Abb. 13: Nichtlinearität des komplementären Emitterfolgers im<br />

Vergleich zur üblichen Differenzstufe.<br />

Fig. 13: Nonlinearity of the complementary emitter follower versus<br />

common differential stage.<br />

I<br />

The small ADC-DAC project team at the <strong>IHP</strong> is convinced<br />

that the other blocks of an ultra-fast ADC can<br />

also be designed at world record level. Next goals are<br />

low-power ADCs at 3-4 bits (needed for Ultra-Wide-<br />

Band communication), DACs at 10 GSps and eventually<br />

ADCs at 10 GSps and 8 bits resolution.<br />

R2<br />

Level shifter<br />

Fig. 12: New complementary Track-and-Hold-Amplifier.<br />

3rd Harmonic (dBc)<br />

-30<br />

-40<br />

-50<br />

-60<br />

-70<br />

-80<br />

-90<br />

pnp-npn EF<br />

npn diff amp<br />

0.2 0.4 0.6Input<br />

0.8 1.0 (Vpp) 1.2 1.4 1.6 1.8 2.0<br />

Input (Vpp)


Technologieplattform/<br />

Technology Platform<br />

SiGe-BiCMOS-Technologie für<br />

77/79 GHz Auto-Radar<br />

(Projekt KOKON)<br />

Im Rahmen des B<strong>MB</strong>F-Verbundprojektes KOKON werden<br />

spannungsgesteuerte Oszillatoren (VCO) mit Leistungsverstärkern<br />

für 77/79 GHz Radarsignalgeneratoren in<br />

der SiGe-BiCMOS-Technologie des <strong>IHP</strong> entwickelt.<br />

Radarsysteme in modernen Autos erhöhen sowohl die<br />

Sicherheit (Stop-and-go-Funktion, Kollisionswarnung),<br />

als auch den Fahrkomfort (Parkhilfe). Leider sind heutige<br />

Systeme entweder sehr teuer oder wie das kürzlich<br />

eingeführte 24-GHz-System aus frequenzregulatorischen<br />

Gründen nur Interimslösungen (in der EU<br />

zu ersetzen ab 2013). Eine sinnvolle Alternative sind<br />

Schaltungen in SiGe-Technologie für die zwei Radarvarianten:<br />

• 77-GHz-Weitbereichsradar<br />

• 79 +/- 2-GHz-Ultra-Weitband-Nahbereichsradar<br />

Die anspruchsvollen Anforderungen der Automobilindustrie<br />

betreffen dabei nicht nur die technischen Parameter<br />

(hohe Ausgangsleistung), sondern insbesondere<br />

die Zuverlässigkeit der Systeme von -40 °C bis<br />

125 °C.<br />

Im Rahmen des Projektes soll nicht nur geprüft werden,<br />

ob die mit den Automobilzulieferern vereinbarten<br />

Spezifikationen erreicht werden. Wesentlich sind auch<br />

der Vergleich mit einer alternativen Technologieplattform<br />

(Infineon SiGe-Bipolar-Technologie) und die Untersuchung<br />

von Temperaturstabilität und Zuverlässigkeit<br />

der Halbleiterbauelemente und Schaltungen.<br />

Für die Technologie SG25H1 des <strong>IHP</strong> werden spannungsgesteuerte<br />

Oszillatoren entworfen, die sich an<br />

den Spezifikationen des Projektes orientieren. Die bisherigen<br />

differentiellen Schaltungen liefern Ausgangsleistungen<br />

bis zu +4 dBm (3 mW) und zusammen mit<br />

einem einstufigen Leistungsverstärker (Abb. 14) werden<br />

bis zu +9 dBm (9 mW) erreicht.<br />

Die Anforderungen der Automobilindustrie bezüglich<br />

Temperaturstabilität und Zuverlässigkeit verlangen<br />

einen großen Aussteuerbereich des VCO von 10 GHz<br />

Ausgewählte Projekte<br />

Technologieplattform<br />

SiGe BiCMOS Technology for<br />

77/79 GHz Automotive Radar<br />

(KOKON Project)<br />

Selected Projects<br />

Technology Platform<br />

Within the framework of the B<strong>MB</strong>F funded project<br />

KOKON (“cocoon”) the <strong>IHP</strong> is going to develop voltage<br />

controlled oscillators (VCO) with power amplifier<br />

for 77/79 GHz radar signal generators within its SiGe<br />

BiCMOS technology.<br />

Radar sensors in modern cars enhance safety (“stopand-go”<br />

function, collision warning) and comfort (parking<br />

aid). Unfortunately, today’s systems are either<br />

very expensive or like the 24 GHz system just interim<br />

solutions (in the EU to be replaced from 2013). Promising<br />

alternative solutions are circuits in SiGe technology<br />

for two radar variants:<br />

• 77 GHz long range radar<br />

• 79 +/- 2 GHz short range ultra-wideband radar<br />

The challenging demands of the automotive industry do<br />

not just affect technical parameter (high output power)<br />

but also system reliability from -40 °C up to 125 °C.<br />

Besides meeting the specifications defined in the project<br />

the main target is the comparison of different technological<br />

platforms (<strong>IHP</strong> to Infineon’s SiGe bipolar process)<br />

and the investigation of temperature stability and<br />

reliability.<br />

Voltage controlled oscillators were designed for <strong>IHP</strong>’s<br />

SG25H1 technology according to the specifications of<br />

the project. Present differential circuits deliver output<br />

powers up to +4 dBm (3 mW) and combined with a single<br />

stage buffer up to +9 dBm (9 mW) (Fig. 14).<br />

The automotive suppliers demand sufficient temperature<br />

stability and reliability. For this a large tuning range<br />

of 10 GHz and low change of oscillator frequency<br />

and output power up to 125 °C are required. Fig. 15<br />

shows the oscillator characteristics with a tuning range<br />

of 9 GHz and an oscillator frequency drift of only<br />

-2 GHz per 100 K. These results were received mainly<br />

by the combination of SiGe HBT and the nMOS varactors<br />

of the CMOS module.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 41


zw. geringe Änderung der Oszillatorfrequenz und<br />

Ausgangsleistung bis 125 °C. Abb. 15 zeigt die Kennlinie<br />

eines <strong>IHP</strong>-VCO mit einem Aussteuerbereich von<br />

9 GHz und einer Drift der Oszillatorfrequenz von nur<br />

etwa -2 GHz/100 K Diese Werte konnten vor allem<br />

durch die Kombination von SiGe-HBT mit den nMOS-<br />

Varaktoren des CMOS-Moduls erreicht werden.<br />

42<br />

Ausgewählte Projekte<br />

Technologieplattform<br />

Selected Projects<br />

Technology Platform<br />

Abb. 14: Spannungsgesteuerter Oszillator mit einstufigem<br />

Leistungsverstärker für 77/79-GHz-Radarsignalgeneratoren.<br />

Fig. 14: Voltage controlled oscillator with power amplifier for<br />

77/79 GHz radar signal generators.<br />

Oscillator Frequency (GHz)<br />

78<br />

77<br />

76<br />

75<br />

74<br />

73<br />

72<br />

71<br />

70<br />

69<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

2<br />

4 6<br />

Control Voltage (V)<br />

Abb. 15: Kennlinie eines VCO mit einem Aussteuerbereich von 9 GHz.<br />

Fig. 15: Characteristics of a VCO showing a tuning range of 9 GHz.<br />

8


0,13-µm-BiCMOS-Technologie<br />

Das <strong>IHP</strong> hat <strong>2005</strong> die Entwicklung einer 0,13-µm-SiGe-<br />

BiCMOS-Technologie begonnen. Diese Technologie<br />

zielt auf die Realisierung integrierter Kommunikations-<br />

systeme auf einem Siliziumchip (System-on-Chip) für<br />

höchste Datenraten und höchste Übertragungsfrequenzen.<br />

Der 0,13-µm-BiCMOS-Prozess wird die nächste<br />

Technologiegeneration für den Prototyping-Service des<br />

<strong>IHP</strong> und die Plattform für die Erforschung neuer Bauelemente-,<br />

Schaltungs- und Systemkonzepte sein.<br />

Skalierte CMOS-Technologien erlauben die Realisierung<br />

einer steigenden Anzahl von Schaltungsfunktionen im<br />

Hochfrequenzbereich. Für viele Anwendungen im mm-<br />

Wellenbereich und für Kommunikationssysteme mit<br />

großer Bandbreite bleiben jedoch Hochgeschwindigkeits-HBTs<br />

wegen ihrer größeren Spannungsfestigkeit,<br />

ihres großen Ausgangswiderstandes, ihres geringen<br />

1/f-Rauschens und der großen Zahl erprobter Schaltungskonzepte<br />

unverzichtbar. HBTs mit verbesserten<br />

Hochfrequenzeigenschaften sind der Schlüssel für<br />

neue Anwendungen wie drahtlose Kommunikation im<br />

60-GHz-Band mit Datenraten oberhalb 1 Gbps, lichtlei-<br />

terbasierte Kommunikationssysteme mit Datenraten<br />

oberhalb 40 Gbps und automobile Radarsysteme bei<br />

77 GHz.<br />

In der ersten Phase des Projektes wurden die Prozessmodule<br />

für Herstellung von Strukturen mit Abmessungen<br />

von 0,13 µm entwickelt.<br />

Heterobipolar- und MOS-Transistoren mit 0,13-µm-Design-regeln<br />

wurden hergestellt. CMOS-Transistoren<br />

mit Gateoxiddicken von 2,0 nm und 7,0 nm werden<br />

für digitale und analoge Anwendungen bei 1,2 V und<br />

1,5 V sowie für Ein- und Ausgangsschaltungen bei<br />

3,3 V entwickelt. Abb. 16 zeigt eine Speicherzelle in<br />

der Draufsicht. Typische Transferkennlinien von nMOS-<br />

und pMOS-Transistoren mit 0,13 µm Gatelängen sind<br />

in Abb. 17 gezeigt. Für Schaltungsanwendungen im<br />

oberen GHz-Bereich werden SiGe-HBTs mit Grenzfrequenzen<br />

f T und f max größer 250 GHz bereitgestellt. Konzepte<br />

für die Integration von LDMOS-Transistoren für<br />

Anwendungen bei Spannungen bis zu 12 V (Abb. 18)<br />

wurden demonstriert.<br />

Ausgewählte Projekte<br />

Technologieplattform<br />

0.13 µm BiCMOS Technology<br />

Selected Projects<br />

Technology Platform<br />

The <strong>IHP</strong> started the development of a 0.13 µm BiC-<br />

MOS technology in <strong>2005</strong>. This technology targets<br />

system-on-a-chip solutions (SoC) for wireless and<br />

broadband communications at highest data rates<br />

and highest transmission frequencies. The 0.13 µm<br />

BiCMOS process will be the next technology generation<br />

for the <strong>IHP</strong> foundry service and a research platform<br />

for new device, circuit, and system concepts.<br />

Scaled CMOS technologies can facilitate the implementation<br />

of an increasing number of radio-frequency<br />

(RF) functions. However, high-speed HBTs remain<br />

indispensable for many mm-wave applications and<br />

high-bandwidth communication systems due to their<br />

high voltage capability, high output resistance, low<br />

1/f noise, and the large number of proven RF circuit<br />

concepts. HBTs with improved RF-performance are<br />

a key for new applications such as wireless links in<br />

the 60 GHz band with data rates above 1 Gbps, fiber<br />

optics communication systems with data rates above<br />

40 Gbps, and automotive radar at 77 GHz.<br />

In the first stage of the project, we have developed<br />

process modules for the fabrication of structures with<br />

0.13 µm dimensions.<br />

Abb. 16: Draufsicht eines SRAM-Speichers nach der Strukturierung der<br />

Transistorgates.<br />

Fig. 16: Top view of an SRAM after gate structuring.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 43


44<br />

Ausgewählte Projekte<br />

Technologieplattform<br />

Drain Current (A/µm)<br />

n-LDMOS<br />

p+ n+ n<br />

p<br />

10 -3<br />

10 -4<br />

10 -5<br />

10 -6<br />

10 -7<br />

10 -8<br />

10 -9<br />

10 -10<br />

10<br />

-1.2<br />

-11<br />

V d =-0.05 V<br />

pMOS<br />

-0.9 -0.6<br />

V d =-1.2 V V d =1.2 V<br />

-0.3<br />

Gate Voltage (V)<br />

p-LDD<br />

N-LDD<br />

p-Substrate<br />

0.3<br />

0.6<br />

Abb. 18: Schematischer Querschnitt von n- und p-Typ<br />

LDMOS Transistoren.<br />

Fig. 18: Schematic cross section of n- and p-type<br />

LDMOS transistors.<br />

0<br />

V d =0.05 V<br />

nMOS<br />

Abb. 17: Transferkennlinien von 0,13 µm nMOS- und<br />

pMOS-Transistoren.<br />

Fig. 17: Transfer characteristics of 0.13 µm nMOS and<br />

pMOS transistors.<br />

Selected Projects<br />

Technology Platform<br />

0.9<br />

1.2<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Heterojunction bipolar transistors and MOS transistors<br />

with 0.13 µm design rules were fabricated. CMOS<br />

transistors with gate oxide thicknesses of 2.0 nm and<br />

7.0 nm are being developed for digital and analog<br />

applications at 1.2 V and 1.5 V and for input/output<br />

circuits at 3.3 V, respectively. Fig. 16 shows a top<br />

view of a SRAM cell. Typical transfer characteristics<br />

of nMOS and pMOS devices with 0.13 µm gate lengths<br />

are shown in Fig. 17. SiGe HBTs with f T and f max values<br />

above 250 GHz will be provided for circuit applications<br />

in the higher GHz range. Integration concepts for<br />

LDMOS transistors (Fig. 18) for operating voltages up<br />

to 12 V have been demonstrated.<br />

p-LDMOS<br />

n+ p+ p+<br />

n<br />

n-LDD<br />

p-LDD<br />

n-Buried Layer


Integration nichtflüchtiger<br />

Speicher in SiGe-BiCMOS<br />

Das Ziel dieses Projektes ist die Demonstration einer<br />

kostengünstigen Prozesstechnologie zur Integration<br />

eines verlustleistungsarmen „embedded-Flash“ Halbleiterspeichers<br />

in einen leistungsfähigen 0,25-µm-SiGe-<br />

HF-BiCMOS-Prozess.<br />

Eine modulare Technologie für nichtflüchtige Speicher,<br />

eingebettet in einen SiGe-HF-BiCMOS-Prozess, bietet<br />

herausragende Möglichkeiten für eine Reihe wichtiger<br />

Anwendungen auf Systemebene. Die meisten Silizium-Foundries<br />

bieten heute „embedded-Flash“ Optionen<br />

für ihre (HF-)CMOS-Prozesse an. Für SiGe basierte<br />

BiCMOS-Prozesse ist diese Kombination eine konsequente<br />

Weiterentwicklung, die aber noch nicht etabliert<br />

ist. Herausforderungen sind geringe Kosten und<br />

geringer Leistungsverbrauch, insbesondere zur Verwendung<br />

in Systemen der Mobilkommunikation. Es<br />

werden Speicher kleiner bis mittlerer Dichte benötigt<br />

(von einigen Byte, z.B. für nichtflüchtige Register, bis<br />

zu einigen Mbit, z.B. zur Speicherung von Betriebssystemen.<br />

Insgesamt liegen die Vorteile eines solchen integrierten<br />

Speichers in der zusätzlichen Systemfunktionalität<br />

und reduzierten Systemkosten.<br />

Shallow Trench Isolation<br />

High energy P-implant<br />

nMOS, pMOS wells<br />

5nm CMOS Gate-oxide<br />

Gate poly deposition<br />

1-Mask HBT-Module<br />

Gate structuring<br />

S/D implants<br />

Backend processing<br />

Abb. 19: Prinzipieller Prozessablauf.<br />

Fig. 19: Principle process flow.<br />

Dual-gate-oxide wet<br />

etch (Mask 1)<br />

Floating-gate and<br />

Flash-PWELL implant<br />

(Mask 2)<br />

Floating-gate etching<br />

and HV-NWELL implant<br />

(Mask 3)<br />

Control-gate etching<br />

and HV n-LDD implant<br />

(Mask 4)<br />

Ausgewählte Projekte<br />

Technologieplattform<br />

Integration of Non-Volatile<br />

Memory in SiGe BiCMOS<br />

Selected Projects<br />

Technology Platform<br />

A modular non-volatile-memory-technology, embed-<br />

ded into a SiGe RF-BiCMOS process, has significant<br />

potential for a range of important systemlevel applications.<br />

Most Si-foundries offer optional embedded flash<br />

modules in their CMOS or RF-CMOS processes.<br />

For SiGe BiCMOS this is a consequential development,<br />

but which is not yet established. Main requirements<br />

are cost-effectiveness and low power consumption,<br />

particularly for use in mobile communication systems.<br />

Memories are needed ranging from small to medium<br />

density (from a few byte, e.g. for non-volatile registers,<br />

up to a few Mbit, e.g. for operation system storage).<br />

Cell size and performance must be sufficient for<br />

these memory sizes. The overall advantages of such<br />

embedded memory integration are the added system<br />

functionality and a reduced total system cost.<br />

A process technology has been developed to integrate<br />

an embedded flash memory into <strong>IHP</strong>’s 0.25 µm SiGe<br />

RF-SoC technology platform. For CMOS compatibility<br />

a standard floating-gate approach was chosen. Fowler-<br />

Nordheim tunneling is used as cell-programming mechanism<br />

due to its intrinsic low power consumption.<br />

The principle process flow is shown in Fig. 19. The developed<br />

integration scheme needs four additional mask<br />

steps on top of the baseline BiCMOS flow to produce<br />

flash cells and high-voltage devices needed in the<br />

memory`s periphery. A first 1 Mbit demonstrator memory<br />

has already been developed in 2004 (Fig. 20), showing<br />

the feasibility of the technology for these memory<br />

densities. In <strong>2005</strong> the work was focused on further optimizing<br />

the process flow and individual steps, especially<br />

with respect to realize a memory based on 2-transistor<br />

cells (Fig. 21). These cells have an advantage for both,<br />

memory performance and reliability.<br />

A comparison of HBTs and CMOS transistors of the<br />

BiCMOS process with and without the additional process<br />

steps of the flash memory fabrication demonstrates<br />

the modular character of the integration concept.<br />

The yield of 4k-HBT arrays (Fig. 22) as well as the<br />

threshold voltage of nMOS and pMOS devices (Fig. 23)<br />

do not show any degradation due to the embedded<br />

flash memory integration.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 45


Ausgewählte Projekte<br />

Technologieplattform<br />

Es ist eine Prozesstechnologie zur Integration eines<br />

„embedded-Flash“ Speichers in die 0,25-µm-SiGe-HF<br />

SoC-Technologieplattform des <strong>IHP</strong> entwickelt worden.<br />

Aufgrund seiner CMOS-Kompatibilität wurde ein Standard-„Floating-gate“-Ansatz<br />

gewählt. Der Mechanismus<br />

zur Programmierung der Zelle basiert auf leistungsarmem<br />

Fowler-Nordheim-Tunneln.<br />

Der prinzipielle Prozessablauf zur Herstellung der Speicherzellen<br />

und für den Betrieb benötigter Hochvolttransistoren<br />

ist in Abb. 19 gezeigt. Zur Realisierung<br />

des Speichers werden vier zusätzliche Maskenebenen<br />

benötigt. Ein erster 1-Mbit-Demonstrator ist bereits<br />

2004 entwickelt worden (Chip-Foto siehe Abb. 20). Die<br />

Eignung der Technologie für diese Speicherdichten<br />

konnte somit gezeigt werden. <strong>2005</strong> sind der Prozessablauf<br />

und einzelne Prozessschritte optimiert worden,<br />

insbesondere im Hinblick auf die Verwendung von<br />

2-Transistor-Zellen (Abb. 21), die für den Betrieb eines<br />

integrierten Speichers vorteilhaft sind (Zuverlässigkeit,<br />

Performance).<br />

Der Vergleich von CMOS Transistoren und HBTs des<br />

BiCMOS-Prozesses mit und ohne integrierten Flash-<br />

Speicher zeigt die Modularität des Interationskonzeptes.<br />

Sowohl in der Ausbeute von 4k-HBT-Arrays<br />

(Abb. 22), als auch in der Schwellspannung von nMOS-<br />

und pMOS-Transistoren (Abb. 23) ist keine Beeinträchtigung<br />

durch die Speicherintegration zu erkennen.<br />

46<br />

Percentage of Good Devices<br />

100<br />

80<br />

60<br />

40<br />

20<br />

0<br />

0<br />

Selected Projects<br />

Technology Platform<br />

BiCMOS + Flash BiCMOS only<br />

Abb. 22: Vergleich der Ausbeute an 4k-HBT-Arrays auf Wafern mit und<br />

ohne integrierten Flash Speicher.<br />

Fig. 22: Comparison of the yield of 4k HBT arrays on wafers with and<br />

without flash memory.<br />

5 10 15 20 25<br />

Wafer ID<br />

Speichertransistor<br />

Memory transistor<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Abb. 20: Chip-Foto des 1-Mbit-Demonstrators.<br />

Fig. 20: Micrograph of the 1 Mbit demonstrator.<br />

Long Channel Device V T (V)<br />

0.62<br />

0.60<br />

0.58<br />

-0.62<br />

-0.64<br />

0<br />

BiCMOS<br />

+ Flash<br />

25<br />

50<br />

No. of Data Point<br />

Auswahltransistor<br />

Select transistor<br />

Abb. 21: TEM-Querschnitt einer 2-Transistor-Zelle mit Speicher-<br />

transistor und Auswahltransistor.<br />

Fig. 21: TEM cross section view of a 2-transistor memory cell,<br />

showing the memory transistor and the select transistor.<br />

100<br />

125<br />

150<br />

Abb. 23: Vergleich der Schwellspannungen (V T ) der nMOS- und pMOS-<br />

Transistoren auf Wafern mit und ohne integrierten Flash<br />

Speicher.<br />

Fig. 23: Comparison of nMOS and pMOS threshold voltages (V T ) on<br />

wafers with and without flash memory.<br />

75<br />

BiCMOS only


DUV-Scanner für die Entwicklung der 0,13-µm-BiCMOS-Technologie.<br />

DUV scanner for the development of the 0.13 µm BiCMOS technology.<br />

Ausgewählte Projekte<br />

Technologieplattform<br />

Selected Projects<br />

Technology Platform<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 47


Ausgewählte Projekte<br />

Technologieplattform<br />

Schottkydioden für HF-Anwendungen Schottky Diodes for RF Applications<br />

Ziel des Projektes ist es, Schaltungsdesignern hochfrequenztaugliche<br />

Schottkydioden bereitzustellen, ohne<br />

die Prozessierung der Wafer ändern zu müssen.<br />

Schottkydioden werden durch einen Metall-Halbleiter-<br />

Übergang erzeugt. Sie weisen praktisch keine Sperrverzögerungszeit<br />

auf. D. h., Schottkydioden schalten<br />

vom Durchlassbereich ohne Zeitverzug in den Sperrbereich.<br />

Bei normalen Siliziumdioden dauert dieser Umschaltvorgang<br />

je nach Bauart mehrere Nanosekunden<br />

bis Mikrosekunden. Typische Anwendungsgebiete für<br />

Schottkydioden sind passive Mischer im Gigahertzbereich,<br />

Levelshifter und Hochfrequenzgleichrichter. Passive<br />

Mischer nutzen die nichtlineare Kennlinie der Dioden<br />

aus und kommen, wie der Name schon sagt, ohne Betriebsspannung<br />

aus. Solche Mischer eignen sich daher<br />

besonders gut für stromsparende Schaltungen. Abb. 24<br />

zeigt den Schaltplan eines passiven Diodenmischers.<br />

Maßgabe bei der Entwicklung der Schottkydioden war,<br />

dass die verwendeten Prozessierungsschritte nicht verändert<br />

werden durften. Die Dioden mussten aus vorhandenen<br />

Schichten erzeugt werden. Als Halbleiterschicht<br />

stehen eine n-Wanne sowie eine p-Wanne zur Verfügung.<br />

Die Polarität der Diode wird durch diese Schicht bestimmt.<br />

Die Metallelektrode wird durch ein Silizid realisiert.<br />

Die direkte Abscheidung von Aluminium auf der Siliziumoberfläche<br />

ist in den Prozessen nicht vorgesehen<br />

und daher nicht möglich. Es sind verschiedene Layoutvarianten<br />

umgesetzt worden, um deren Einfluss bestimmen<br />

und modellieren zu können.<br />

Die Schottkydioden wurden in zwei verschiedenen<br />

Technologien prozessiert, SG25H1 mit Transistoren bis<br />

200-GHz-Grenzfrequenz und SGB25VD mit Transistoren<br />

bis 120 GHz Grenzfrequenz. Nach der Messung der verschiedenen<br />

Dioden erfolgte deren Bewertung. Ein besonders<br />

kritischer Parameter ist dabei das Produkt von<br />

Serienwiderstand RS und Kapazität C0. Je kleiner dieses<br />

Produkt ist, desto höher ist die Grenzfrequenz der Diode.<br />

Die Dioden aus n-Well und Silizid erreichten dabei die<br />

höchsten Grenzfrequenzen. Der Querschnitt einer solchen<br />

n-Well Schottkydiode ist in Abb. 25 zu sehen. Sie<br />

erreicht eine Großsignal-Grenzfrequenz 1/(C0*RS) von<br />

165 GHz. Nach der Vorselektion des besten Diodentyps<br />

erfolgte dessen genaue elektrische Charakterisierung.<br />

Aus den Messdaten wurden Modellparameter extrahiert.<br />

Wie in Abb. 26 dargestellt wurde eine sehr gute Über-<br />

48<br />

Selected Projects<br />

Technology Platform<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

The goal is to provide high frequency capable Schottky<br />

diodes to the circuit designers without needs of<br />

process changes.<br />

Schottky diodes are realized by a metal semiconductor<br />

interface. They do not have a reverse recovery<br />

time. It means that they can switch from forward direction<br />

to reverse direction without a time delay. Normal<br />

silicon diodes need several nanoseconds up to<br />

microseconds for such a switching action. Typical applications<br />

of Schottky diodes are passive mixers in<br />

the gigahertz range, level shifters and high frequency<br />

rectifiers. Passive mixers use the nonlinearities of<br />

the diodes. They do not need a power supply as the<br />

name implies. Such mixers are well suited for power<br />

saving circuits. Fig. 24 shows the schematic of a passive<br />

diode mixer.<br />

LO<br />

Abb. 24: Passiver Mischer.<br />

Fig. 24: Passive Mixer.<br />

A requirement for the development of the Schottky diodes<br />

was that the process remained unchanged. Only<br />

the currently available layers could be used. The semiconductor<br />

is formed by an n-well or a p-well. That layer<br />

defines the polarity of the diode. The metal electrode<br />

is realized by a silicide. The direct deposition of aluminum<br />

on the silicon surface is not intended and hence<br />

not possible. Different layout variants were fabricated.<br />

Their influences were identified and modeled.<br />

The Schottky diodes were manufactured in two different<br />

technologies. SG25H1 provides transistors with<br />

RF<br />

IF


einstimmung zwischen Messung und Simulation erreicht.<br />

Die n-Well-Schottkydiode wurde als neues Bauelement in<br />

das Designkit des <strong>IHP</strong> aufgenommen und steht ab sofort<br />

für Schaltungsdesign zur Verfügung.<br />

abs (Anode Current) (A)<br />

Anode Cathode<br />

10 -2<br />

10 -3<br />

10 -4<br />

10 -5<br />

10 -6<br />

10 -7<br />

10 -8<br />

10 -9<br />

-4<br />

n-well<br />

-3<br />

-2<br />

NSD<br />

Si substrate<br />

Abb. 25: Querschnitt einer n-Well-Schottkydiode.<br />

Fig. 25: Cross section of an n-well Schottky diode.<br />

Simulation<br />

Measurement data<br />

-1<br />

Anode Voltage (V)<br />

Silicide<br />

Abb. 26: Gleichspannungs-Kennlinie und Hochfrequenz-Übertragungsfunktion<br />

einer n-Well-Schottkydiode.<br />

Fig. 26: DC characteristic and RF forward transfer function of an<br />

n-well Schottky diode.<br />

0<br />

-1<br />

Ausgewählte Projekte<br />

Technologieplattform<br />

Selected Projects<br />

Technology Platform<br />

transit frequencies up to 200 GHz and SGB25VD transistors<br />

with 120 GHz transit frequency. The diodes<br />

were evaluated after the electrical measurements.<br />

A critical parameter is the product of the series resistance<br />

RS and capacitance C0. The lower the product,<br />

the higher is the cut-off frequency of the diode. The nwell<br />

diodes achieved the highest cut-off frequencies.<br />

The cross-section of such an n-well Schottky diode is<br />

displayed in Fig. 25. The large-signal cut-off frequency<br />

1/(C0*RS) of that diode is 165 GHz. After preselection<br />

of the best diode type a precise electrical characterization<br />

of that type was performed. Model parameters<br />

were extracted from measurement data. A very good<br />

agreement between measurement and simulation was<br />

achieved as shown in Fig. 26. The n-well Schottky diode<br />

was included in the <strong>IHP</strong> design kit and is available<br />

for circuit applications from now on.<br />

Magnitude (S21) (dB)<br />

0<br />

-10<br />

-20<br />

-30<br />

-40<br />

-50<br />

-60<br />

100M<br />

1G<br />

Frequency (Hz)<br />

Anode Voltage:<br />

0.1 V – 0.5 V<br />

step 0.1 V<br />

Simulation<br />

Measurement data<br />

10G<br />

100G<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 49


Ausgewählte Projekte<br />

Technologieplattform<br />

Pilotlinie<br />

Das <strong>IHP</strong> betreibt eine Pilotlinie, die sowohl techno-<br />

logische Entwicklungen als auch den Zugriff auf sehr<br />

leistungsfähige und stabile Technologien für interne<br />

und externe Designer ermöglicht.<br />

Innovationen bei Technologien und Schaltkreisen erfordern<br />

eine hohe Stabilität der Pilotlinie sowie kurze Präparationszeiten<br />

bei hoher Qualität und Ausbeute.<br />

Für Standardlose wird eine Durchlaufzeit von 4,2 Tagen<br />

und für priorisierte Lose 1,8 Tagen pro Maske erreicht,<br />

die sich lediglich um den Faktor 1,4 von der<br />

physikalischen Prozesszeit unterscheidet.<br />

Die hohe Qualität der Pilotlinie wird durch die jährliche<br />

erfolgreiche ISO-Zertifizierung 9001:2000 dokumentiert<br />

(Abb. 27).<br />

50<br />

Selected Projects<br />

Technology Platform<br />

Abb. 27: DIN EN ISO9001:2000 Zertifikat der DQS GmbH.<br />

Fig. 27: DIN EN ISO9001:2000 certificate from the German DQS<br />

society.<br />

Pilot Line<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

<strong>IHP</strong> is running a pilot line, enabling technological developments<br />

as well as access to very powerful and stable<br />

technologies for internal and external designers.<br />

Innovations in technologies and circuits need a high<br />

stability of the pilot line as well as short preparation<br />

times of high quality and yield.<br />

The cycle time for standard lots is 4.2 days and for<br />

expedited lots 1.8 days per mask, so the cycle time<br />

can be improved to a flow factor of 1.4. The flow<br />

factor is defined as the actual processing time divided<br />

by the minimum possible processing time for full<br />

BiCMOS flows.<br />

The high quality of the pilot line is documented by the<br />

annual reservice of the ISO9001:2000 certification of<br />

all technology departments without deviations. Fig. 27<br />

shows the certificate granted by the German quality<br />

society DQS.<br />

Currently, there are several 0.25 µm SiGe BiCMOS<br />

technologies available. They offer integrated HBTs<br />

with cut-off frequencies up to 230 GHz and RF LDMOS<br />

devices with breakdown voltages up to 26 V, including<br />

complementary devices. The technologies are described<br />

precisely in the chapter “Deliverables and Services“<br />

of this report. A 0.13 µm BiCMOS technology is<br />

currently under development.<br />

In addition to the use for the development of circuits<br />

and systems at the <strong>IHP</strong>, the technologies are offered<br />

as MPW & Prototyping service to external partners<br />

and customers.<br />

In <strong>2005</strong>, 13 new mask sets were processed, serving<br />

27 internal <strong>IHP</strong> projects and 18 external customers.<br />

The workflow in the pilot line is managed by a fully automated<br />

Manufacturing Execution System. All required<br />

data from WIP (work in process) are stored in a database<br />

(Fig. 28). These data are available for management<br />

and customers.<br />

Please come and visit our cleanroom.


Gegenwärtig sind mehrere 0,25-µm-SiGe-BiCMOS-Technologien<br />

verfügbar. Sie enthalten integrierte Hetero-Bipolartransistoren<br />

mit Grenzfrequenzen bis 230 GHz<br />

und HF-LDMOS-Bauelemente mit Durchbruchspan-<br />

nungen bis zu 26 V, einschließlich komplementärer<br />

Bauelemente. Die Technologien sind im Kapitel<br />

„Angebote und Leistungen“ dieses Berichtes genauer<br />

beschrieben. Eine 0,13-µm-BiCMOS-Technologie wird<br />

derzeit entwickelt.<br />

Neben der Nutzung für Schaltkreis- und Systementwicklungen<br />

am <strong>IHP</strong> werden die Technologien als MPW<br />

& Prototyping Service auch externen Partnern und Kunden<br />

angeboten. Dabei sind <strong>2005</strong> insgesamt 13 neue<br />

Testfelder innerhalb von 27 internen Projekten und für<br />

18 externe Kunden bearbeitet worden.<br />

Abb. 28: Schema des Systems zur Prozess-Steuerung.<br />

Fig. 28: Schematic of the Manufacturing Execution System.<br />

Die Steuerung in der Pilotlinie basiert auf einem vollständig<br />

automatisierten System. Alle wesentlichen Prozessdaten<br />

werden in einer Datenbank bereitgestellt,<br />

auf die sowohl das Management als auch die Nutzer<br />

Zugriff haben (Abb. 28).<br />

Gern laden wir Sie zu einer Besichtigung unseres Reinraumes<br />

ein.<br />

Ausgewählte Projekte<br />

Technologieplattform<br />

Abb. 29: Im Reinraum des <strong>IHP</strong>.<br />

Fig. 29: Inside <strong>IHP</strong>’s cleanroom.<br />

Selected Projects<br />

Technology Platform<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 51


Ausgewählte Projekte<br />

Materialien<br />

Ziel dieses Projektes ist die Evaluierung des Hoch-k-<br />

Dielektrikums Pr-Silikat als potenzieller Ersatz für<br />

nitridiertes SiO 2 in zukünftigen CMOS-Transistor-Generationen.<br />

Das Gatedielektrikum ist ein zentraler Bestandteil des<br />

CMOS-Transistors. Infolge der fortschreitenden Miniaturisierung<br />

von mikroelektronischen Bauelementen wird<br />

die Schichtdicke des Gatedielektrikums reduziert und<br />

beträgt bereits heute in CMOS-Transistoren ca. 1 nm.<br />

Für zukünftige CMOS-Transistor-Generationen werden<br />

noch dünnere Gateoxidschichten benötigt. Die weitere<br />

Skalierung des etablierten Siliziumoxynitrids ist<br />

aber aufgrund des starken Anstiegs des Leckstroms<br />

und der zunehmenden Bordiffusion aus der Poly-Silizium-Gateelektrode<br />

ausgeschlossen. Zur Lösung dieses<br />

Problems und um eine weitere Skalierung von herkömmlichen<br />

Planar-CMOS-Transistoren zu ermöglichen,<br />

müssen neuartige dielektrische Schichten mit höherer<br />

Dielektrizitätskonstante eingeführt werden.<br />

Gegenstand der Forschung sind deshalb Praseodymsilikat-Schichten.<br />

Erste grundlegende materialbasierte<br />

Untersuchungen zeigen, dass Praseodymsilikat geeignet<br />

sein kann, um SiO 2 zu ersetzen. Abb. 30 zeigt eine<br />

Querschnitts-TEM Aufnahme einer isolierenden Pra-<br />

seodymsilikat-Schicht auf Si(001). Das Dielektrikum<br />

besteht typischerweise aus zwei amorphen Schichten:<br />

einer SiO 2 -reichen Praseodymsilikat-Schicht an der<br />

Grenze zum Silizium und einer zweiten SiO 2 -armen Praseodymsilikat-Schicht.<br />

Der Vorteil dieser Struktur ist,<br />

dass sie eine signifikante Verringerung des Leckstromes<br />

und gleichzeitig eine hohe Qualität der SiO 2 /Si<br />

Grenzfläche ermöglicht. Praseodymsilikat-basierte<br />

Gate-Schichtstapel weisen eine effektive Dielektrizitätskonstante<br />

von 12 und einen um ungefähr 3 Größenordnungen<br />

reduzierten Leckstrom im Vergleich zu<br />

nitridierten SiO 2 -Schichten mit gleicher äquivalenten<br />

Oxidschichtdicke auf. Pr-Silikate sind zudem thermisch<br />

stabil gegenüber Kristallisation und Phasenseparation<br />

bei typischen Dotieraktivierungs-Temperaturen.<br />

52<br />

Selected Projects<br />

Materials<br />

Materialien für die Mikroelektronik/<br />

Materials for <strong>Microelectronics</strong><br />

Pr-Silikat als Hoch-k-Dielektrikum<br />

auf Si(001) für Anwendungen als<br />

Gateisolator<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Pr Silicate High-k Layers on Si(001)<br />

for Gate Dielectric Applications<br />

The goal of this project is to evaluate the potential of a<br />

Pr silicate high-k dielectric to replace the traditionally<br />

used nitrided SiO 2 gate insulator in future CMOS technology<br />

generations.<br />

Gate dielectric is a key building block of a CMOS transistor.<br />

As a direct consequence of the miniaturization<br />

of Si-based microelectronic devices, the thickness of<br />

the gate dielectric in the state-of-the-art CMOS devices<br />

has been reduced to a value of about 1 nm. Future<br />

CMOS generations will require ever thinner gate<br />

dielectrics. However, further scaling of silicon oxynitride<br />

dielectrics (below 1 nm) does not provide further<br />

gain in the performance, due to unacceptably high leakage<br />

currents and boron penetration from the polysilicon<br />

gate electrode. To overcome these problems and<br />

to enable further planar CMOS scaling, an alternative<br />

gate insulator with a higher permittivity must be introduced.<br />

Au<br />

Pr silicate<br />

Si<br />

5 nm<br />

Abb. 30: Querschnitts-TEM-Aufnahme einer isolierenden Praseodymiumsilikat-Schicht<br />

auf Si(001).<br />

Fig. 30: Cross-section TEM image of a Pr silicate high-k dielectric<br />

layer on Si(001).


Die grundlegende Materialcharakterisierung wurde<br />

durch die Entwicklung einer geeigneten CMOS-kompatiblen<br />

Ätzprozedur für den Poly-Si/Pr-Silikat-Gate-<br />

Stapel abgerundet. Pr-basierte dielektrische Schichten<br />

lassen sich mit guter Selektivität in verdünnter<br />

H 2 SO 4 lösen, wobei die Ätzrate entweder durch die<br />

Temperatur oder durch die Konzentration des Ätzmittels<br />

kontrolliert werden kann. Die Strukturierung der<br />

PolySi-Gate-Elektrode erfolgt durch RIE mittels einer<br />

Mischung aus CF 4 und O 2 . Die kombinierte Nass/Trocken-Ätztechnik<br />

wurde zur Präparation eines nMOS-<br />

Transistors im konventionellen CMOS-Prozessablauf<br />

angewendet. Abb. 31 zeigt ein REM-Bild des Transistors<br />

mit einem Dielektrikum, bestehend aus Pr-Silikat.<br />

Substrate<br />

Source<br />

Gate<br />

Drain<br />

Abb. 31: REM-Aufnahme eines nMOS-Transistors mit Pr-Silikat als<br />

Gatedielektrikum.<br />

Fig. 31: SEM image of an NMOS transistor with integrated<br />

Pr silicate.<br />

Ausgewählte Projekte<br />

Materialien<br />

Selected Projects<br />

Materials<br />

This study of alternative gate dielectrics is focused on<br />

Praseodymium (Pr) silicate layers. Primary evaluation<br />

of basic material characteristics indicates that Pr silicate<br />

may be suitable to replace SiO 2 . Fig. 30 shows<br />

a cross-section TEM image of a Pr silicate insulating<br />

layer deposited on Si(001). The silicate films are<br />

typically composed of two amorphous layers: an<br />

SiO 2 -rich Pr silicate layer at the interface with Si<br />

and a SiO 2 poor Pr silicate layer on top of it. The advantage<br />

of such a structure is that it provides a significant<br />

reduction of the leakage current and at<br />

the same time it may preserve the high electrical<br />

and structural quality of the SiO 2 /Si interface.<br />

Pr silicate-based gate stacks exhibit an effective dielectric<br />

constant of 12 and approximately 3 orders of<br />

magnitude lower leakage current density as compared<br />

with nitrided SiO 2 samples of the same equivalent<br />

oxide thickness. Pr silicates are also thermally stable<br />

against crystallization and phase separation under typical<br />

dopant activation annealing conditions.<br />

Completion of the basic material characterization has<br />

been followed by development of a suitable CMOS<br />

compatible etching procedure for a poly-Si/Pr silicate<br />

gate stack. We found that Pr-based dielectric layers<br />

can be dissolved with a good selectivity in diluted<br />

H 2 SO 4 and the etching rates can be controlled either<br />

by changing the temperature or the concentration of<br />

the etchant. The poly-Si gate electrode patterning can<br />

be accomplished by RIE using a mixture of CF 4 and O 2 .<br />

This combined dry/wet etching technique was applied<br />

for preparation of an nMOS transistor in the conven-<br />

tional CMOS process flow. Fig. 31 shows an SEM image<br />

of the transistor with integrated Pr silicate dielectric.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 53


54<br />

Ausgewählte Projekte<br />

Materialien<br />

Selected Projects<br />

Materials<br />

Laminare MIM-Kondensatoren für<br />

HF- und Mixed-Signal Anwendungen<br />

Die Metall-Isolator-Metall-(MIM)-Kondensatoren haben<br />

als passive Bauelemente in Hochfrequenz (HF)- und<br />

integrierten Schaltungen (IC) Aufmerksamkeit erregt.<br />

Der Ersatz der konventionellen Materialien SiO 2 und<br />

Si 3 N 4 durch Hoch-k-Dielektrika ist notwendig, um die<br />

Kondensatorfläche zu reduzieren.<br />

MIM-Kondensatoren mit Pr 2 O 3 als Dielektrikum zeigen<br />

große Hoch-k-Werte (~15), aber die Leckstromdichte<br />

ist nicht geeignet für HF-Anwendungen. Deshalb wurde<br />

folgender Ansatz gewählt: Der Pr 2 O 3 -Film wird zwischen<br />

zwei Schichten des Weitband-Isolators Al 2 O 3<br />

eingebettet. Neben der Erhöhung der elektrischen Barrierehöhe<br />

soll der obere Al 2 O 3 -Film als Schutzschicht<br />

wirken, um das Pr 2 O 3 gegenüber Luftfeuchtigkeit zu<br />

schützen. Die geschichteten Al 2 O 3 /Pr 2 O 3 /Al 2 O 3 MIM-<br />

Kondensatoren sind viel versprechende Kandidaten,<br />

um die Anforderungen der aktuellen ITRS zu erfüllen<br />

(Abb. 32). Wir präsentieren einen neuen Hoch-k MIM-<br />

Stapel mit einer großen Kapazitätsdichte von 5,7 fF/µm 2 ,<br />

einer geringen Leckstromdichte von 5x10 -9 A/cm 2 bei<br />

1 V und minimalen dielektrischen Verlusten im untersuchten<br />

Frequenzbereich.<br />

MIM-Kondensatoren mit Pr 2 Ti 2 O 7 als Dielektrikum zeigen<br />

parabolische C(V) Kurven mit positiven Vorzeichen.<br />

Der quadratische Spannungskoeffizient der Kapazität<br />

kann durch die Kombination von Pr 2 Ti 2 O 7 und SiO 2 zu<br />

einer geschichteten MIM-Struktur gesteuert werden<br />

(Abb. 33). Der SiO 2 -Film beeinflusst sowohl die Leckstrom-Charakteristik<br />

als auch das dielektrische Durchbruchverhalten.<br />

Aufgrund des kleinen k-Wertes von<br />

SiO 2 ist die resultierende Kapazität reduziert. Deshalb<br />

sollte die Schichtdicke des Oxidfilmes so dünn wie<br />

möglich gehalten werden.<br />

Die geschichteten SiO 2 /Pr 2 Ti 2 O 7 -MIM-Kondensatoren<br />

zeigen hervorragende elektrische Eigenschaften. Der<br />

quadratische Spannungskoeffizient der Kapazität und<br />

der Leckstromparameter erfüllen die Anforderungen der<br />

aktuellen ITRS. Die extrapolierte Betriebsspannung für<br />

eine Lebensdauer von 10 Jahren beträgt 3 V für MIM-Kon-<br />

densatoren mit einer Kapazitätsdichte von 3,2 fF/µm 2 .<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Laminated MIM Capacitors for RF<br />

and Mixed-Signal Applications<br />

The Metal-Insulator-Metal (MIM) capacitors have attracted<br />

much attention as passive devices for Radio-Frequency<br />

(RF) and mixed-signal Integrated Circuit (IC) applications.<br />

The replacement of conventional SiO 2 and<br />

Si 3 N 4 by high-k dielectric materials is essential to reduce<br />

the capacitor area.<br />

Glue<br />

Al 2 O 3<br />

Pr 2 O 3<br />

Al 2 O 3<br />

TiN<br />

Abb. 32: TEM-Bild eines Al 2 O 3 /Pr 2 O 3 /Al 2 O 3 -MIM-Kondensators.<br />

Fig. 32: TEM picture of the Al 2 O 3 /Pr 2 O 3 /Al 2 O 3 MIM capacitor.<br />

MIM capacitors with Pr 2 O 3 as the dielectric have large<br />

high-k values (~15), but the leakage current density is<br />

too high for RF applications. For this reason, we use<br />

the band offset engineering approach by sandwiching<br />

the Pr 2 O 3 film between two layers of the wide band gap<br />

insulator Al 2 O 3 . Besides the increase of the electric<br />

barrier height, the top Al 2 O 3 layer also acts as a cap<br />

to protect the Pr 2 O 3 film against humidity. The layered<br />

Al 2 O 3 /Pr 2 O 3 /Al 2 O 3 MIM capacitors are promising candidates<br />

to meet the requirements of the current ITRS<br />

(Fig. 32). We present a new high-k MIM stack with a<br />

high capacitance density of 5.7 fF/µm 2 , a low leakage<br />

current density of 5x10 -9 A/cm 2 at 1 V and excellent<br />

dielectric loss behaviour over the studied frequency<br />

range.


Glue<br />

Pr 2 Ti 2 O 7<br />

SiO 2<br />

TiN<br />

Abb. 33: TEM-Bild eines SiO 2 /Pr 2 Ti 2 O 7 MIM- Kondensators.<br />

Fig. 33: TEM picture of the SiO 2 /Pr 2 Ti 2 O 7 MIM capacitor.<br />

Ausgewählte Projekte<br />

Materialien<br />

Selected Projects<br />

Materials<br />

MIM capacitors with Pr 2 Ti 2 O 7 as the dielectric exhibit a<br />

positive quadratic C(V) curve. The quadratic voltage capacitance<br />

coefficients can be engineered by combining<br />

Pr 2 Ti 2 O 7 and SiO 2 to a stacked MIM structure (Fig. 33).<br />

The SiO 2 layer affects both the leakage current characteristics<br />

and the dielectric breakdown values. Due to<br />

the low value of SiO 2 , the resulting capacitance will be<br />

reduced. Therefore, this oxide layer thickness must be<br />

kept as thin as possible.<br />

The stacked SiO 2 /Pr 2 Ti 2 O 7 MIM capacitors show<br />

excellent electrical performances. The quadratic vol-<br />

tage coefficient of capacitance and the leakage parameter<br />

fulfill the requirements of the current ITRS. The<br />

extrapolated operating voltage to 10 years lifetime is<br />

3 V for MIM capacitors with a capacitance density of<br />

3.2 fF/µm 2 .<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 55


Ausgewählte Projekte<br />

Materialien<br />

Einkristalline Halbleiter-Isolator-<br />

Systeme auf Silizium<br />

Ziel des Projektes ist die Züchtung einkristalliner Halbleiter-Isolator-Systeme<br />

hoher elektrischer Qualität auf<br />

Silizium (Si). Diese Systeme können mittels lokaler<br />

oder globaler Epitaxie-Ansätze in moderne, Si-basierte<br />

Schaltkreise integriert werden, um Leistung und Funktionalität<br />

zu steigern.<br />

Heteroepitaktische Halbleiter-Isolator-Stapel auf Si<br />

sind von besonderem Interesse für die Weiterentwicklung<br />

der Si-basierten Mikroelektronik, denn gitterangepasste<br />

Systeme kombinieren Funktionalität mit hoher<br />

struktureller Stabilität. Zum Beispiel werden derzeit<br />

sowohl lokale als auch globale Epitaxie-Ansätze gestresster<br />

Si-Filme studiert, um die Beweglichkeiten<br />

von Löchern und Elektronen in dünnen Halbleiterfilmen<br />

maßzuschneidern. Natürlich erfordert die kosteneffektive<br />

Herstellung von Halbleiter-Isolator-Strukturen mittels<br />

zweier aufeinanderfolgender Epi-Schritte zunächst<br />

die Herstellung eines einkristallinen Oxidfilmes auf Si.<br />

In diesem Projekt gelang die Herstellung einkristalliner<br />

Praseodymium-Sesquioxid-(Pr 2 O 3 )-Filme auf Si(111).<br />

Interessanterweise erfolgte die Herstellung epitak-<br />

tischer, kubischer (111)-Pr 2 O 3 -Filme ohne Stapelzwillinge<br />

auf Si(111) nicht durch direkte Filmdeposition, sondern<br />

mittels einer induzierten hexagonal-kubischen<br />

Phasenumwandlung des aufgewachsenen Oxidfilmes.<br />

Synchrotronbasierte Röntgenbeugung unter streifendem<br />

Einfall (SR-GIXRD) an der European Synchrotron<br />

Radiation Facility (ESRF) in Grenoble (Frankreich) und<br />

Transmissionselektronen-Mikroskopie (TEM) am <strong>IHP</strong><br />

wurden zum Studium der Phasenumwandlung und der<br />

Filmstruktur verwandt. Aufgedampfte Filme wachsen<br />

einkristallin in der hexagonalen Hochtemperatur-Phase<br />

mit (0001) Orientierung. In-situ Röntgenbeugungsmessungen<br />

erlauben, eine Aktivierungsenergie von<br />

2,2 eV für die hexagonal-kubische Phasenumwandlung<br />

abzuleiten. TEM-Studien zeigen, dass die Phasenumwandlung<br />

von einer Grenzflächenreaktion an der Oxid/<br />

Si(111) Grenzschicht begleitet ist. Die resultierende,<br />

kubische (111)-Tieftemperaturphase des Pr 2 O 3 -Filmes<br />

ist einkristallin und besitzt exklusiv eine Typ-B-Orientierung,<br />

d.h. der Oxidfilm übernimmt nicht die Stapelorientierung<br />

des Si(111)-Substrates (Typ-A-Orientierung),<br />

sondern kehrt diese um und die epitaktische<br />

Orientierung des Oxidgitters gegenüber dem Si(111)-<br />

Substrat ist durch eine 180 °-Drehung um die Ober-<br />

56<br />

Selected Projects<br />

Materials<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Single Crystalline Semiconductor-<br />

Insulator-Systems on Silicon<br />

The goal of the project is to prepare single crystalline<br />

semiconductor-insulator systems of high electric quality<br />

on silicon (Si). Such systems can be integrated either<br />

by local or global epitaxy approaches to improve<br />

the performance and functionality of modern Si-based<br />

microelectronic circuits.<br />

Heteroepitaxial semiconductor-insulator stacks on Si<br />

are of special interest to further develop Si-based microelectronics<br />

because lattice matched systems combine<br />

functionality with high structural stability. For example,<br />

global and local epitaxy approaches of strained<br />

Si layers are currently studied to engineer the mobility<br />

of holes and electrons in thin semiconductor films.<br />

Certainly, the cost-effective growth of a high quality<br />

semiconductor-insulator stack on Si by two simple<br />

subsequent epi-steps requires first the preparation of<br />

a truly single crystalline oxide film on Si.<br />

In this project we achieved the preparation of truly<br />

single crystalline praseodymium sesquioxide (Pr 2 O 3 )<br />

films on Si(111). Interestingly, the preparation of twinfree<br />

epitaxial cubic (111) Pr 2 O 3 films on Si(111) did not<br />

result from direct film deposition techniques but by<br />

inducing a hexagonal to cubic phase transition of the<br />

as-deposited Pr 2 O 3 film on Si(111). Synchrotron radiation<br />

grazing incidence X-ray diffraction (GI-XRD) at<br />

the European Synchrotron Radiation Facility (ESRF) in<br />

Grenoble (France) and transmission electron microscopy<br />

(TEM) at <strong>IHP</strong> were applied to characterize the phase<br />

transition and the film structure. As-deposited films<br />

grow single crystalline in the (0001) oriented hexagonal<br />

high-temperature phase of Pr 2 O 3 . In-situ X-ray diffraction<br />

studies deduce an activation energy of 2.2 eV for<br />

the hexagonal to cubic phase transition. Transmission<br />

electron microscopy shows that the phase transition<br />

is accompanied by an interface reaction at the oxide/<br />

Si(111) boundary. The resulting cubic (111) low-temperature<br />

Pr 2 O 3 film is single crystalline and exclusively<br />

shows B-type stacking. This means that the oxide<br />

layer does not adopt the stacking configuration of<br />

the Si(111) substrate but reverses it so that the epitaxial<br />

relation of the oxide with respect to the Si(111)<br />

substrate is characterized by a 180 ° rotation around<br />

the Si(111) surface normal. The 180 ° rotation of the<br />

cubic oxide lattice with respect to the Si substrate<br />

results microscopically from a stacking fault at the


flächennormale gekennzeichnet. Diese 180 °-Rotation<br />

des kubischen Oxidgitters in Bezug auf das Si-Substrat<br />

resultiert mikroskopisch von einem Stapelfehler an der<br />

Oxid/Substrat-Grenzfläche. Der Strukturbeweis wurde<br />

mittels SR-GIXRD erbracht und ist in Abb. 34 zusammengefasst.<br />

Die Zeichnung im linken Teil der Abbildung<br />

verdeutlicht die Intensitätsverteilung, die bei gleichzeitiger<br />

Anwesenheit von Typ-A (gefüllte Kreise) und Typ-B<br />

(offene Kreise) -Domänen im Oxidfilm zu erwarten ist.<br />

Die im Folgenden diskutierten experimentellen Ergebnisse<br />

wurden durch Messungen entlang der [01L]-Richtung<br />

(grau unterlegt) gewonnen. Die volumensensitive<br />

Messung im rechten Teil der Abbildung bei einem Einfallswinkel<br />

von 1 ° (oberhalb des kritischen Winkels)<br />

zeigt, dass die Verteilung der Oxidreflexe (gestrichelte<br />

Pfeile) charakteristisch für eine Typ-B-Orientierung ist.<br />

Im Grunde genommen kann die Anwesenheit von Typ-<br />

A-Domänen in dieser Messung aber nicht ausgeschlossen<br />

werden, da die entsprechenden Oxidreflexe durch<br />

die Si-Substrat Bragg- Peaks (durchgezogene Pfeile)<br />

überstrahlt werden könnten. Um dieses auszuschließen,<br />

wurde eine Oberflächen-sensitive Messung bei<br />

0,2 ° (unterhalb des kritischen Einfallswinkels) ausgeführt,<br />

die es erlaubt, den Film ohne Substrateinfluss<br />

zu vermessen. Das Ergebnis ist im mittleren Teil der<br />

Abbildung dargestellt und es ist ersichtlich, dass ausschließlich<br />

Typ-B-Oxidreflexe existieren. Dies ist der<br />

Strukturbeweis für die zwillingsfreie, einkristalline Natur<br />

des kubischen Pr 2 O 3 (111)-Filmes auf Si(111).<br />

Abb. 34: Strukturbeweis der einkristallinen Typ-B-Epitaxie des<br />

(111)-orientierten kubischen Pr 2 O 3 -Filmes auf Si(111).<br />

Ausgewählte Projekte<br />

Materialien<br />

Selected Projects<br />

Materials<br />

substrate/oxide boundary. The structure prove was<br />

provided by SR-GIXRD measurements and is shown<br />

in Fig. 34. The sketch on the left side of the Figure<br />

shows the Bragg peak intensity distribution in case<br />

of the simultaneous presence of Type A (filled circles)<br />

and Type B (open circles) domains in the oxide layer.<br />

The experimental results discussed in the following<br />

are collected by measurements along the [01L] rod<br />

(gray panel). The bulk sensitive measurement on the<br />

right side of the figure at 1 ° (above the critical angle)<br />

shows that the distribution of the oxide reflections<br />

(dotted arrows) is characteristic for a type B orientation.<br />

In this measurement, however, the presence of<br />

type A domains in the oxide film can not be excluded.<br />

This is due to the fact that the Si substrate peaks<br />

(solid arrows) could in principle be superimposed in<br />

this measurement on the oxide type A reflections.<br />

For this purpose, a surface sensitive measurement<br />

at 0.2 ° (below the critical angle) was carried out<br />

to measure exclusively the film without any substrate<br />

contribution. The result is shown in the middle<br />

of the figure and it is seen that only type B oxide reflections<br />

are present. This is the structure prove for<br />

the twin-free, single crystalline nature of the type B<br />

oriented cubic Pr 2 O 3 (111) film on Si(111).<br />

Fig. 34: Structure prove of single crystalline type B epitaxy of<br />

(111) oriented cubic Pr 2 O 3 films on Si(111).<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 57


Ausgewählte Projekte<br />

Materialien<br />

Kohärente Nukleation von Sauerstoffpräzipitaten<br />

in Silizium<br />

Ziel der Arbeiten war es, den Einfluss einer RTA-<br />

induzierten Vakanzenübersättigung auf die Sauerstoffpräzipitation<br />

auf einer breiten experimentellen Basis<br />

durch Variation der RTA-Temperatur, der Abkühlrate,<br />

der Vakanzenkonzentration, der Interstitialkonzentration<br />

und der Kristallziehbedingungen zu untersuchen, um so<br />

einen umfassenden Überblick über die verschiedenen<br />

parametrischen Abhängigkeiten der Sauerstoffpräzipitation<br />

zu erhalten. Auf der Basis dieser Korrelationen wurde<br />

ein analytisches Modell erarbeitet, das die Abnahme<br />

des interstitiellen Sauerstoffs während eines Prozesses<br />

bestehend aus einer RTA-Behandlung und einer zweistufigen<br />

Temperung bei 780 °C für 3 h und bei 1000 °C für<br />

16 h beschreibt. Auf der Basis der gefundenen experimentellen<br />

Zusammenhänge wurde ein atomistisches<br />

Modell entwickelt, das einen kohärenten Nukleationsprozess<br />

für Sauerstoffpräzipitate beschreibt.<br />

Es wurde gefunden, dass die Sauerstoffpräzipitation<br />

nach der RTA-Behandlung von der Sauerstoffkonzentration<br />

in der sechsten Potenz abhängt und von der<br />

eingefrorenen Vakanzenkonzentration nur in der dritten<br />

Potenz. Der bevorzugte Nukleationsprozess für<br />

Sauerstoffpräzipitate ist die Agglomeration von VO 2 -<br />

Komplexen und die Dichte der Sauerstoffpräzipitate<br />

ist proportional der dritten Potenz der VO 2 -Komplexe,<br />

die während der Abkühlung nach dem RTA-Prozess gebildet<br />

werden (Abb. 35). Die Abnahme des interstitiellen<br />

Sauerstoffs während eines Prozesses, der aus der<br />

oben beschriebenen RTA-Behandlung mit zweistufiger<br />

Temperung besteht, kann im Temperaturbereich von<br />

1150 °C bis 1250 °C mit Hilfe der Ham’schen Theorie<br />

für das Präzipitatwachstum und eines empirischen Zusammenhangs<br />

der auf der Nukleation von Sauerstoffpräzipitaten<br />

durch VO 2 -Agglomeration beruht mit hoher<br />

Genauigkeit modelliert werden.<br />

Ausgehend von den gefundenen Zusammenhängen haben<br />

wir mit Hilfe von ab-initio Berechnungen der Gesamtenergie<br />

und der atomistischen Strukturen der<br />

Punktdefekte und Punktdefektcluster ein atomistisches<br />

Modell entwickelt, das die kohärente Nukleation<br />

von Sauerstoffpräzipitaten über VO 2 -Cluster in<br />

vakanzenreicher Umgebung beschreibt. Das Modell<br />

kann folgendermaßen zusammengefasst werden:<br />

VO 2 -Komplexe neigen dazu, nVO 2 -Cluster zu bilden,<br />

weil im Gegensatz zu isoliertem VO 2 die Si-Atome, die<br />

58<br />

Selected Projects<br />

Materials<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Coherent Nucleation of Oxygen<br />

Precipitates in Silicon<br />

The aim of this work was to investigate the impact of<br />

RTA induced vacancy supersaturation on oxide precipitation.<br />

As an experimental basis we have a broad<br />

set of experimental values obtained from variations of<br />

RTA temperature, cooling rate, vacancy concentration,<br />

interstitial oxygen concentration, and crystal pulling<br />

conditions in order to gain a most comprehensive<br />

overview on the various parameter dependencies of<br />

the oxygen precipitation. Given these correlations, an<br />

analytical model was developed which can describe<br />

the reduction of interstitial oxygen during a process<br />

consisting of an RTA treatment and a two-step anneal<br />

at 780 °C for 3 h and at 1000 °C for 16 h. An atomistic<br />

model was proposed that describes a coherent nucleation<br />

process for oxide precipitates in agreement<br />

with the results of the analytical model.<br />

Density of Oxide Precipitates (1/cm³)<br />

10 11<br />

10 10<br />

10 9<br />

10 8<br />

10 11<br />

10 12<br />

10 13<br />

Concentration of VO 2 Complexes (1/cm³)<br />

10 14<br />

Abb. 35: Dichte der Sauerstoffpräzipitate nach einem thermischen<br />

Prozess bestehend aus RTA + 780 °C 3 h + 1000 °C 16 h<br />

als Funktion der Konzentration der VO 2 -Komplexe für RTA-<br />

Behandlungen bei Temperaturen zwischen 1150 °C und 1250 °C.<br />

Fig. 35: Oxygen precipitate density after a thermal cycle consisting<br />

of RTA + 780 °C 3 h + 1000 °C 16 h shown as a function of<br />

the concentration of VO 2 complexes for RTA treatments at<br />

temperatures between 1150 °C and 1250 °C.<br />

Oxygen precipitation after RTA processing was found<br />

to be controlled by the initial concentration of interstitial<br />

oxygen in the sixth power dependency and of frozen<br />

vacancies in just a cubic dependency. The favored<br />

process for nucleation of oxide precipitates after RTA<br />

treatments is the agglomeration of VO 2 complexes.<br />

The density of oxide precipitate nuclei was found to be<br />

proportional to the cube of VO 2 complexes formed du-


von 4VO 2 umgeben sind, ihre Bindungswinkel zu ihren<br />

Nachbarn voll relaxieren können (Abb. 36). Die lokale<br />

Geometrie dieser winzigen nVO 2 -Cluster erhält man<br />

durch eine periodische Wiederholung des 4VO 2 -Clusters.<br />

Die Cluster sind kohärent in Bezug auf das Sili-<br />

ziumgitter. Das Molekularvolumen dieses so genannten<br />

„seed“-SiO 2 ist kleiner als das Molekularvolumen von Silizium.<br />

Im Gegensatz zu allen anderen SiO 2 -Phasen, die<br />

bisher für die Nukleation von Sauerstoffpräzipitaten<br />

in Betracht gezogen wurden, sind diese kohärenten<br />

Keime mit einem Gittermisfit von 10 % unter Zugspannung.<br />

Unendliches kohärentes Wachstum der Keime<br />

durch Anlagerung von VO 2 ist nicht möglich wegen<br />

der durch den Gittermisfit wachsenden Verzerrungs-<br />

energie und weil die Konzentration der VO 2 -Komplexe<br />

mehrere Grössenordnungen kleiner ist als die Konzentration<br />

des interstitiellen Sauerstoffs. Der interstitielle<br />

Sauerstoff wird jedoch durch das Zugspannungsfeld<br />

des Keims angezogen und oxydiert die Si-Si-Bindungen<br />

in der unmittelbaren Umgebung des nVO 2 -Clusters, wobei<br />

sich ein cristobalitähnlicher Mantel bildet. In der<br />

Anfangsphase kann die Druckspannung im Cristobalit-<br />

Mantel durch die Zugspannung im „seed“-SiO 2 -Kern annihiliert<br />

werden. Bei fortschreitendem Wachstum und<br />

wenn die Temperatur hoch genug ist, kann die Struktur<br />

des Präzipitats amorph werden.<br />

Abb. 36: Kugelmodell eines 4VO 2 -Clusters, das den kleinsten SiO 2 -Keim<br />

darstellt (kleine Kugeln: Sauerstoff).<br />

Fig. 36: Ball-and-stick model of a 4VO 2 cluster comprising the<br />

smallest SiO 2 nucleus (small bullets: oxygen).<br />

Ausgewählte Projekte<br />

Materialien<br />

Selected Projects<br />

Materials<br />

ring cooling after RTA (Fig. 35). The reduction of interstitial<br />

oxygen during the RTA treatment followed by the<br />

two-step anneal as described above can be accurately<br />

modeled for the temperature range from 1150 °C to<br />

1250 °C using Ham’s theory for precipitate growth and<br />

an empirical connection based on nucleation of oxide<br />

precipitates by agglomeration of VO 2 complexes.<br />

Given these results, we developed an atomistic model<br />

that describes the coherent nucleation process of oxygen<br />

precipitates via VO 2 clustering in a vacancy-rich<br />

environment. The model is based on ab initio calculations<br />

for total energies and atomic structures of point<br />

defects and point defect clusters, and can be summarized<br />

as follows:<br />

VO 2 point defect complexes tend to form nVO 2 clusters<br />

because in contrast to isolated VO 2 , the Si atoms surrounded<br />

by 4VO 2 can fully relax its bond angles with<br />

its neighbors (Fig. 36). These tiny nVO 2 clusters have<br />

locally the geometry which is obtained by the periodic<br />

repetition of the 4VO 2 cluster. They are coherent<br />

with the lattice of bulk silicon. The molecular volume<br />

of this “seed”-SiO 2 is lower than the molecular volume<br />

of silicon. In contrast to any other SiO 2 phase considered<br />

for oxide precipitate nucleation, these coherent<br />

nuclei are tensile strained with a lattice mismatch of<br />

10 %. Unlimited coherent growth of nuclei by attachment<br />

of VO 2 is not possible because of the increasing<br />

strain energy due to the misfit and because the concentration<br />

of VO 2 complexes is orders of magnitude<br />

smaller than the concentration of interstitial oxygen.<br />

However, interstitial oxygen is attracted by the tensile<br />

strain field of the nucleus and oxidizes the Si-Si bonds<br />

in the immediate vicinity of the nVO 2 cluster thus forming<br />

a cristobalite-like mantle. In the initial phase,<br />

the compressive strain in the cristobalite mantle can<br />

be annihilated by the tensile strain in the “seed”-SiO 2<br />

core. During further growth and at high enough temperature,<br />

the structure of the precipitate may change<br />

to amorphous.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 59


Ausgewählte Projekte<br />

Materialien<br />

Lichtemitter auf der Basis von<br />

Si-Nanostrukturen<br />

Si-basierte Lichtemitter mit effizienter Strahlung<br />

bei 1,55 µm oder bei 1,3 µm werden für eine zukünf-<br />

tige optische Datenübertragung auf dem Chip benötigt.<br />

Licht emittierende Dioden (LED) aus kristallinen<br />

Si-Nanopartikeln, die in Si-Oxidschichten eingebettet<br />

sind, wurden in der Literatur als intensive Emitter bei<br />

1,55 µm beschrieben. Allerdings ist dazu eine Erbium-<br />

Dotierung erforderlich und die LED muss mit Spannungen<br />

von mindestens 20 V betrieben werden. Hier<br />

berichten wir über grundlegende Untersuchungen für<br />

Lichtemitter, die keine Er-Dotierung erfordern. Sie nutzen<br />

Effekte die von Nanostrukturen ausgelöst werden,<br />

und zwar entweder von Si-Nanodrähten oder von Versetzungen<br />

in Si, die sozusagen natürliche Nanostrukturen<br />

bilden.<br />

60<br />

Si-Nanodrähte<br />

Für konventionelle großflächige LED wird die Lichtausbeute<br />

durch Totalreflexion an der inneren Oberfläche<br />

erheblich verringert. Kürzlich wurde in der Literatur<br />

beschrieben, dass die Lichtextraktion durch Nutzung<br />

von Nanodrähten erheblich verbessert werden konnte,<br />

und zwar im Falle von InGaN/GaN-LED. Um dieses<br />

Prinzip auf Si übertragen zu können, braucht man Si-<br />

Nanodrähte, die bei 1,55 µm effizient strahlen. In der<br />

Zusammenarbeit mit der Zhejiang Universität (VR China),<br />

wo Si-Nanodrähte auf verschiedene Weise hergestellt<br />

werden, konnten wir solche Spezies separieren,<br />

die die gewünschte 1,55-µm-Emission mit hoher Effizienz<br />

aufweisen. Die Abb. 37 zeigt ein Spektrum, das<br />

bei 300 K mittels Kathodolumineszenz aufgenommen<br />

wurde. Nach unserem Wissen wurde erstmalig ein derartiges<br />

Verhalten an Si-Nanodrähten beobachtet. Die<br />

Nanodrähte wurden durch thermische Verdampfung<br />

von SiO auf einem Si-Wafer abgeschieden. Sie weisen<br />

eine kristalline Struktur auf und haben einen Durchmesser<br />

von 20-30 nm. Wir vermuten, dass Sauerstoff<br />

in Verbindung mit Kristalldefekten in den Drähten für<br />

die Ausbildung der markanten 1,55-µm-Lumineszenz<br />

verantwortlich ist.<br />

Versetzungen in Si<br />

Selected Projects<br />

Materials<br />

Versetzungen – als natürliche Nanostrukturen – verursachen<br />

in Si das Quartett der D1-D4-Linien, wobei D1<br />

und D3 bei den benötigten Wellenlängen von 1,55 µm<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Light Emitters Based on Silicon<br />

Nanostructures<br />

Si-based light emitters with efficient emission at 1.55<br />

or 1.3 µm, respectively are required for future on-chip<br />

optical interconnection. Light emitting diodes (LED)<br />

which consist of crystalline Si nanoparticles, embedded<br />

in Si-oxide or in Si-nitride layers, are found to exhibit<br />

efficient emission at 1.55 µm. They operate at a<br />

rather large voltage > 20 V and have to be doped with<br />

erbium (Er). Here we describe novel concepts for light<br />

emitters based on Si nanostructures that do not need<br />

Er. They are based on Si nanowires or on dislocations<br />

in Si (i.e. native nanostructures), respectively.<br />

Si Nanowires<br />

For conventional broad area LED the light extraction<br />

is reduced by the total internal reflection occurring<br />

at the LED surface. Recently, it was shown in the literature<br />

that the light extraction from a LED (based on<br />

InGaN/GaN) was markedly enhanced by using nanorod/nanowire<br />

arrays. This approach could also be<br />

used for silicon if Si nanowires (SiNWs) with appropriate<br />

luminescence behaviour would be available. The<br />

Zhejiang University (P.R. China) provided SiNWs. Here<br />

we report species of Si nanowires emitting efficiently<br />

around 1.55 µm. Fig. 37 shows a spectrum measured<br />

at RT with cathodoluminescence. To our knowledge,<br />

this is the first observation of such an NW behaviour.<br />

The SiNWs were fabricated on top of a Si wafer by<br />

thermal evaporation of Si monoxide. The NWs have<br />

a crystalline structure with a diameter of about 20-<br />

30 nm. Oxygen in conjunction with crystal defects in<br />

the NW is supposed to cause the observed luminescence.<br />

Dislocations in Si<br />

Dislocations in Si form a quartet of D1-D4 lines in<br />

the luminescence spectrum with D1/D3 appearing at<br />

about 1.55 or 1.3 µm, respectively. Their use as active<br />

components in the LED requires a well-controlled formation<br />

of the dislocations. A regular dislocation network<br />

can be formed by Si wafer direct bonding with a<br />

misorientation between the bonded wafers. It consists<br />

of screw and 60 º dislocations at the boundary and remains<br />

stable under thermal treatments. The density<br />

of the dislocations is determined by the angle(s) of<br />

misorientation. Recently, we demonstrated in collabo-


zw. 1,3 µm auftreten. Um Versetzungen allerdings<br />

als aktive Komponente in Bauelementen verwenden zu<br />

können, müssen sie reproduzierbar herstellbar sein. In<br />

Zusammenarbeit mit dem MPI für Mikrostrukturphysik<br />

Halle haben wir bereits gezeigt, dass durch Direktbonden<br />

von Si-Wafern unter Fehlorientierung ein reguläres<br />

Versetzungsnetzwerk an der Grenzfläche gebildet<br />

wird. Das Netzwerk besteht aus Schrauben- und 60 °-<br />

Versetzungen und verhält sich bei nachträglichen thermischen<br />

Behandlungen stabil. Die Versetzungsdichte<br />

CL Signal (a. u.)<br />

1.55 µm<br />

Si nanowires @ 300 K<br />

0.7 0.8 0.9 1.0 1.1 1.2<br />

Energy (eV)<br />

Abb. 37: CL-Spektrum von Silizium-Nanodrähten mit effizienter Lichtemission<br />

um 1,55 µm.<br />

Fig. 37: CL spectrum of Si nanowires at RT demonstrating efficient<br />

emission around 1.55 µm.<br />

hängt von den Winkeln der Fehlorientierung zwischen<br />

beiden Wafern ab. Kürzlich haben wir z. B. bereits gezeigt,<br />

dass bei bestimmter Fehlorientierung nur die D1-<br />

Strahlung vom Versetzungs-Netzwerk ausgeht und dabei<br />

eine Effizienz von einigen Prozent aufweist (IEDM<br />

Techn. Digest <strong>2005</strong>). Nun haben wir eine weitere<br />

Netzwerkstruktur gefunden, bei der die Strahlung bei<br />

1,3 µm dominiert. Abb. 38 zeigt ein entsprechendes<br />

Spektrum, das mittels Kathodolumineszenz aufgenommen<br />

wurde. Eine LED-Struktur mit einem pn-Übergang<br />

oberhalb des Netzwerkes lässt bei Flusspolung von<br />

1-1,5 V eine Versetzungsstrahlung bei 1,55 µm bzw.<br />

1,3 µm erwarten.<br />

Ausgewählte Projekte<br />

Materialien<br />

ration with the MPI for Microstructure Physics, Halle<br />

that a specific misorientation allows the formation of<br />

a network at which only the D1 radiation appears with<br />

an efficiency of a few percent (IEDM Techn. Digest<br />

<strong>2005</strong>). Here, we demonstrate the dominance of D3<br />

radiation (1.3 µm) from another network, see Fig. 38.<br />

A LED emitting efficiently at RT could be produced if a<br />

proper dislocation network is closely located to a p-n<br />

junction, with a forward bias of 1-1.5 V.<br />

Intensity (a.u.)<br />

0.7 0.8 0.9 1.0 1.1 1.2<br />

Energy (eV)<br />

Selected Projects<br />

D3 @ 1.3 µm<br />

Materials<br />

Abb. 38: Das Spektrum zeigt die dominierende D3-Emission eines<br />

durch Direktbonden von Silizium-Wafer geschaffenen Versetzungsnetzwerkes<br />

Fig. 38: Spectrum with dominating D3 emission of a dislocation<br />

network formed by Si wafer direct bonding.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 61


Gemeinsames Labor <strong>IHP</strong>/BTU<br />

<strong>IHP</strong>/BTU Joint Lab


Das Gemeinsame Labor <strong>IHP</strong>/BTU auf dem Campus<br />

der Brandenburgischen Technischen Universität (BTU)<br />

Cottbus besteht seit 2000. Es bündelt die Forschungspotentiale<br />

beider Partner und leistet – unter massgeblicher<br />

Einbeziehung von Studenten – interdisziplinäre<br />

Forschung auf den Gebieten Halbleitermaterialien,<br />

Theoretische Physik, Physikalische Chemie, Systeme,<br />

Schaltungen und Mikroelektronik. Seit <strong>2005</strong> ist auch<br />

die Fachhochschule Senftenberg mit dem Gemein-<br />

samen Labor assoziiert. Weiter wurde die BTU über<br />

das Gemeinsame Labor als Mitglied in das internationale<br />

Konsortium SiWEDS (Silicon Wafer Engineering<br />

& Defect Science Center, siehe www.mse.ncsu.edu/<br />

siweds/) aufgenommen, dem renommierte Halbleiterfirmen,<br />

wie z.B. Texas Instr., Toshiba, Samsung, Siltronic<br />

AG, Centrotherm GmbH, und namhafte Universitäten,<br />

wie z.B. MIT, Stanford, UC Berkeley, angehören.<br />

Der Bund und das Land Brandenburg fördern im Rahmen<br />

des Hochschul- und Wissenschaftsprogramms<br />

HWP im Gemeinsamen Labor den Aufbau eines Kompetenzzentrums<br />

für Halbleitermaterialien und -technologien.<br />

In diesem Zusammenhang wird die Kernkompetenz<br />

des Gemeinsamen Labors „Maßschneidern der<br />

Eigenschaften des Silizium-Materials“ durch grund-<br />

lagenorientierte Vorlaufforschung weiter ausgebaut.<br />

Im Bewusstsein, dass die entwickelte Si-Technologie<br />

heute über breite Möglichkeiten verfügt und nach neuen<br />

Anwendungen sucht, beteiligt sich das Gemeinsame<br />

Labor an Arbeiten, dem Silizium Eigenschaften „anzutrainieren“,<br />

die seinen künftigen Einsatz auf neuen zusätzlichen<br />

Einsatzfeldern gestatten soll. Basierend auf<br />

den Ergebnissen dieser Vorlaufforschung – zu der z.B.<br />

Si-basierte Lichtemitter, Si-basierte Nanostrukturen<br />

wie Schichtstapel c-Si/SiO 2 oder Si-Nanodrähte oder<br />

die kontrollierte Platzierung von Biomolekülen auf Si<br />

für Biochips zählen – werden für das <strong>IHP</strong> Entscheidungen<br />

für seine zukünftige inhaltliche Ausrichtung mit<br />

vorbereitet.<br />

Die langfristigen Forschungsschwerpunkte des Gemeinsamen<br />

Labors zum Komplex „Silizium“ sollen Beiträge<br />

liefern zur Weiterentwicklung der Mikroelektronik,<br />

zur Einführung einer Si-basierten Nanoelekronik,<br />

zur Einführung einer Si-basierten Photonik, zur Verknüpfung<br />

von Si mit der Biologie und zur Unterstützung<br />

der Si-basierten Photovoltaik. Auf dem letztgenannten<br />

Gebiet ist das Gemeinsame Labor in der<br />

BTU-Forschungeinrichtung CeBra (Centrum für Energietechnologie<br />

Brandenburg, siehe www.tu-cottbus.<br />

de/cebra/) verankert.<br />

Gemeinsames Labor<br />

<strong>IHP</strong>/BTU<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

<strong>IHP</strong>/BTU Joint Lab<br />

The <strong>IHP</strong>/BTU Joint Lab located at the campus of the<br />

Technical University of Brandenburg Cottbus (BTU)<br />

was founded in the year 2000. It pools the research<br />

potentials of both partners and conducts interdisciplinary<br />

research – with substantial involvement of students<br />

– in the fields of semiconductor materials, theoretical<br />

physics, physical chemistry, systems, circuits<br />

and microelectronics. Since <strong>2005</strong>, the nearby University<br />

of Applied Sciences Senftenberg is also an associated<br />

partner of the Joint Lab. Moreover, the BTU<br />

Cottbus was accepted via the <strong>IHP</strong>/BTU Joint Lab as a<br />

member of the international consortium SiWEDS (Silicon<br />

Wafer Engineering & Defect Science Center, see<br />

www.mse.ncsu.edu/siweds) associating noted semiconductor<br />

companies, e.g. Texas Instruments, Toshiba,<br />

Samsung, Siltronic AG, Centrotherm GmbH, and<br />

well-known universities such as MIT, Stanford and UC<br />

Berkeley.<br />

Within the framework of their university and science<br />

programs, the Federal Republic of Germany and the<br />

State of Brandenburg support the formation of a centre<br />

of expertise for semiconductor materials and technology<br />

at the Joint Lab. The work of the Joint Lab is<br />

directed towards strengthening its core competencies<br />

in the area of tailoring the properties of the Si material<br />

by conducting a fundamental cutting-edge research.<br />

Aware of the high capabilities of advanced Si technology<br />

and the constant search for new applications, the<br />

Joint Lab participates in research aimed at “training”<br />

the silicon material new properties that will enable its<br />

use in new application areas. The topics comprise e.g.<br />

Si-based light emitters, Si-based nanostructures such<br />

as c-Si/SiO 2 stacks or Si nanowires, and controlled<br />

placement of biomolecules on Si for future biochips.<br />

The results of this cutting-edge research will serve as<br />

a basis for decisions regarding the future research directions<br />

at the <strong>IHP</strong>.<br />

The long-term research topics of the Joint Lab in the<br />

field of silicon contribute to future trends in microelectronics,<br />

implementation of Si-based photonics, implementation<br />

of Si-based nanoelectronics, interfacing Si<br />

microelectronics with biology, and support for Si-based<br />

photovoltaics. With the latter research area, the Joint Lab<br />

is connected to the BTU research facility CeBra (Center<br />

for Energy Technology Brandenburg, see www.tu-cottbus.de/cebra/).<br />

63


Gemeinsames Labor<br />

<strong>IHP</strong>/BTU<br />

<strong>IHP</strong>/BTU Joint Lab<br />

Die Arbeiten zum Komplex „Silicium“ sind inhaltlich wie<br />

folgt strukturiert und werden im Rahmen von Projekten,<br />

großteils in Arbeitsteilung mit externen Partnern,<br />

verfolgt<br />

- Mikroelektronik<br />

Si für zukünftige Halbleitertechnologien<br />

(Forschungsvertrag mit Siltronic AG)<br />

Si-basierte Lichtemitter (Kooperation mit MPI Halle)<br />

Diagnostik für die Si-Material- und Technologieentwicklung<br />

- Nanoelektronik<br />

Bandstrukturdesign unter Nutzung von Si/SiO 2 –<br />

Schichtstapeln (B<strong>MB</strong>F-Projekt mit RWTH Aachen,<br />

HMI Berlin u.a.)<br />

Si-Nanodrähte (Kooperation mit Zhejiang Universität,<br />

Hangzhou, VR China)<br />

- Verknüpfung Si mit Biomolekülen<br />

Kontrollierte Platzierung von Biomolekülen auf Si<br />

(VW-Projekt mit MPI Halle, IPHT Jena, Universität<br />

Göttingen)<br />

- Photovoltaik<br />

Einfluß von Verunreinigungen auf die elektrische<br />

Wirkung von Kristalldefekten in Si (BMU-Projekt mit<br />

Deutsche Solar, Shell Solar, RWE Schott Solar, FhG<br />

ISE Freiburg u.a.)<br />

- Transport in Si-basierten Quantenstrukturen<br />

- Pulsed Laser Deposition<br />

Erzeugung von Nanostrukturen und Hoch-k-Mate-<br />

rialien<br />

Allein zu den den Halbleitermaterialien und -technologien<br />

sind im laufenden Jahr im Joint Lab gemeinsam<br />

mit der BTU 37 Publikationen veröffentlicht oder akzeptiert,<br />

60 Vorträge, darunter 13 eingeladene, gehalten<br />

worden und drei Patente angemeldet worden. Ein<br />

Vortrag auf der Internationalen DRIP-Konferenz in Beijing<br />

wurde mit dem ‚Best paper award for young scientist’<br />

ausgezeichnet.<br />

Für die laufenden Projekte wurden im Jahr <strong>2005</strong> mehr<br />

als 500.000 Euro Drittmittel eingeworben.<br />

Eine wichtige Aufgabe stellt auch der Ausbau der internationalen<br />

Vernetzung des Gemeinsamen Labors dar.<br />

64<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

The research activities within the complex ˝Silicon˝<br />

are organized in the form of projects as stated below.<br />

Often, they are carried out in collaboration with external<br />

partners.<br />

- <strong>Microelectronics</strong><br />

Si for future semiconductor technologies (research<br />

contract with Siltronic AG)<br />

Si-based light emitters (collaboration with MPI Halle)<br />

Diagnostics for Si materials and technology<br />

- Nanoelectronics<br />

Band structure design utilizing Si/SiO 2 stacks (joint<br />

project with RWTH Aachen, HMI Berlin and others,<br />

funding by Federal Ministry of Education and Research)<br />

Si nanowires (cooperation with Zhejiang University,<br />

Hangzhou, PR China)<br />

- Interfacing Si with biomolecules<br />

Controlled placement of biomolecules on Si (project<br />

together with MPI Halle, IPHT Jena, University<br />

Göttingen, funding by VW foundation)<br />

- Photovoltaics<br />

Influence of impurities on the electrical activity of<br />

crystal defects in Si (joint project with Deutsche<br />

Solar, Shell Solar, RWE Schott Solar, FhG ISE Freiburg<br />

and others, funding by Federal Ministry for<br />

the Environment, Nature Conservation and Nuclear<br />

Safety)<br />

- Transport in Si-based quantum structures<br />

- Pulsed Laser Deposition<br />

Fabrication of nanostructures and high-k materials<br />

In the year <strong>2005</strong>, 37 joint publications, 43 oral contributions<br />

and posters, among them 12 invited presentations,<br />

and 3 patents originated from research on<br />

semiconductor materials and technologies in the Joint<br />

Lab together with the BTU. A contribution at the international<br />

conference DRIP <strong>2005</strong> in Beijing received the<br />

‚Best paper award for young scientists’.<br />

Third party funding of more than 500,000 Euro was<br />

obtained in <strong>2005</strong> for the current projects.<br />

The development of the international networking is<br />

an important task of the Joint Lab. Besides the membership<br />

in the SiWEDS consortium, the Joint Lab is


Neben der o.g. Mitgliedschaft im SiWEDS-Konsortium<br />

arbeitet das Joint Lab aktiv im Europäischen Netzwerk<br />

CADRES (Coordinated Action on Defects Related to Engineering<br />

Advanced Silicon Based Devices) mit. Hervorzuheben<br />

ist auch die Ausrichtung des 2nd Sino-German<br />

Symposium „The Silicon Age“ in Cottbus oder die<br />

Mitwirkung in internationalen Konferenzkomitees (z. B.<br />

für die Internationale GADEST <strong>2005</strong> in Frankreich).<br />

Das Gemeinsame Labor unterstützt das Lehrangebot<br />

der BTU mit Vorlesungen, Übungen und Praktika. Im<br />

Jahr <strong>2005</strong> wurden je eine Habilitations- und Doktorarbeit<br />

von Mitgliedern des Gemeinsamen Labors verteidigt<br />

sowie zwei Diplomarbeiten und eine Bachelorarbeit<br />

abgeschlossen. Die Doktorarbeit wurde in <strong>2005</strong><br />

mit dem Förderpreis <strong>2005</strong> der Deutschen Gesellschaft<br />

für Elektronenmikroskopie geehrt.<br />

Weiterführende Informationen über das Gemeinsame<br />

Labor sind unter www.jointlab.de abrufbar.<br />

Gemeinsames Labor<br />

<strong>IHP</strong>/BTU<br />

<strong>IHP</strong>/BTU Joint Lab<br />

actively working in the European networking action<br />

CADRES (Coordinated Action on Defects Related to<br />

Engineering Advanced Silicon Based Devices). The organization<br />

of the 2nd Sino-German Symposium ˝The<br />

Silicon Age˝ in Cottbus and the participation in international<br />

conference committees (e.g. GADEST <strong>2005</strong><br />

in France) have to be pointed out as well.<br />

The Joint Lab supports the education at the BTU Cottbus<br />

by lectures, exercises and practical courses. In<br />

<strong>2005</strong>, a state doctorate and a PhD thesis were defended<br />

by members of the Joint Lab and two diploma theses<br />

and one bachelor thesis were finished. The PhD<br />

thesis was awarded the Prize <strong>2005</strong> of the Deutsche<br />

Gesellschaft für Elektronenmikroskopie.<br />

For further information about the Joint Lab please visit<br />

the website www.jointlab.de.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 65


Konferenzen und Workshops<br />

Conferences and Workshops


Fachsymposium „Anorganische Dielektrika für<br />

die künftige Mikro- und Nanotechnologie“ des<br />

DPG-Fachverbandes „Dünne Schichten“ im Rahmen<br />

der 69. Jahrestagung der Deutschen Physikalischen<br />

Gesellschaft, 5. März <strong>2005</strong>, Berlin.<br />

In dem Symposium wurden neue dielektrische Materialien<br />

für die Integration in bestehende Technologien diskutiert.<br />

Im Zentrum standen Hoch-k-Dielektrika, die aufgrund<br />

ihrer Eigenschaften z. B. größere Schichtdicken<br />

für hochskalierte Transistoren zulassen und somit die bei<br />

Nutzung herkömmlicher Dielektrika sehr geringer Dicke<br />

entstehenden hohen Leckströme verringern.<br />

Das Fachsymposium wurde gemeinsam von Mitarbeitern<br />

des <strong>IHP</strong> und der BTU Cottbus organisiert.<br />

Vierter Internationaler Sommerstudiengang<br />

zur Mikroelektronik, 25. Juli – 5. August <strong>2005</strong>,<br />

Frankfurt (Oder).<br />

Inhaltlicher Schwerpunkt der Veranstaltung war auch<br />

im Jahr <strong>2005</strong> die drahtlose Kommunikation. Das Spektrum<br />

der Vorträge reichte von Mikrosystemtechnologien<br />

über Architekturen für Hochfrequenzschaltungen bis zu<br />

drahtlosen Internetanwendungen. Zu der Veranstaltung<br />

wurden zwanzig Studenten der Mikroelektronik aus ganz<br />

Mittel- und Osteuropa nach Frankfurt (Oder) eingeladen.<br />

Hier trafen sie auf zwanzig Mitarbeiter regionaler Unternehmen<br />

und zehn Experten, die zu den neuesten Trends<br />

in der Mikroelektronik referierten.<br />

Der Sommerstudiengang wurde gemeinsam veranstaltet<br />

vom Kompetenzzentrum Mikroelektronik Frankfurt<br />

(Oder), der Europa-Universität Viadrina und dem <strong>IHP</strong>.<br />

Zweites Chinesisch-deutsches Symposium „The<br />

Silicon Age”, 19. – 24. September <strong>2005</strong>, Cottbus.<br />

Die Themen des Symposiums waren mit der Mikroelektronik,<br />

Photovoltaik, Optoelektronik und Bioelektronik aktuelle<br />

Gebiete, auf denen die beteiligten deutschen und<br />

chinesischen Partner auch wissenschaftlich zusammenarbeiten.<br />

Das Symposium wurde vom chinesisch-deutschen<br />

Zentrum für Wissenschaftsförderung in Peking gefördert,<br />

das von der NSF China und der DFG betrieben wird. Die<br />

Ausrichtung erfolgte durch das <strong>IHP</strong> und das <strong>IHP</strong>/BTU Joint<br />

Lab. Das erste Symposium fand vor drei Jahren in Hangzhou<br />

statt.<br />

Konferenzen<br />

und Workshops<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Conferences<br />

and Workshops<br />

Specialized Symposium “Inorganic Dielectrics<br />

for the Future Micro- and Nanotechnology” of<br />

the DPG Scientific Section “Thin Layers” in the<br />

framework of the 69 th <strong>Annual</strong> Meeting of the<br />

Deutsche Physikalische Gesellschaft, March 5,<br />

<strong>2005</strong>, Berlin.<br />

In the symposium, new dielectric materials for the integration<br />

in existing technologies were discussed. The focus<br />

was based on high-k-dielectrics, which, due to their<br />

characteristics, permit for example big layer thickness<br />

for high-scaled transistors and thus, they reduce the<br />

high leakage currents produced by the use of usual dielectrics<br />

of very low thickness.<br />

The specialized symposium was jointly organized by employees<br />

of the <strong>IHP</strong> and the BTU Cottbus.<br />

Fourth International Summer School for <strong>Microelectronics</strong>,<br />

July 25 – August 5, <strong>2005</strong>, Frankfurt<br />

(Oder).<br />

Content wise, the focus of the meeting was also in <strong>2005</strong><br />

Wireless Communication. The spectrum of the lectures<br />

included Micro System Technologies across architectures<br />

for high frequency circuits up to wireless Internet<br />

applications. Twenty <strong>Microelectronics</strong> students from the<br />

whole of Central and Eastern Europe were invited for the<br />

meeting in Frankfurt (Oder). Here, they met with twenty<br />

employees of regional firms and ten experts, who referred<br />

them to the latest trends in microelectronics.<br />

The summer school was jointly organized by the Competence<br />

Centre <strong>Microelectronics</strong> Frankfurt (Oder), the<br />

European University Viadrina and the <strong>IHP</strong>.<br />

Second Sino-German Symposium “The Silicon<br />

Age”, September 19 – 24, <strong>2005</strong>, Cottbus.<br />

Themes of the symposium were microelectronics, photovoltaics,<br />

optoelectronics and bioelectronics. The participating<br />

German and Chinese partners also collaborate<br />

scientifically in these fields.<br />

The Symposium was sponsored by the Sino-German<br />

Center for Promotion of Sciences in Peking, operated<br />

by the NSF China and the DFG. The <strong>IHP</strong> and the <strong>IHP</strong>/BTU<br />

Joint Lab orientated the symposium. The first symposium<br />

was organized three years ago in Hangzhou.<br />

67


Konferenzen<br />

und Workshops<br />

68<br />

Conferences<br />

and Workshops<br />

Das Symposium wurde von Mitarbeitern des <strong>IHP</strong> und der<br />

Zhejiang Universität Hangzhou (China) organisiert.<br />

Vierter <strong>IHP</strong>-Workshop „High-Performance SiGe:C<br />

BiCMOS for Wireless and Broadband Communication”,<br />

21. September <strong>2005</strong>, Frankfurt (Oder).<br />

Auf diesem Kundenworkshop informierte das <strong>IHP</strong> über<br />

seine neuesten Forschungsergebnisse. Schwerpunkte<br />

waren die BiCMOS-Technologien und deren Verfügbarkeit<br />

für MPW & Prototyping sowie neueste Hochfrequenzschaltungen.<br />

Sechzig Vertreter von 25 Firmen und wissenschaftlichen<br />

Einrichtungen, insbesondere aus Deutschland und Europa,<br />

nutzten das Treffen, um sich über die aktuellen Forschungsarbeiten<br />

des <strong>IHP</strong> zu informieren.<br />

Der Workshop wurde durch das <strong>IHP</strong> organisiert und<br />

durchgeführt.<br />

Tutorial „<strong>IHP</strong> Design Kits“, 22. – 23. September<br />

<strong>2005</strong>, Frankfurt (Oder).<br />

Im Anschluß an den Workshop vom 21. September fand<br />

ein zweitägiges Tutorial statt, bei dem die Teilnehmer<br />

die für die Nutzung der <strong>IHP</strong>-Technologien notwendigen<br />

Spezialkenntnisse erlernen bzw. vertiefen konnten.<br />

Die Veranstaltung wurde vom <strong>IHP</strong> organisiert und durch<br />

die advICo GmbH durchgeführt.<br />

Workshop „Drahtlose Kommunikationstechnologien“,<br />

29. Juni <strong>2005</strong>, Frankfurt (Oder).<br />

Auf diesem Workshop wurden aktuelle Forschungsprojekte<br />

des <strong>IHP</strong> und der TFH Wildau zum Thema drahtlose<br />

Kommunikationstechnologien vorgestellt. Teilnehmer<br />

waren vorrangig Vertreter der regionalen Industrie sowie<br />

regionaler Hoch- und Fachhochschulen.<br />

Der Workshop wurde zusammen vom <strong>IHP</strong> und der Wissens-<br />

und Technologietransferstelle in Frankfurt (Oder)<br />

organisiert.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

The symposium was jointly organized by employees of<br />

the <strong>IHP</strong> and the Zhejiang University Hangzhou (China).<br />

<strong>IHP</strong>‘s 4 th Workshop “High-Performance SiGe:C<br />

BiCMOS for Wireless and Broadband Communication”,<br />

September 21, <strong>2005</strong>, Frankfurt (Oder).<br />

In this customer workshop, the <strong>IHP</strong> informed about its<br />

latest research results. Main topics were the BiCMOS-<br />

Technologies of the <strong>IHP</strong> and their availability for MPW &<br />

Prototyping as well as latest RF circuits.<br />

60 representatives from 25 firms and scientific institutions,<br />

especially from Germany and Europe, used in this<br />

occasion the opportunity to inform themselves about<br />

most up-to-date research works of the <strong>IHP</strong>.<br />

The workshop was organized and realized by the <strong>IHP</strong>.<br />

Tutorial “<strong>IHP</strong> Design Kits“, September 22 – 23,<br />

<strong>2005</strong>, Frankfurt (Oder).<br />

A two days tutorial took place as a continuation of the<br />

workshop on September 21st, in which the participants<br />

learned and reinforced the special knowledge necessary<br />

for the utilization of the <strong>IHP</strong> Technologies.<br />

The meeting was organized by the <strong>IHP</strong> and realized by<br />

the advICo GmbH.<br />

Workshop “Wireless Communication Technologies“,<br />

June 29, <strong>2005</strong>, Frankfurt (Oder).<br />

In this workshop, actual research projects of the <strong>IHP</strong> and<br />

the TFH Wildau with main theme “Wireless communication<br />

technologies” were introduced. Participants were<br />

mainly representatives of regional industries as well as<br />

from Universities and Technical colleges.<br />

The workshop was organized together by the <strong>IHP</strong> and<br />

the Science and Technology Transfer Position in Frankfurt<br />

(Oder).


11. GADEST Konferenz, 25. – 30. September <strong>2005</strong>,<br />

Giens, Frankreich.<br />

Diese internationale Konferenz ist ein Forum für die<br />

Wechselwirkung zwischen Halbleiter-Defektphysik, Materialforschung<br />

und Technologie. Ein besonderer Schwerpunkt<br />

der Tagung in diesem Jahr waren Probleme des<br />

Siliziums, von der Mikroelektronik bis zur Photovoltaik.<br />

Diese Tagung wurde vor zwanzig Jahren durch das <strong>IHP</strong><br />

ins Leben gerufen und findet seit dem im Abstand von<br />

zwei Jahren statt, seit 1997 in verschiedenen europäischen<br />

Ländern.<br />

1 st Leibniz-Conference of Advanced Science (Nanoscience<br />

<strong>2005</strong>), 06. – 08. Oktober <strong>2005</strong>, Lichtenwalde.<br />

Die Konferenz lud zum Dialog unter dem Begriff „Nanoscience“<br />

ein. Schwerpunkte waren Nanoeffekte (vorrangig<br />

bezogen auf Elektronik, Photonik und Biologie), Nanomaterialien<br />

und Nanosysteme.<br />

Veranstalter dieser Konferenz waren das Leibniz-Institut<br />

für interdisziplinäre Studien e.V. (LIFIS), das <strong>IHP</strong> Frankfurt<br />

(Oder) und die Leibniz-Sozietät Berlin e.V.<br />

Workshop „SiGe Technologies for Radio Frequency<br />

Applications”, 24. November <strong>2005</strong>, Moskau.<br />

Auf dem Workshop wurden die neuesten Ergebnisse der<br />

technologischen Forschungen des <strong>IHP</strong> sowie Hochfrequenzschaltungen<br />

unter Nutzung von <strong>IHP</strong>-Technologien<br />

vorgestellt. Teilnehmer waren zahlreiche Mitarbeiter russischer<br />

Firmen, Hochschulen und Forschungseinrichtungen<br />

auf dem Gebiet der Elektronik.<br />

Die Veranstaltung wurde gemeinsam vom Moskauer Forschungsinstitut<br />

„Progress“ und dem <strong>IHP</strong> organisiert.<br />

60-GHz-Workshop, 13. Dezember <strong>2005</strong>, Berlin.<br />

Gegenstand des im Fraunhofer HHI durchgeführten<br />

Workshops waren Forschungsvorhaben zum Hochfrequenz-Design<br />

und zu verschiedenen Applikationen im<br />

Frequenzbereich 60 GHz.<br />

Der Workshop wurde gemeinsam vom Fraunhofer HHI,<br />

der TU Berlin und dem <strong>IHP</strong> organisiert und durchgeführt.<br />

Konferenzen<br />

und Workshops<br />

Conferences<br />

and Workshops<br />

11 th GADEST Conference, September 25 – 29,<br />

<strong>2005</strong>, Giens, France.<br />

This international conference is a forum for the interaction<br />

between semiconductor defect physics, materials<br />

research and technology. The conference in this year<br />

focused especially on silicon problems, from microelectronics<br />

to photovoltaics.<br />

This conference was initiated 20 years ago by the <strong>IHP</strong><br />

and takes place every two years, since 1997 in different<br />

European countries.<br />

1 st Leibniz Conference of Advanced Science (Nanoscience<br />

<strong>2005</strong>), October 6 – 8, <strong>2005</strong>, Lichtenwalde.<br />

The participants of the conference were invited to dialogue<br />

on the notion “Nanoscience“. Main topics were<br />

nano effects (priority drawn from electronics, photonics<br />

and biology), nano materials and nano systems.<br />

Organizers of this conference were the Leibniz Institute<br />

for Interdisciplinary Studies e.V. (LIFIS), the <strong>IHP</strong> Frankfurt<br />

(Oder) and the Leibniz Society, Berlin e.V.<br />

Workshop “SiGe Technologies for Radio Frequency<br />

Applications”, November 24, <strong>2005</strong>, Moscow.<br />

Latest results of the technological researches of the <strong>IHP</strong><br />

as well as RF circuits under utilization of <strong>IHP</strong>-Technologies<br />

were discussed in this workshop. Participants were<br />

numerous employees from Russian firms, universities<br />

and research institutes in the field of electronics.<br />

The workshop was jointly organized and realized by the<br />

Moscow Research Institute “Progress” and the <strong>IHP</strong>.<br />

60 GHz Workshop, December 13, <strong>2005</strong>, Berlin.<br />

Subject of the workshop organized in the Fraunhofer<br />

HHI were research projects of the high frequency design<br />

and the different applications in the frequency range<br />

60 GHz.<br />

The workshop was jointly organized and realized by the<br />

Fraunhofer HHI, the TU Berlin and the <strong>IHP</strong>.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 69


Zusammenarbeit und Partner<br />

Collaboration and Partners


Industrie/Industry *<br />

ABS GmbH, Germany<br />

ACCESS e.V. Aachen, Germany<br />

AdMOS GmbH, Germany<br />

advICO microelectronics GmbH, Germany<br />

Airbus Deutschland GmbH, Germany<br />

AIXTRON AG Germany<br />

Alcatel SEL AG, Germany<br />

Applied Wave Research, USA<br />

Ascom Systec AG, Switzerland<br />

Astron, The Netherlands<br />

Atmel Germany GmbH, Germany<br />

Baolab Microsystems, S.L., Spain<br />

Centellax Inc., USA<br />

centrotherm GmbH & Co. KG, Germany<br />

CoreOptics GmbH, Germany<br />

DaimlerChrysler Research Centre, Germany<br />

Deutsche Solar AG, Germany<br />

EADS Radio Communication Systems GmbH & Co. KG,<br />

Germany<br />

EDA Solutions, UK<br />

Enpirion Inc., USA<br />

European Space Research & Technology Centre, The<br />

Netherlands<br />

Freescale Semiconductor Germany GmbH, Germany<br />

Gaisler Research, Sweden<br />

Genesys Ltd., Ukraina<br />

GWT-TUD GmbH, Germany<br />

IMST GmbH, Germany<br />

Infineon Technologies AG, Germany<br />

InnoSenT GmbH, Germany<br />

Institute for Solar Energy Research GmbH, Germany<br />

* Ausgewählte Partner/ Selected partners<br />

Zusammenarbeit<br />

und Partner<br />

Kayser Threde GmbH, Germany<br />

KMSD, Lithuania<br />

KOTURA Inc., USA<br />

Leica Camera AG, Germany<br />

lesswire AG, Germany<br />

MEDAV GmbH, Germany<br />

MergeOptics, Germany<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Mikroelectronic Arastirma, Turkey<br />

Collaboration<br />

and Partners<br />

Nokia Research Centre, GermanyNTLab, Belarus<br />

Phasor Solutions, UK<br />

Philips Electronics Nederland B.V., The Netherlands<br />

Philips Electronics Ltd., UK<br />

Philips Research Laboratories Aachen, Germany<br />

Robert Bosch GmbH, Germany<br />

RWE Schott Solar GmbH, Germany<br />

Sennheiser electronic GmbH & Co. KG, Germany<br />

Siemens AG, Austria<br />

Siemens AG, Germany<br />

Signalion GmbH, Germany<br />

Siltronic AG, Germany<br />

StrataLight Communications, USA<br />

ST<strong>Microelectronics</strong> N.V., The Netherlands<br />

Tanner Research Inc., USA<br />

Telefunken Radio Communication Systems GmbH &<br />

Co. KG, Germany<br />

TES Electronic Solutions GmbH, Germany<br />

TES Electronic Engineering GmbH, Germany<br />

Texas Instruments GmbH, Germany<br />

T-Systems Nova GmbH, Germany<br />

Winfinity GmbH, Germany<br />

X-FAB Semiconductor Foundries AG, Germany<br />

71


Zusammenarbeit<br />

und Partner<br />

72<br />

Collaboration<br />

and Partners<br />

Forschungsinstitute und Universitäten/Research Institutes and Universities<br />

Australia Telescope National Facility, Australia<br />

CSEM Centre Suisse d’Electronique et de Microtechnique,<br />

Switzerland<br />

Delft University of Technology, The Netherlands<br />

ETH Zurich, Switzerland<br />

European Synchrotron Radiation Facility, France<br />

European University Viadrina of Frankfurt (Oder),<br />

Germany<br />

Fraunhofer IIS, Germany<br />

Fraunhofer IPMS, Germany<br />

Fraunhofer ISE, Germany<br />

Fraunhofer HHI, Germany<br />

Freie Universität Berlin, Germany<br />

Friedrich-Alexander-University Erlangen-Nuremberg,<br />

Germany<br />

Georg-August-University of Göttingen, Germany<br />

Georgia Institute of Technology, USA<br />

Hangzhou Dianzi University, China<br />

Humboldt University of Berlin, Germany<br />

Imperial Collage of London, UK<br />

Indian Institute of Technology, Kharagpur, India<br />

Institute of Computer Science, ICS-FORTH, Greece<br />

Institute for Physical High Technology, Germany<br />

Institute for System Level Integration, UK<br />

Instituto de Telecomunicacoes, Portugal<br />

John von Neumann Institute for Computing, Germany<br />

KTH Stockholm, Sweden<br />

London South Bank University, UK<br />

Ludwig-Maximilians-University of Munich, Germany<br />

Max Planck Institute of Microstructure Physics, Germany<br />

Moscow Engineering Physics Institute (State University),<br />

Russia<br />

National Electronics and Computer Technology Centre,<br />

Thailand<br />

Paul Drude Institute for Solid State Electronics, Germany<br />

Politecnico di Torina, Italy<br />

Progress <strong>Microelectronics</strong> Research Institute, Russia<br />

RadioLabs, Italy<br />

RWTH Aachen, Germany<br />

Saint-Petersburg State University, Russia<br />

* Ausgewählte Partner/Selected partners<br />

Sino German Science Centre, China<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Technical University Bergakademie Freiberg, Germany<br />

Technical University of Berlin, Germany<br />

Technical University of Brandenburg, Germany<br />

Technical University of Braunschweig, Germany<br />

Technical University of Chemnitz, Germany<br />

Technical University of Dresden, Germany<br />

Technical University of Ilmenau, Germany<br />

Technical University of Istanbul, Turkey<br />

Technical University of Munich, Germany<br />

Technical University of Ukraina, Ukraina<br />

TIMA Laboratory, France<br />

Tohoku University Sendai, Japan<br />

Universidade de Aveiro, Portugal<br />

Universidade de Las Palmas de Gran Canaria, Spain<br />

University of Applied Sciences Aalen, Germany<br />

University of Applied Sciences Wildau, Germany<br />

University of Bergen, Norway<br />

University of Bremen, Germany<br />

University of Bristol, UK<br />

University of California, USA<br />

University of Cantabria, Spain<br />

University of Chicago, USA<br />

University of Florence, Italy<br />

University of Glasgow, UK<br />

University of Karlsruhe, Germany<br />

University of Kassel, Germany<br />

University of Konstanz, Germany<br />

University of Limerick, Ireland<br />

University of Malta, Malta<br />

University of Manchester, UK<br />

University of Nottingham, UK<br />

University of Osnabrück, Germany<br />

University of Oulu, Finland<br />

University of Paderborn, Germany<br />

University of Potsdam, Germany<br />

University of Rome, Italy<br />

University of Stuttgart, Germany<br />

University of Toronto, Canada<br />

University of Ulm, Germany<br />

Zhejiang University, Zhedalu, China<br />

*


Zusammenarbeit<br />

und Partner<br />

Professor Dr. Wolfgang Mehr mit Studenten der Technischen Fachhochschule Wildau während des Praktikums am <strong>IHP</strong>.<br />

Professor Wolfgang Mehr with students of the University of Applied Sciences Wildau during the practical course at the <strong>IHP</strong>.<br />

Collaboration<br />

and Partners<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 73


Gastwissenschaftler und Seminare<br />

Guest Scientists and Seminars


Gastwissenschaftler<br />

und Seminare<br />

Gastwissenschaftler/ Institution/Institution Forschungsgebiet/<br />

Guest Scientist Research Area<br />

1. Mr. A. Chakravorty Indian Institute of Technology Materials Research<br />

Kharagpur, India<br />

2. Dr. I. Costina Max Planck Institute for Metals Research, Process Technology<br />

Stuttgart, Germany<br />

3. Dr. C. Dubourdieu Laboratory of Materials and the Physical Materials Research<br />

Genius (LMGP/ENSPG), France<br />

4. Mr. O. Dudnyk Genesis Ltd., Kiev, Ukraina Systems<br />

5. Prof. B. Glück University of Apllied Sciences Lausitz, Materials Research<br />

Senftenberg, Germany (Joint Lab)<br />

6. Mr. O. Gromovyy Institute of Semiconductor Physics, Process Technology<br />

Kiev, Ukraina<br />

7. Mr. M. Grudanov Genesis Ltd., Kiev, Ukraina Systems<br />

8. Mr. S. Mahapatra Indian Institute of Technology, Process Technology<br />

Bombay, India<br />

9. Mr. K. Maharatna University of Bristol, UK Systems<br />

10. Dr. A. U. Mane Humboldt Research Fellowship Process Technology<br />

11. Prof. J. Murota Tohoku University, Sendai, Japan Process Technology<br />

12. Mr. W. Post University of Duisburg-Essen, Germany Process Technology<br />

13. Dr. S. Virko Institute of Semiconductor Physics, Process Technology<br />

Kiev, Ukraina<br />

14. Prof. O. F. Vyvenko St. Petersburg State University, Russia Materials Research<br />

(Joint Lab)<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Guest Scientists<br />

and Seminars<br />

75


Gastwissenschaftler<br />

und Seminare<br />

76<br />

Guest Scientists<br />

and Seminars<br />

Vortragender/Presenter Institution/Institution Topic/Thema<br />

1. Dr. M. Alexe Max Planck Institute of Microstructure “Epitaxial Ferroelectric Films“<br />

Physics, Halle, Germany<br />

2. Prof. F. F. Bier Fraunhofer Institute for Biomedical “Biochips and BioMEMS for Analytics<br />

Engineering IBMT, Potsdam, Germany and Medical Diagnostics“<br />

3. Prof. C. Boit Technical University of Berlin, Germany “Modern Functional Analysis and FIB<br />

Editing of ICs Through Si Backside”<br />

4. Dr. A. Blumenau Max-Planck-Institut für Eisenforschung, “The Modelling of Extended Defects<br />

Düsseldorf, Germany in Semiconductors“<br />

5. Mr. C. Dubourdieu Laboratory of Materials and the Physical “Pulsed Liquid-injection MOCVD of<br />

Genius (LMGP/ENSPG), France High-K Oxides for Advanced Micro-<br />

electronic Applications“<br />

6. Dr. Ch. Heer Infineon Technologies AG, Munich, Germany “Technical and Economical Challen-<br />

ges for Library and IP Development<br />

in Leading Edge CMOS Technologies“<br />

7. Dr. G. Kannen, Fraunhofer Patent Center for German “Utilization of Patent Rights – Con-<br />

RA N. Schmeisser Research, Munich, Germany tract Strategies for Research Coope-<br />

rations with the Industry“<br />

8. Dr. P. S. H. Leather Fizzle Technologies Ltd., Formby, UK “From the Very Front End to the Front<br />

End: Some of the Radio Frequency<br />

Requirements for MIMO Terminals“<br />

9. Dr. S. Mahapatra Indian Institute of Technology, Bombay, “Negative Bias Temperature Instabi-<br />

India lity (NBTI) in CMOS Devices“<br />

10. Dr. T. Mchedlidze Linköping University, Sweden “Novel Si Technologies – New Defects“<br />

11. Dr. W. Post University of Duisburg-Essen, Germany “Tunnel Diodes on Silicon Substrates –<br />

Devices, Circuits and Application<br />

Potential“<br />

12. Dr. K. Pressel Infineon Technologies AG, Regensburg, “High Frequency Packaging: Status<br />

Germany and Future Prospects“<br />

13. Dr. M. Schmidt Hahn-Meitner Institute, Berlin, Germany “Heterostructures – Basis for Effi-<br />

cient Solar Cells“<br />

14. Ph. D. T. Suligoj University of Zagreb, Croatia “Advanced BiCMOS Technology<br />

Based on the Vertical/Pillar-like<br />

Structures“<br />

15. Dr. E. Suvar Royal Institute of Technology, “Epitaxy Development with ASM<br />

KTH Stockholm, Sweden Epsilon“<br />

16. Prof. A. Wolisz Technical University of Berlin, Germany “Sensor Networks: A Hype or a Real<br />

Challenge?“<br />

17. Dr. M. Zacharias Max Planck Institute of Microstructure Physics, “Charge Storage in Si Nanocrystal<br />

Halle, Germany Based MOS Structures“<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T


Gastwissenschaftler<br />

und Seminare<br />

Guest Scientists<br />

and Seminars<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 77


Publikationen<br />

Publications


Area Efficient Hardware Implementation of Elliptic Curve Cryptography by<br />

Iteratively Applying Karatsuba’s Method<br />

Zoya Dyka and Peter Langendoerfer<br />

<strong>IHP</strong>, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany<br />

Abstract<br />

Securing communication channels is especially needed in<br />

wireless environments. But applying cipher mechanisms in<br />

software is limited by the calculation and energy resources<br />

of the mobile devices. If hardware is applied to realize<br />

cryptographic operations cost becomes an issue. In this<br />

paper we describe an approach which tackles all these three<br />

points. We implemented a hardware accelerator for<br />

polynomial multiplication in extended Galois fields (GF)<br />

applying Karatsuba’s method iteratively. With this<br />

approach the area consumption is reduced to 2.1 mm 2 in<br />

comparison to. 6.2 mm 2 for the standard application of<br />

Karatsuba’s method i.e. for recursive application. Our<br />

approach also reduces the energy consumption to 60 per<br />

cent of the original approach. The price we have to pay for<br />

these achievement is the increased execution time. In our<br />

implementation a polynomial multiplication takes 3 clock<br />

cycles whereas the recurisve Karatsuba approach needs<br />

only one clock cycle. But considering area, energy and<br />

calculation speed we are convinced that the benefits of our<br />

approach outweigh its drawback.<br />

Key words: Extended Galois fields, polynomial<br />

multiplication, Elliptic Curve Cryptography, Karatsuba’s<br />

formula.<br />

1. Introduction<br />

Motivation Mobile devices are penetrating our every day<br />

life. More and more sensitive information is exchanged<br />

between mobile nodes and between mobile and fixed<br />

communication endpoints. This data exchange is normally<br />

protected by cipher mechanisms. But due to the scarce<br />

resources of mobile nodes, exhaustive use of cryptographic<br />

means is infeasible. This holds especially true for public key<br />

cryptography, which is normally used to establish a secure<br />

channel between the communicating parties as well as for<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

langendoerfer@ihp-microelectronics.com<br />

providing digital signatures. Hardware accelerators for<br />

public key cryptography operations are ideal means to reduce<br />

the calculation time as well as the energy consumption. But,<br />

a straight forward realization of cryptographic operations<br />

results in a relatively large area consumption, which makes<br />

the application of hardware accelerators economically<br />

infeasible. Thus, our design constraints were:<br />

• Calculation time,<br />

• Energy consumption, and<br />

• Area consumption.<br />

Reprints of<br />

Selected Publications<br />

We decided to use Elliptic Curve Cryptography (ECC) since<br />

it guarantees the same security level as RSA does but with<br />

significant shorter keys. In addition to this the ECC<br />

operations are faster than those of RSA [1]. We selected B-<br />

233 over Galois field GF(2 233 ) which is recommended by<br />

NIST [2] and well suited to be implemented in hardware.<br />

Despite ECC is less computational intensive than RSA it still<br />

requires a significant effort in terms of energy and time. In<br />

this paper we concentrate on the area efficient realization of<br />

basic mathematical operations, which are used in ECC.<br />

ECC Background In order to calculate the product of two<br />

233 bit long operands, denoted ‘kP’. Here P is a point on an<br />

elliptic curve (EC) and k is a large number. The ‘kP’<br />

multiplication is based on point doubling and point addition.<br />

All these EC point operations are based on addition,<br />

subtraction, squaring, multiplication and division in a chosen<br />

GF. The basic operations in GF(2 233 ) are addition, squaring,<br />

multiplication and division of polynomials. Addition of<br />

polynomials is equivalent to a bit-wise XOR operation.<br />

Squaring and multiplication require two steps:<br />

squaring/multiplication itself and reduction of the result.<br />

Reduction is done using so-called irreducible polynomials<br />

and it is a fast operation in GF(2 n ). The irreducible<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 79


Nachdrucke<br />

ausgewählter Publikationen<br />

80<br />

polynomial for B-233 is the trinomial: f ( x)<br />

= x ⊕ x ⊕1<br />

Division of polynomials usually is done in two steps: first<br />

identifying the inverse of the divisor using the irreducible<br />

polynomial, and second multiplying the inverse with the<br />

dividend. Multiplication and division of polynomials require<br />

the major part of the calculation time.<br />

In this paper we are concentrating on polynomial<br />

multiplication, since our long term goal is to implement a<br />

Montgomery multiplier for the ‘kP’ operation. The<br />

Montgomery method requires only one polynomial division<br />

for ‘kP’, so that the major effort comes from the<br />

multiplication.<br />

Contribution and structure of this paper In this paper we<br />

show that an iterative application of the Karatsuba method<br />

provides very good results with respect to the following<br />

three parameters: calculation time, area consumption and<br />

energy consumption. With our iterative hardware solution,<br />

the chip area needed to calculate the product of two 233 bit<br />

long operands, is 2.1 mm 2 whereas the standard application<br />

of Karatsuba’s method needs 6.2 mm 2 . Our approach also<br />

reduces the energy consumption to 60 per cent of the<br />

original approach. The price we have to pay for these<br />

achievements is the increased execution time. In our<br />

implementation a polynomial multiplication takes 3 clock<br />

cycles whereas the original one needs only one clock cycle.<br />

The rest of this paper is structured as follows. Section 2<br />

contains a short description of implemented methods. We<br />

propose to use the Karatsuba’s formula for polynomial<br />

multiplication iteratively. The detailed description of our<br />

approach is given in Section 3. Section 4 discusses the<br />

hardware realization of our approach and provides<br />

measurement results. We conclude the paper with a short<br />

discussion of our results and an outlook on further research<br />

steps.<br />

2. State of the art<br />

Reprints of<br />

Selected Publications<br />

In this section we describe methods for polynomial<br />

multiplication in polynomial basis. We implemented these<br />

methods and different combinations of them to realize our<br />

own approach and to benchmark our solution.<br />

1 n<br />

Note: In GF(2 ) addition and subtraction are XOR operations. Due to this<br />

and for simpler understanding of formulas we change the usual<br />

representation of polynomials � −<br />

=<br />

=<br />

1 n<br />

i<br />

( ) i<br />

i 0<br />

x a x<br />

n<br />

i<br />

A to A x ⊕ ai<br />

x<br />

i<br />

−<br />

=<br />

=<br />

1<br />

( )<br />

. In<br />

0<br />

rest of this paper we denote XOR operation as ‘⊕’. The symbol ‘+’ means<br />

always an ordinary addition.<br />

233<br />

74<br />

1 .<br />

2.1. Polynomial multiplication<br />

The product of two polynomials<br />

i<br />

A x ⊕ a x<br />

−<br />

n<br />

( ) and B x ⊕ b x<br />

−<br />

= 1<br />

( )<br />

n<br />

=<br />

i=<br />

1<br />

0<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

i<br />

i=<br />

0<br />

2n<br />

2<br />

i<br />

is the polynomial: C( x)<br />

A(<br />

x)<br />

B(<br />

x)<br />

⊕ c x ,<br />

−<br />

= ⋅ =<br />

where ci ak<br />

⋅bl<br />

, i.e.:<br />

= ⊕<br />

k + l=<br />

i<br />

i<br />

i<br />

i=<br />

0<br />

c0<br />

= a0<br />

⋅b0<br />

c1<br />

= a1<br />

⋅b0<br />

⊕ a0<br />

⋅b1<br />

�<br />

cn−1<br />

= an−1<br />

⋅b0<br />

⊕ an−<br />

2 ⋅b1<br />

⊕�⊕<br />

a0<br />

⋅bn<br />

−1<br />

�<br />

c2n−3<br />

= an−1<br />

⋅bn<br />

−2<br />

⊕ an−<br />

2 ⋅bn<br />

−1<br />

c2n−<br />

2 = an−1<br />

⋅bn<br />

−1<br />

(1)<br />

The straight forward implementation of formula (1) requires<br />

n 2 partial multiplication and (n-1) 2 XOR operations of partial<br />

products in order to calculate ci. All operands in formula (1)<br />

are only one-bit long. In case of using EC B-233 both<br />

polynomials A(x) and B(x) are 233-bit long. It means that in<br />

total 233 2 one-bit partial multiplications and 232 2 XOR<br />

operations are required.<br />

2.2. Karatsuba based methods<br />

Original Karatsuba’s method For polynomial<br />

multiplication with original Karatsuba method [3] both<br />

operands have to be fragmentized into two equal parts. If the<br />

length n of operands is odd, they have to be padded with<br />

leading ‘0’. So, operands can be written as 2 :<br />

A(<br />

x)<br />

= a<br />

n−1<br />

... a a<br />

1<br />

... a a<br />

n<br />

2<br />

n<br />

−1<br />

2<br />

1 0<br />

n<br />

2 0<br />

= a ⋅ x ⊕ a<br />

= a<br />

n−1<br />

... a<br />

n<br />

2<br />

⋅ x<br />

n<br />

2<br />

i<br />

⊕ a<br />

n<br />

−1<br />

2<br />

2 We denote as ai the i th bit and as a i the i th segment of operand A(x).<br />

... a a<br />

1<br />

0<br />

=<br />

(2)


The polynomial B(x) is represented in the same way. The<br />

Karatsuba’s formula for the product C(x)=A(x)�B(x) is<br />

C(<br />

x)<br />

= a<br />

0<br />

b<br />

0<br />

1<br />

⊕<br />

1<br />

0 0 1 1 0 1 0 1<br />

[ a b ⊕ a b ⊕ ( a ⊕ a )( b ⊕ b ) ] ⋅<br />

n<br />

⊕ a b ⋅ x<br />

i i<br />

In order to calculate the partial products a b Karatsuba’s<br />

formula can be applied recursively. In this case it requires<br />

log 2 3 1.<br />

58<br />

in total s = s partial multiplications, where s is the<br />

number of segments. This method can be used to speed up<br />

software as well as hardware implementations. Usually in<br />

software implementations the Karatsuba’s approach is<br />

applied until both operands have a size of one word.<br />

In Bailey and Paar [4] a new scheme how to apply<br />

Karatsuba’s idea was proposed. In this scheme the operands<br />

are divided into three parts. Throughout the rest of this<br />

paper we denote this method as Bailey’s method. It requires<br />

6 partial multiplications of n/3-bit long operands. This<br />

method can be combined with the original Karatsuba<br />

formula for operands, whose length is divisible by six.<br />

3. Iterative Application of the Karatsuba<br />

Approach<br />

The major point in our approach is to apply the original<br />

version Karatsuba’s method iteratively. We denote this as<br />

Iterative-Karatsuba method. The major benefits of this<br />

approach are:<br />

- a smaller area consumption of the hardware accelerators<br />

due to the fact that partial multiplications can be<br />

performed serially<br />

- a reduced number of XOR operations compared with<br />

the recursive variant of Karatsuba’s method.<br />

We explain our idea of the iterative application of<br />

Karatsuba’s formula using an example in which the<br />

operands are split up into four segments. First of all, we use<br />

the original Karatsuba formula to obtain the expression for a<br />

product, in which only 1-segment long operands for partial<br />

multiplication are used.<br />

So, at the beginning we have two operands, each of them<br />

4n-bit long. We fragment each operand into two 2n-bit long<br />

parts:<br />

3<br />

2<br />

A(<br />

x)<br />

= a a a a = a a ⋅ x<br />

3 2 1 0 3 2<br />

B(<br />

x)<br />

= b b b b = b b ⋅ x<br />

1<br />

0<br />

3<br />

2<br />

2n<br />

2n<br />

1<br />

0<br />

⊕ a a<br />

1 0<br />

⊕ b b<br />

The result of applying Karatsuba’s formula is:<br />

x<br />

n<br />

2<br />

⊕<br />

(3)<br />

(4)<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

C(<br />

x)<br />

= a a ⋅b<br />

b<br />

⊕<br />

3 2 3 2<br />

⊕ a a ⋅b<br />

b ⋅ x<br />

1<br />

0<br />

1 0 1 0 3 2 3 2 13 02 13 02<br />

[ a a ⋅b<br />

b ⊕ a a ⋅b<br />

b ⊕ a a ⋅b<br />

b ] ⋅<br />

where<br />

13<br />

02<br />

13<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 81<br />

1<br />

0<br />

4n<br />

n<br />

⊕<br />

02<br />

1<br />

3<br />

0<br />

x<br />

2n<br />

2<br />

⊕<br />

(5)<br />

a a = a ⋅ x ⊕ a = ( a ⊕ a ) ⋅ x ⊕ ( a ⊕ a ) =<br />

1 n 0 3 n 2 1 0 3 2<br />

= ( a ⋅ x ⊕ a ) ⊕ ( a ⋅ x ⊕ a ) = a a ⊕ a a<br />

(6)<br />

and<br />

13<br />

02<br />

1<br />

0<br />

3<br />

2<br />

b b = b b ⊕ b b<br />

(7)<br />

Every 2-segments element is: a a = a ⋅ x ⊕ a . So, for<br />

each partial multiplication from (6) and (7) we use the<br />

Karatsuba’s formula again. The final result is given in<br />

formula (8).<br />

C(<br />

x)<br />

= a<br />

i<br />

3 3 6n<br />

2 2 3 3 23 23 5n<br />

⋅b<br />

⋅ x ⊕ ( a ⋅b<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

) ⋅ x<br />

1 1 2 2 3 3 13 13 4n<br />

⊕ ( a ⋅b<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

) ⋅ x ⊕<br />

0 0 1 1 2 2 3 3<br />

⊕ ( a ⋅b<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

⊕<br />

01 01 02 02 13 13 23 23<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

0123 0123 3n<br />

⊕ a ⋅b<br />

) ⋅ x ⊕<br />

0 0 1 1 2 2 02 02 2n<br />

⊕ ( a ⋅b<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

⊕ a ⋅b<br />

) ⋅ x ⊕<br />

0 0 1 1 01 01 n 0 0<br />

⋅ ⋅<br />

⋅<br />

⋅<br />

⊕ ( a<br />

b ⊕ a b ⊕ a<br />

j<br />

b<br />

i<br />

) ⋅ x<br />

n<br />

n<br />

⊕ a<br />

(8)<br />

Each of the operands is 1-segment long, so that the resulting<br />

partial product is (2n-1)-bit long. We denote the bits from n-<br />

i i i i<br />

1 to 0 of the product a ⋅ b as a b [ 0]<br />

and the bits from 2ni<br />

i<br />

1 to n as a b [ 1]<br />

:<br />

i<br />

i<br />

i<br />

i<br />

n<br />

i<br />

i<br />

Reprints of<br />

Selected Publications<br />

a ⋅ b = a b [ 1]<br />

⋅ x ⊕ a b [ 0]<br />

(9)<br />

Using the notation introduced in (9) we can represent<br />

formula (8) as given in table 1.<br />

j<br />

b<br />

⊕<br />


Nachdrucke<br />

ausgewählter Publikationen<br />

82<br />

Table1. Representation of formula (8)<br />

partial products segments of result<br />

a 0 �b 0 [0] ⊕ ⊕ ⊕ ⊕<br />

a 0 �b 0 [1] ⊕ ⊕ ⊕ ⊕<br />

a 1 �b 1 [0] ⊕ ⊕ ⊕ ⊕<br />

a 1 �b 1 [1] ⊕ ⊕ ⊕ ⊕<br />

a 2 �b 2 [0] ⊕ ⊕ ⊕ ⊕<br />

a 2 �b 2 [1] ⊕ ⊕ ⊕ ⊕<br />

a 3 �b 3 [0] ⊕ ⊕ ⊕ ⊕<br />

a 3 �b 3 [1] ⊕ ⊕ ⊕ ⊕<br />

(a 0 ⊕ a 1 )�( b 0 ⊕ b 1 ) [0] ⊕ ⊕<br />

(a 0 ⊕ a 1 )�( b 0 ⊕ b 1 ) [1] ⊕ ⊕<br />

(a 0 ⊕ a 2 )�( b 0 ⊕ b 2 ) [0] ⊕ ⊕<br />

(a 0 ⊕ a 2 )�( b 0 ⊕ b 2 ) [1] ⊕ ⊕<br />

(a 1 ⊕ a 3 )�( b 1 ⊕ b 3 ) [0] ⊕ ⊕<br />

(a 1 ⊕ a 3 )�( b 1 ⊕ b 3 ) [1] ⊕ ⊕<br />

(a 2 ⊕ a 3 )�( b 2 ⊕ b 3 ) [0] ⊕ ⊕<br />

(a 2 ⊕ a 3 )�( b 2 ⊕ b 3 ) [1] ⊕ ⊕<br />

(a 0 ⊕ a 1 ⊕ a 2 ⊕ a 3 )�( b 0 ⊕ b 1 ⊕ b 2 ⊕ b 3 ) [0] ⊕<br />

(a 0 ⊕ a 1 ⊕ a 2 ⊕ a 3 )�( b 0 ⊕ b 1 ⊕ b 2 ⊕ b 3 ) [1] ⊕<br />

C(x)<br />

=<br />

7 6 5 4 3 2 1 0<br />

c c c c c c c c<br />

All columns in table 1 which are nested under the topic<br />

‘segments of result’ in represent a certain segment c i . For<br />

each partial product two lines are given in Table 1, one line<br />

representing the lower (a x b x [0]), and a second one<br />

representing the upper part (a x b x [1]) of the product as<br />

specified above. The segment c i can be calculated by XOR-<br />

ing all lines in the table 1, which contain the symbol '⊕ ' in<br />

the column of c i . For example c 5 can be calculated as<br />

follows:<br />

c 5 =a 1 b 1 [1]⊕ a 2 b 2 [0]⊕ a 2 b 2 [1]⊕ a 3 b 3 [0]⊕ a 3 b 3 [1]⊕<br />

((a 1 ⊕ a 3 )(b 1 ⊕ b 3 )[1])⊕ ((a 2 ⊕ a 3 )(b 2 ⊕ b 3 )[0]) (10)<br />

Each segment c i can be calculated iteratively i.e. step by<br />

0 0<br />

step as we calculate the partial products starting with a b<br />

0 1 2 3 0 1 2 3<br />

down to ( a a ⊕ a ⊕ a ) ⋅ ( b ⊕ b ⊕ b ⊕ b )<br />

⊕ . We then start<br />

to calculate the segments of products using the already<br />

received results. For example:<br />

Step 1 Step 2 …<br />

0<br />

1<br />

2<br />

3<br />

4<br />

0<br />

0<br />

0<br />

0<br />

0<br />

0<br />

c = a b [ 0]<br />

0<br />

c = a b [ 0]<br />

⊕ a b [ 1]<br />

0<br />

c = a b [ 0]<br />

⊕ a b [ 1]<br />

0<br />

c = a b [ 0]<br />

⊕ a b [ 1]<br />

0<br />

c = a b [ 1]<br />

0<br />

0<br />

0<br />

0<br />

0<br />

0<br />

1<br />

2<br />

3<br />

4<br />

5<br />

1<br />

2<br />

3<br />

4<br />

1 1<br />

1 1<br />

c = c ⊕ a b [ 0]<br />

1 1<br />

1 1<br />

1 1<br />

c = a b [ 1]<br />

Reprints of<br />

Selected Publications<br />

1 1<br />

c = c ⊕ a b [ 0]<br />

⊕ a b [ 1]<br />

1 1<br />

c = c ⊕ a b [ 0]<br />

⊕ a b [ 1]<br />

1 1<br />

c = c ⊕ a b [ 0]<br />

⊕ a b [ 1]<br />

And so<br />

on to<br />

Step 9<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

This iterative calculation of the C(x) reduces the area of our<br />

hardware multiplier. We need only one partial multiplier for<br />

1-segment long operands. After each new clock this<br />

multiplier delivers the next partial product. In that way the<br />

segments of product C(x) are collected. For the above given<br />

example this means after 9 clock cycles all segments contain<br />

the correct product of the polynomial multiplication.<br />

Additionally we exploit another ‘iterative possibility’: we do<br />

not need to calculate all segments of C(x) separately. We can<br />

use c 0 to determine c 1 after the first clock, c 1 for c 2 after<br />

second clock, and so on (see table 2). This iterative<br />

calculation reduces the number of XOR operations to 29<br />

compared to 42 XOR operations if the calculation of every<br />

c i is done separately.<br />

In a similar way we applied our iterative approach to<br />

Bailey’s method, which we call Iterative-Bailey throughout<br />

the rest of this paper.<br />

Table 2. Exact operation sequence of our hardware<br />

implementation of formula (8)<br />

clock obtained partial product sequence of operations<br />

1 pr = a 0 ⋅ b 0 c 0 = pr[0]<br />

c 1 = pr [1]<br />

2 pr = a 1 ⋅ b 1 c 1 = c 1 ⊕ c 0 ⊕ pr[0]<br />

c 2 = pr[1]<br />

3 pr = a 2 ⋅ b 2 c 2 = c 2 ⊕ c 1 ⊕ pr[0]<br />

c 3 = pr[1]<br />

4 pr = a 3 ⋅ b 3 c 3 = c 3 ⊕ c 2 ⊕ pr[0] ⊕ pr[1]<br />

c 7 =pr[1]<br />

5 pr = (a 0 ⊕ a 1 ) ⋅ (b 0 ⊕ b 1 ) c 6 = c 3 ⊕ c 2<br />

c 5 = c 3 ⊕ c 1<br />

c 4 = c 3 ⊕ c 0 ⊕ pr[1]<br />

c 3 = c 3 ⊕ c 7 ⊕ pr[0]<br />

c 2 = c 2 ⊕ pr[1]<br />

c 1 = c 1 ⊕ pr[0]<br />

6 pr = (a 0 ⊕ a 2 ) ⋅ (b 0 ⊕ b 2 ) c 3 = c 3 ⊕ pr[0] ⊕ pr[1]<br />

c 2 = c 2 ⊕ pr[0]<br />

c 4 = c 4 ⊕ pr[1]<br />

7 pr = (a 1 ⊕ a 3 ) ⋅ (b 1 ⊕ b 3 ) c 4 = c 4⊕ pr[0] ⊕ pr[1]<br />

c 3 = c 3 ⊕ pr[0]<br />

c 5 = c 5 ⊕ pr[1]<br />

8 pr = (a 2 ⊕ a 3 ) ⋅ (b 2 ⊕ b 3 ) c 3 = c 3 ⊕ pr[0]<br />

c 5 = c 5 ⊕ pr[0]<br />

c 4 = c 4 ⊕ pr[1]<br />

9 pr = (a 0 ⊕ a 1 ⊕ a 2 ⊕ a 3 ) ⋅<br />

� (b 0 ⊕ b 1 ⊕ b 2 ⊕ b 3 )<br />

c 6 = c 6 ⊕ pr[1]<br />

c 3 = c 3 ⊕ pr[0]<br />

c 4 = c 4 ⊕ pr[1]<br />

4. Hardware implementation<br />

In this section we will present the design and the key<br />

parameters of our hardware realization of the Iterative<br />

Karatsuba approach.


The design of the Iterative Karatsuba accelerator consists of<br />

three major parts (see Fig. 1):<br />

• Selection block feeds certain parts of both operands<br />

into the Partial Multiplier, for each new clock<br />

signal.<br />

• Partial Multiplier block calculates the partial<br />

product of the operands delivered by the selection<br />

block and provides the results to the product<br />

accumulation block.<br />

• Product Accumulation block computes the final<br />

product from the partial products it receives from<br />

the partial multiplier. The theoretical basis and<br />

exact operation sequence is discussed in detail in<br />

Section 3.<br />

Figure 1: Block diagram of our Iterative-Karatsuba<br />

multiplier<br />

The performance, chip area and energy consumption of a<br />

polynomial multiplier are dominated by the partial<br />

multiplier which is used. The larger the input signals of the<br />

partial multiplier may be, the faster the partial multiplier is.<br />

But this also results in a relatively large area consumption.<br />

So, the design decision to make seems to be straight<br />

forward: calculation time versus chip area. This is true as<br />

long as only the partial multiplier is considered. But for the<br />

polynomial multiplier also the area of the selection and the<br />

product accumulation block have to be taken into account.<br />

The chip area needed for the accumulation block depends on<br />

the area of the partial multiplier in an inverse proportional<br />

manner, i.e. the smaller the partial multiplier the larger the<br />

accumulation block. This results from the fact that in case of<br />

small partial multipliers more intermediary results have to<br />

be stored for the final calculation of the polynomial product.<br />

For example the size of the accumulation block is 0,649<br />

mm 2 if the partial multiplier accepts 128 bit long operands,<br />

and 1,466 mm 2 if the maximum length of the operands is 32<br />

bits.<br />

In order to determine the most appropriate design for a<br />

polynomial multiplier we realized several partial multipliers.<br />

We realized 3 one-clock partial multipliers for our iterative<br />

Karatsuba as well as for our iterative Bailey approach.<br />

These partial multipliers accept operands with a maximal<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

length of 128, 64 and 32 bits respectively. They were<br />

synthesized with a library of our in-house 0.25 m CMOS-<br />

Technology [5]. Table 3 shows the area, the time and energy<br />

consumption for each of these six partial multipliers. These<br />

values stem from the Design analyzer tool from Synopsys<br />

[6].<br />

Table 3. Parameters of synthesized partial<br />

multipliers<br />

Name of partial<br />

multiplier (PM)<br />

Length<br />

of input<br />

values,<br />

bits<br />

Area, mm 2 time, ns Energy/clock,<br />

pW s<br />

k128_k64_k32_k16_sh8 128 1.620410 12.53 1394.4000<br />

k64_k32_k16_sh8 64 0.514759 8.99 40<strong>4.3</strong>913<br />

k32_k16_sh8 32 0.159006 5.62 108.2011<br />

p81_p27_sh9 81 0.896391 7.98 692.0033<br />

p39_sh13 39 0.264672 5.97 179.4565<br />

p27_sh9 27 0.133616 4.80 88.4779<br />

In order to benchmark our approach we realized polynomial<br />

multipliers using the following approaches:<br />

• Iterative Karatsuba<br />

• Iterative Bailey<br />

• Original Karatsuba (recursive)<br />

• Original Bailey (recursive)<br />

Reprints of<br />

Selected Publications<br />

For the first two approaches, i.e. for our own iterative<br />

approaches, we realized three polynomial multipliers using<br />

different partial multipliers (see table 3) in order to see how<br />

the partial multiplier influences the overall parameters. We<br />

named these multipliers so that the name indicates the<br />

applied method. For example, the name<br />

iterative_Karatsuba_8segments means: Iterative-Karatsuba<br />

fragmentizing incoming operands into 8 segments.<br />

In the two recursive multipliers the original Karatsuba and<br />

the Bailey formula are applied down to one-bit operands.<br />

Both multipliers deliver the polynomial product after one<br />

clock cycle. They differ in the length of the input operands.<br />

The Karatsuba multiplier expects always two 256 bit long<br />

input values whereas the Bailey multiplier expects two 243bit<br />

long input values.<br />

Since we are going to use these multipliers for EC B-233 the<br />

two input values will be only 233-bit long. Therefore the<br />

operands were padded with leading 0’s if it was necessary.<br />

The result of the multiplication is always 465-bit long.<br />

We synthesized all polynomial multipliers using a library of<br />

our in-house 0.25 m CMOS-Technology [5]. We obtained<br />

the data represented in these tables with different kinds of<br />

reports from the Synopsys “Design Analyzer” [6]. The<br />

parameters of the implemented polynomial multipliers are<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 83


Nachdrucke<br />

ausgewählter Publikationen<br />

84<br />

given in Table 4. Our results clearly indicate that an iterative<br />

application of the original Karatsuba and Bailey approach<br />

significantly reduces the chip area. If the number of<br />

iterations is kept small, our approach also helps to reduce<br />

the energy consumption. In those designs the decision is less<br />

area and less energy versus slower execution time.<br />

Increasing the number of iterations helps to reduce the chip<br />

area needed, but it also leads to an increased power<br />

consumption and an increased calculation time. So, these<br />

implementations are beneficial only if cost is the dominating<br />

parameter.<br />

Table 4. Parameters of synthesized polynomial<br />

multipliers<br />

Name of multiplier Area,<br />

iterative_Karatsuba_<br />

2segments<br />

(PM - k128_k64_<br />

k32_k16_sh8)<br />

iterative_Karatsuba_<br />

4segments (PM -<br />

_k64_k32_k16_sh8)<br />

iterative_Karatsuba_<br />

8segments<br />

(PM -_k32_k16_sh8)<br />

iterative_Bailey_<br />

3segments<br />

(PM - p81_p27_sh9)<br />

iterative_Bailey_<br />

6segments<br />

(PM - p39_sh13)<br />

iterative_Bailey_<br />

9segments<br />

(PM - p27_sh9)<br />

recurcive_Karatsuba_<br />

for_1clock<br />

recurcive_Bailey_<br />

for_1clock<br />

Reprints of<br />

Selected Publications<br />

S, mm 2<br />

Number Period, Power, Energy,<br />

of T, ns P, mW E=T N P,<br />

clocks,<br />

N<br />

pW s<br />

2.18 3 15 98.89 4450.1<br />

1.52 9 10 105.48 9493.2<br />

1.67 27 9 107.63 26154.1<br />

2.12 6 10 148.16 8889.6<br />

1.60 18 9 110.46 17894.5<br />

1.71 36 9 103.35 33485.4<br />

6.28 1 19.35 326.15 6311.0<br />

7.02 1 16.94 441.75 7483.3<br />

5. Conclusions and Outlook<br />

In this paper we discussed the iterative application of<br />

Karatsuba’s method for polynomial multiplications as a<br />

means to reduce the chip area and energy needed to run<br />

elliptic curve cryptography on mobile devices. In order to<br />

evaluate our approach we analyzed different methods for<br />

polynomial multiplication in GF(2 n ), and implemented<br />

different polynomial multiplication algorithms. For our own<br />

approach we realized several partial multipliers. Weused<br />

them to implement a set of iterative polynomial multipliers<br />

with the goal to identify the one which is best suited for<br />

application in mobile devices. Our results clearly indicate<br />

that our iterative approach leads to significantly better<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

results with respect to area and energy consumption than the<br />

original straight forward application.<br />

Our next step is the finalization of our Montgomery ‚kP’<br />

multiplier. In this multiplier we will use the Fermat theorem,<br />

since it allows to determine the inverse for the division<br />

multiplication and squaring. The Fermat theorem is slower<br />

than the Extended Euklidian Algorithm or the method<br />

proposed by Shantz [7], but it requires less area. Since the<br />

Montgomery method requires only a single division, we<br />

think that the smaller area outweighs the slower<br />

performance.<br />

Acknowledgements<br />

This work was partially funded by the German Ministry of<br />

Education and Research under grant 01AK060B.<br />

References<br />

[1] Brown, M., Cheung, D., Hankerson, D., Hernandez,<br />

J.L., Kirkup, M., Menezes, A. (2000) PGP in<br />

Constrained Wireless Devices. Proceedings of the 9th<br />

USENIX Security Symposium, August 14 –17, 2000,<br />

Denver, Colorado, USA. USENIX Association,<br />

http://www.usenix.org/events/sec00/full_papers/brown<br />

/brown.pdf, last viewed October 6, 2003.<br />

[2] U.S. Department of Commerce/National Institute of<br />

Standards and Technology (NIST) (2000) Digital<br />

Signature Standard. FIPS PUB 186-2. Federal<br />

[3]<br />

Information Processing Standards Publication,<br />

http://csrc.nist.gov/publications/fips/fips186-2/fips186-<br />

2-change1.pdf, last viewed October 6, 2003.<br />

Karatsuba A.; Ofman Y. Multiplication of multidigit<br />

numbers by automata, Soviet Physics-Doklady 7, p.<br />

595-596, 1963<br />

[4] Bailey, D. V. and Paar, C. Efficient Arithmetic in<br />

Finite Field Extensions with Application in Elliptic<br />

Curve Cryptography. Journal of Cryptology, vol. 14,<br />

no. 3, 153–176. 2001<br />

[5] “Technology”, <strong>IHP</strong> (Innovations for High Performance<br />

microelectronics), http://www.ihp-ffo.de.<br />

[6] “Products & Solutions”, Synopsys,<br />

http://www.synopsys.com/products/logic/logic.html<br />

[7] A.Weimerskirch, C.Paar and S.C.Shantz (2001)<br />

Elliptic Curve Cryptography on a Palm OS Device.<br />

Proceeding of 6 th Australasian Conference on<br />

Information Security and Privacy (ACISP 2001), 11-13<br />

July 2001, Macquarie University, Sydney, Australia.


Abstract—In this paper, a low-complexity approximate<br />

channel inverse initialization scheme for blind equalization is<br />

proposed. The underlying idea is that the inverse of minimum<br />

phase finite impulse response (FIR) channels can be well<br />

approximated by inverting the most significant paths of the<br />

estimated channel impulse response (CIR). The parameters of<br />

the inverse of the truncated channel can be expressed in closedform<br />

expressions in terms of the original CIR and can be used to<br />

initialize adaptive equalizers. By applying time-reversal method,<br />

the proposed initialization scheme can also be applied for<br />

equalization of maximum phase channels. Through extensive<br />

computer simulations, we show that channels that cannot be<br />

equalized by blind equalizers with the conventional single-spike<br />

initialization can now be well equalized with the proposed<br />

initialization.<br />

Index Terms—Initialization, adaptive equalizer, inverse filter,<br />

least mean squares<br />

I<br />

I. INTRODUCTION<br />

NTERSY<strong>MB</strong>OL interference (ISI) degrades the performance<br />

of most of the wired and wireless digital communication<br />

systems, e.g. GSM, WLAN, fiber optical communications,<br />

and magnetic recording, etc. [1-3]. The complexity of the<br />

optimal equalization or maximum likelihood sequence<br />

estimator (MLSE) is growing as M L , where M is the alphabet<br />

size and L the channel length. Therefore, MLSE is prohibitive<br />

for many applications, especially for wireless<br />

communications. Suboptimal equalization techniques, e.g. the<br />

classical linear equalizer (LE) and decision feedback<br />

equalizer (DFE), has lower complexity which is linear in the<br />

channel length L, and independent of the alphabet size M.<br />

Direct computation of the optimal MMSE DFE filter<br />

coefficients requires accurate channel estimates and is<br />

computationally intensive [2]. For time-varying channels<br />

encountered in practice, direct calculation of parameters of<br />

LE or DFE needs to continuously updating the parameter and<br />

thus not practical. A practical low-complexity method to<br />

approach the optimal parameters of LE or DFE is to use<br />

either trained or blind adaptive algorithm [1] [5] [7] [8].<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Low-complexity Initialization of Adaptive<br />

Equalizers Using Approximate Channel Inverse<br />

Gang Wang and Rolf Kraemer<br />

<strong>IHP</strong> GmbH, Im Technologiepark 25<br />

D-15236 Frankfurt(Oder), Germany<br />

Phone: +49 335 5625 346; Fax: +49 335 5625 671<br />

Email: {gangwang, kraemer}@ihp-microelectronics.com<br />

However, adaptive equalizers using low-complexity<br />

adaptive algorithms, e.g. the least mean square (LMS)<br />

algorithm and the constant modulus algorithm (CMA), have<br />

slow convergence problem [1]; furthermore, the CMA may be<br />

trapped to local minima when improperly initialized. An<br />

efficient and effective way to solve the above problems is to<br />

find more accurate initial parameters for adaptive equalizers.<br />

In [3], a low-complexity initialization scheme for adaptive<br />

DFE with short feedforward filter was proposed. In [4], a<br />

power ratio approximation is used to estimate the initial<br />

parameters of adaptive equalizers.<br />

In this paper, we propose to use the approximate channel<br />

inverse to initialize adaptive equalizers. Considering the<br />

practical SNR in most cases is relatively high, we can<br />

reasonably say that it is ISI, rather than the noise, that<br />

severely degrades the receiver performance.<br />

The inverse of a minimum phase filter preserves the<br />

minimum phase property, which can be exploited to obtain a<br />

closed-form expression of the inverse filter coefficients. In<br />

addition, any maximum phase and nonminimum phase<br />

channels can be transformed to minimum phase by using<br />

time-reversal method and allpass filter, respectively.<br />

Therefore, the problem of initialization of an adaptive<br />

equalizer is reduced to a much simpler problem: to find a<br />

low-complexity method to calculate the initial channel<br />

inverse. Using long division method [6], we can get a closed<br />

form expression of the coefficients of the inverse channel<br />

filter in terms of the estimated CIR. Exploiting the property of<br />

minimum phase sequence, we can further simplify the result<br />

of the channel inverse and use it as initialization.<br />

The paper is organized as follows. Section II gives the<br />

system model. Section III presents the algorithm for<br />

initialization of adaptive equalizers. Section IV shows some<br />

simulation results. Finally, the paper is concluded in the last<br />

section.<br />

II. SYSTEM MODEL<br />

Reprints of<br />

Selected Publications<br />

We limit our discussion to packet transmission with linear<br />

modulation where the normal adaptive algorithms, e.g. CMA<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 85


Nachdrucke<br />

ausgewählter Publikationen<br />

86<br />

and LMS, tend to converge slowly. Consider an uncoded data<br />

transmission using linear modulation over discrete-time,<br />

symbol-spaced channel corrupted by additive white Gaussian<br />

noise (AWGN). The transmitted packet of data is denoted by<br />

N<br />

{ n} n=<br />

1<br />

x . The channel output at time n is given by<br />

K<br />

K<br />

r = � x h + η , (1)<br />

n n−k k n<br />

k = 0<br />

Reprints of<br />

Selected Publications<br />

where { h k} is the channel impulse response (CIR), K is the<br />

k = 0<br />

channel memory, and ηn is the nth sample of AWGN with<br />

single-sided power density of N0.<br />

We use both LE and DFE in the simulation. The LE is<br />

actually a transversal filter with N taps. A DFE consists of a<br />

F-tap feedforward filter (FFF), a B-tap feedback filter (FBF).<br />

From now on, the structure of a DFE is characterized by (F,<br />

B)-DFE. The taps of both LE and DFE can be adjusted using<br />

some adaptive algorithm, in this paper the LMS and CMA<br />

algorithm, to iteratively approach optimal MMSE solution<br />

and minimum dispersion solution, respectively.<br />

III. INITIALIZATION OF ADAPTIVE EQUALIZERS<br />

The multimodal cost surface of CM may exhibit local<br />

minima of varying cost, thus linking initialization to<br />

achievable asymptotic performance. This multimodality of the<br />

CM cost surface necessitates a good initialization to ensure<br />

convergence to a “good” local minimum as well as to avoid<br />

temporary local capture by saddle points. Practical<br />

initialization strategies for CMA equalizers are: single spike<br />

for baud-spaced CMA, double-spike for T/2-spaced CMA,<br />

and matched filter initialization for mild-ISI environments.<br />

The spike position within the CMA equalizer time span<br />

determines (asymptotic) system delay. Since the equalizer<br />

performance is significantly dependent on the system delay,<br />

the position of spike is very critical.<br />

For the LMS equalizer, the parameters are often initialized<br />

with all zeros. Another very important parameter to be<br />

estimated is the decision delay. Specifically, the choice of<br />

training delay bounds asymptotic LMS performance, and, in<br />

conjunction with the equalizer initialization, LMS time-toconvergence.<br />

The aforementioned relationship between<br />

initialization and channel group delays suggests that prior<br />

information about the channel may aid in estimation of both<br />

initialization and decision delay.<br />

Optimum MMSE channel equalization jointly reduces the<br />

effects of ISI and noise. Since the equalizing filter<br />

approximates the inverse of the channel, the equalizer usually<br />

requires a much longer filter length than the channel. For<br />

direct computation of a large number of parameters of MMSE<br />

equalizer, a heavy computational load is required. For<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

adaptive calculation of a large number of parameters of<br />

MMSE equalizer, a slow convergence is induced.<br />

Since ISI caused by actual channels is of much greater<br />

importance than noise, we first neglect the noise term and<br />

reduce the equalization problem to inverse filtering problem,<br />

which is much simpler to solve. After we get an approximate<br />

channel inverse filter, we use adaptive algorithms, e.g. CMA<br />

and LMS, to approach the optimum MMSE solution and<br />

minimum dispersion solution, respectively.<br />

A. Channel Estimation<br />

A first step in our method is to estimate the overall channel<br />

impulse response. Both data aided and blind channel<br />

estimation can be performed before initialization of<br />

equalizers. For data aided case, we can inject several constant<br />

amplitude zero autocorrelation (CAZAC) sequences as<br />

preamble for trained channel estimation, and the initial CIR<br />

can be obtained by using the cyclic correlation method.<br />

When spectral efficiency is of the predominant concern,<br />

blind channel estimation can be conducted first, and the data<br />

received before the channel estimate converges is buffered;<br />

when steady channel estimate is ready, initialization start to<br />

be calculated.<br />

Since we use adaptive equalizers to track the time-varying<br />

channel response, channel estimate is only needed at the<br />

beginning of receiving process.<br />

B. Approximate Channel Inverse Initialization Algorithm<br />

In this section we utilize the knowledge of the estimated<br />

CIR for an accurate initialization that can approximately<br />

invert channel at the beginning of the equalization process.<br />

We separately consider equalization of three types of classes:<br />

minimum phase, maximum phase, and nonminimum phase<br />

channels. Since maximum phase and nonminimum phase<br />

channels can be respectively transformed into the minimum<br />

phase case by time-reversing processing and applying an<br />

allpass phase equalization beforehand, we start from the<br />

inverse of a minimum phase channel.<br />

By definition, both poles and zeros of a minimum phase<br />

filter must be inside the unit circle, therefore, the inverse of<br />

such filter is also a minimum phase filter, thus causal and<br />

stable. An important property of minimum-phase sequences is<br />

h k having the identical magnitude<br />

that among all signals { [ ] }<br />

spectra, the minimum-phase signal { �� [ ] }<br />

h k has the fastest<br />

decay in the sense that<br />

N<br />

2<br />

� h�� [ k] N<br />

2<br />

≥ � h[ k] , k = 0,1,2, � . (2)<br />

k = 0 k = 0


That is, the signal energy in the first N+1 samples of the<br />

minimum-phase case is at least as large as any other causal<br />

signal having the same magnitude spectrum. Thus, minimumphase<br />

signals are maximally concentrated toward zero time<br />

delay among the space of causal signals having a given<br />

magnitude spectrum. With this property in mind, we can<br />

approximate the minimum phase channel by neglecting the<br />

trailing paths and keeping only first paths. To keep the<br />

following derivation simple and to get a low-complexity<br />

solution, we will only extract the first 4 channel paths and<br />

neglect the rest. Then, the Z-transform of the truncated<br />

minimum phase FIR channel can be expressed as<br />

3<br />

k<br />

H( z) h z .<br />

−<br />

= ���� (3)<br />

k<br />

k=<br />

0<br />

The inverse of the channel is given in the Z-domain by its<br />

reciprocal<br />

3 1<br />

−k<br />

= ���� gkz .<br />

(4)<br />

H( z)<br />

k=<br />

0<br />

By using the long division method, we can express the first 4<br />

taps of the inverse filter as<br />

−1<br />

g0 = h0<br />

,<br />

−2<br />

g1 = −<br />

h0 h1,<br />

(5)<br />

− −2 −<br />

−1<br />

2<br />

g = = h h h −<br />

− h ,<br />

( )<br />

( )<br />

2 0 0 1 2<br />

3 = = − − 2<br />

0<br />

− − 1<br />

0 1 2 − − −<br />

−<br />

2<br />

0<br />

3<br />

1 −<br />

−<br />

3<br />

g h 2 h h h h h h .<br />

Note for minimum phase sequence { g k}<br />

, we can roughly say<br />

that the probability that the firsts several taps 0 g and 1 g<br />

convey more energy than the rest taps. Therefore, when<br />

complexity is limited, we can just calculate 0 g and g and 1<br />

use them to initialize two particularly selected taps of the<br />

adaptive equalizer, the rest taps can be simply initialized with<br />

zeros.<br />

C. Algorithm Summary<br />

In summary, we propose to initialize the equalizer in the<br />

following steps:<br />

1. Estimate the channel impulse response<br />

2. According to the phase of the channel, do the following<br />

operation:<br />

a. If it is a minimum phase channel, directly use the<br />

inverse of channel transfer function for<br />

initialization.<br />

b. If it is maximum phase channel, first calculate the<br />

inverse channel of the time-reversed CIR, then<br />

time-reverse the received sequence, equalize the<br />

time-reversed sequence and make decisions, and<br />

time-reverse the decision sequence.<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

c. If it is a mixed phase channel, then use an allpass<br />

filter to convert it to (near) minimum phase<br />

channel, and then initialize the filter as in the<br />

minimum phase case.<br />

In the following, we term the proposed initialization<br />

schemes as Approximate Channel Inverse Initialization<br />

(ACII).<br />

D. Potential Application of ACII Algorithm<br />

In [10], we propose a systematic structure and algorithm<br />

that can convert any kind of channel into a time-forward and a<br />

time-reverse (near) minimum phase channels, which allow the<br />

direct application of the proposed ACII initialization<br />

effectively. It is shown that proposed ACII initialization<br />

allows the immediate usage of adaptive DFE with decision<br />

directed LMS in the bi-directional arbitrated equalization<br />

without any training sequence.<br />

IV. SIMULATION RESULTS<br />

In this section, we investigate the performance of the<br />

proposed initialization method for the following four blind<br />

equalizers: 1. decision directed LMS LE (DD LMS LE); 2.<br />

decision-directed LMS DFE (DD LMS DFE); 3. CMA-LE;<br />

4. CMA-DFE. The order of the LE is 8. The DFE filters have<br />

15 feedforward taps and 9 feedback taps, denoted as (15,9)-<br />

DFE.<br />

We simulate QPSK transmission over channels of moderate<br />

length and plot the bit error rate (BER) versus signal to noise<br />

ratio (SNR). The BER results were averaged over 2000<br />

packets transmission, each of length 500 symbols. For each of<br />

the four types of equalizers, the proposed ACII and the<br />

conventional single-spike initialization are employed for<br />

comparison. The single-spike initialization sets the LE and<br />

the forward filter of DFE so that only one carefully selected<br />

tap is unity and the other taps are zero, and all taps of the<br />

feedback filter are zero. For all LMS equalizers, the real<br />

decisions were used to update the weights and were fed to the<br />

feedback filter of the DFE’s.<br />

The simulations presented in Fig. 1 to Fig. 3 consider two<br />

channels used in [9]: a minimum-phase and a maximum-phase<br />

channel, both given below<br />

− − 1 −<br />

−<br />

2<br />

Hmin ( z) = = 0.668 + + 0.46z + +<br />

+ 0.46z<br />

(6)<br />

− − 3 −<br />

−<br />

4<br />

+ + 0.227z + +<br />

+ 0.227 z ,<br />

−− − −1 −−<br />

− −2<br />

Hmax ( z) = = 0.227 + + + 0.227z + +<br />

+ 0.46z<br />

(7)<br />

− −3 −<br />

−4<br />

+ + 0.46z +<br />

+ 0.668 z .<br />

In Fig. 1, the channel used is Hmin(z). Fig. 1 shows that the<br />

initial weights of an 8-tap LE obtained by using the ACII<br />

method are already very near the finally converged weights<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 87


Nachdrucke<br />

ausgewählter Publikationen<br />

88<br />

Reprints of<br />

Selected Publications<br />

after 20000 iterations. This demonstrates that the<br />

approximation of channel inverse is accurate.<br />

1<br />

0.5<br />

0<br />

1 1.5 2 2.5 3 3.5 4 4.5 5<br />

Amplitude of initial equalizer weights<br />

2<br />

1<br />

Amplitude of CIR<br />

0<br />

1 2 3 4 5 6 7 8<br />

Converged equalizer weights<br />

2<br />

1<br />

0<br />

1 2 3 4 5 6 7 8<br />

Amplitude of initially equalized channel<br />

1<br />

0.5<br />

0<br />

0 2 4 6 8 10 12<br />

Amplitude of converged equalized channel<br />

1<br />

0.5<br />

0<br />

0 2 4 6 8 10 12<br />

Fig. 1. Comparison of the equalized channel response at the beginning<br />

and at the end of adaptation. Channel: Hmin(z). LMS LE order: 8; ACII<br />

initialization with no training sequence is used. Step size: 0.0025.<br />

Converged results were taken after 20000 iterations.<br />

Fig. 2 and Fig. 3 respectively show the simulation results<br />

for 8-tap LE and (15,9)-DFE, both compare the results of the<br />

conventional single-spike initialization and the proposed<br />

ACII-initialization. For all maximum phase channel cases, the<br />

channel output sequence is first time-reversed, and then<br />

equalized with initialization obtained from the approximate<br />

inverse of the time-reversed channel, and finally time-reverse<br />

the decision sequence. Almost similar results were obtained<br />

for both minimum and maximum phase channels. In both<br />

figures, at high SNR ranges from about 25 dB to 30 dB the<br />

observed BER is 0 after averaging over 2000 packets<br />

transmission each with 500 symbols. This is because the total<br />

number of symbols simulated is not large enough to get a<br />

meaningful result for high SNR cases. To obtain meaningful<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

results, we used 200000 packets of 50000 symbols each and<br />

get the BER’s at SNR’s of 25 and 30 dB as shown in Fig. 2<br />

and Fig. 3.<br />

Average BER<br />

1.E+00<br />

1.E-01<br />

1.E-02<br />

1.E-03<br />

1.E-04<br />

1.E-05<br />

1.E-06<br />

1.E-07<br />

ACII CMA<br />

1.E-08<br />

Single spike CMA<br />

ACII LMS<br />

1.E-09<br />

1.E-10<br />

Single spike LMS<br />

10 15 20 25 30<br />

Average Input SNR (dB)<br />

Fig. 2. Performance comparison of four types of LE with 8 taps: ACII CMA,<br />

Single spike CMA, ACII LMS, and Single spike LMS. Step size: 0.0025.<br />

Simulation size: 2000 packets of 500 symbols each.<br />

Average BER<br />

1.E+00<br />

1.E-01<br />

1.E-02<br />

1.E-03<br />

1.E-04<br />

1.E-05<br />

1.E-06<br />

1.E-07<br />

1.E-08<br />

1.E-09<br />

ACII CMA<br />

Single spike CMA<br />

ACII LMS<br />

Single spike LMS<br />

1.E-10<br />

10 15 20 25 30<br />

Average Input SNR (dB)<br />

Fig. 3. Performance comparison of four types of (15,9) DFE’s: ACII CMA,<br />

Single spike CMA, ACII LMS, and Single spike LMS. Step size: 0.0025.<br />

Simulation size: 2000 packets of 500 symbols each.<br />

As expected, the ACII initialization significantly reduces<br />

the average BER. We can see from the results that when the<br />

conventional single spike initialization is applied, the CMA<br />

and DD LMS fails to converge within the short packet of 500<br />

symbols, therefore, the BER is around 0.1. Although the


position of the spike can be optimized according the<br />

estimated channel impulse response, there is no significant<br />

improvement observed from our simulation. The main reason<br />

for the low performance with single spike initialization is that<br />

it is far from the optimal solution for most of channels, and it<br />

results in an initial large ISI and a low effective SNR.<br />

In comparison, the ACII initialization immediately set the<br />

equalizer as an approximate channel inverse, therefore the<br />

adaptive equalizers settings can immediately reach the<br />

vicinity of the global minimum solution; therefore, the<br />

adaptive algorithms do not need to spend a long time before<br />

convergence, instead, they only need to further optimize the<br />

near optimum solution in most of cases.<br />

When the SNR was too low or the initial ISI is too high that<br />

the eye is not open, the DFE converged poorly. This is<br />

because in those cases there is significant error propagation<br />

and large numbers of decision errors. Conversely, when the<br />

SNR is high or initial ISI is low, the decision errors are<br />

infrequent and can be ignored. This proposed initialization<br />

could approximately invert the channel at the beginning and<br />

thus reduce the ISI. Therefore, the proposed initialization can<br />

enhance performance of blind DFE significantly, as shown in<br />

Fig. 3<br />

In all figures, we see that the DD LMS has lower BER than<br />

the CMA. This is because the output error level of the CMA<br />

algorithm is larger than that of the DD algorithm after<br />

convergence, and the DD algorithm provides faster<br />

convergence after the eye is open. This suggests that<br />

performance of equalizer can be further enhanced by<br />

automatically switching between the CMA and DD<br />

algorithms. For the equalizers with CMA algorithm, there are<br />

no local minima is observed in all simulations.<br />

V. CONCLUSION<br />

A low complexity initialization scheme for adaptive<br />

equalizers is proposed. The initialization method can be<br />

applied directly for minimum phase channels; for maximum<br />

phase and nonminimum phase channels, time reversal and<br />

allpass phase equalization are required to convert them to<br />

minimum phase channels before initialization and<br />

equalization. The initialization scheme can significantly<br />

improve the convergence of adaptive equalizers and avoid the<br />

local minimum of CMA and DD LMS. Through extensive<br />

computer simulations, we show that channels that previously<br />

cannot be equalized by blind equalizers with the conventional<br />

single spike initialization can now be well equalized with the<br />

proposed initialization.<br />

REFERENCES<br />

[1] S. Haykin, Adaptive filter theory. Englewood Cliffs, NJ: Prentice Hall,<br />

1991.<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

[2] N. Al-Dhahir and J. M. Cioffi, “MMSE Decision Feedback Equalizers:<br />

Finite-Length Results,” IEEE Trans. Inform. Theory, vol. 41, pp. 961-<br />

975, July 1995.<br />

[3] G. Wang and K. Dombrowski, “Two low-complexity techniques to<br />

improve equalizer performance in wireless burst data<br />

communications,” in Proc. IASTED Int. Conf. on Communication<br />

Systems and Networks, pp. 244-249, Sept. 2003.<br />

[4] M. P. Sellars, S. D. Greaves, J. Porter, A. Hopper and W. J. Fitzgerald,<br />

“Performance of a fast start-up equalizer for indoor radio,” IEE Proc.<br />

Of Commun., vol. 148, pp. 49-56, Feb. 2001.<br />

[5] P.A. Voois, I. Lee, and J. M. Cioffi, “The effect of decision delay in<br />

finite-length decision feedback equalization,” IEEE Trans. Inform.<br />

Theory, vol. 42, pp. 618-621, Mar. 1996.<br />

[6] J. G. Proakis and D. G. Manolakis, Digital signal processing. 3 rd<br />

edition, Prentice-Hall, 1996.<br />

[7] S. Ariyavisitakul, L. J. Greenstein, “Reduced-complexity equalization<br />

techniques for broadband wireless channels,” IEEE Journal on<br />

Selected Areas in Communications, vol.15, pp. 5-15, Jan. 1997.<br />

[8] S. L. Ariyavisitakul, G. M. Durant, “A broadband wireless packet<br />

technique based on coding, diversity, and equalization,” IEEE<br />

Commun. Magazine, vol. 36, pp. 110-115, July 1998.<br />

[9] J. K. Nelson, “BAD: Bidirectional Arbitrated Decision-Feedback<br />

Equalization,” IEEE TRANSACTIONS ON COMMUNICATIONS,<br />

VOL. 53, NO. 2, FEBRUARY <strong>2005</strong>.<br />

[10] G. Wang, “Bidirectional Arbitrated Adaptive DFE,” patent pending.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 89


Nachdrucke<br />

ausgewählter Publikationen<br />

90<br />

Reprints of<br />

Selected Publications<br />

A Low-Power, 10Gs/s Track-and-Hold<br />

Amplifier in SiGe BiCMOS Technology<br />

Yevgen Borokhovych, Hans Gustat, Bernd Tillack, Bernd Heinemann,<br />

Yuan Lu (1) , Wei-Min Lance Kuo (1) , Xiangtao Li (1) , Ramkumar Krithivasan (1) , John D. Cressler (1)<br />

<strong>IHP</strong>, Circuit Design Department, Im Technologiepark 25, D-15236, Frankfurt (Oder), Germany<br />

borokhovych@ihp-microelectronics.com<br />

(1) School of ECE, 777 Atlantic Drive, N.W., Georgia Institute of Technology, Atlanta, GA 30332-0250, USA<br />

Abstract:<br />

This paper presents a low-power high-speed BiCMOS<br />

track-and-hold amplifier (THA). It combines the<br />

differential switched-emitter follower of [1] with the<br />

low-droop output buffer presented in [2]. A test<br />

implementation consumes 70 mW of total power<br />

(30 mW THA). It works up to 15 GS/s, using minimumsize<br />

HBTs in a 0.25µm 200 GHz SiGe BiCMOS<br />

technology. At 10 GS/s and an input signal of 1 GHz, the<br />

achieved THD corresponds to 6.8 bits accuracy. To our<br />

knowledge, the present circuit is by far the fastest THA<br />

with low power consumption and high accuracy.<br />

1. Introduction<br />

There are two main factors in communication technology<br />

that are currently pushing the envelope of speed and<br />

accuracy in analog/digital converters (ADCs). First<br />

factor being the very high baseband data rates of<br />

emerging wireless applications, which require higher<br />

conversion rates, e.g. in the ultra-wide band (UWB)<br />

range of 3-10 GHz or the new unlicensed bands around<br />

60 GHz with a bandwidth up to 5 GHz. Second the A/D<br />

interface in wireless systems is being moved closer to the<br />

antenna and up in frequency, which offers the flexibility<br />

of covering various communication standards with<br />

shorter design cycles.<br />

Track-and-hold amplifiers are crucial components in<br />

ADCs. They perform the continuous-time to discretetime<br />

conversion part of the A/D function, and provide a<br />

temporary constant output to subsequent stages. Often,<br />

the THA performance is the bottleneck in ADCs<br />

operating at the technological limits. In addition, the<br />

mobile applications will require low power as another<br />

important constraint in THA design, making it even more<br />

difficult to achieve higher performance.<br />

This paper describes the design of a THA aimed at<br />

minimum-power operation at 10 GS/s with at least 6 bits<br />

of accuracy. A test implementation in a 0.25µm 200 GHz<br />

SiGe BiCMOS technology [3] is presented.<br />

2. Architecture<br />

For very high speed and high accuracy, differential openloop<br />

architecture is an obvious choice. As shown in<br />

Fig. 1, the present THA includes a fully differential THA<br />

core based on the well-known switched-emitter-follower<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

(SEF) proposed by Vorenkamp [1]. However, beyond the<br />

hold capacitors CH, pseudo differential low-droop output<br />

buffers based on Fiocchi’s THA [2] are used. The<br />

simplicity of the SEF circuit described in [1] allows<br />

design for low power consumption. Furthermore, the<br />

fully differential input stage can partly compensate<br />

imbalances in the input signal, simplifying the driving of<br />

the THA. These advantages over the more complex THA<br />

in [2] come at the cost of larger feedthrough in hold<br />

mode and some additional nonlinearity in the present<br />

design. To reduce the supply voltage, the linearization<br />

diodes used in [1] have been omitted here. In this THA<br />

type, the load resistors of the input differential stage<br />

along with their parasitic capacitances dominate the<br />

settling time. To reduce this effect and the power<br />

consumption, minimum-size transistors are used<br />

throughout the THA core. The design goal was to<br />

achieve 10 Gs/s operation at minimum power while<br />

maintaining an accuracy of ≥ 6 bits.<br />

3. Circuit Implementation<br />

The low-power THA is implemented in a low-cost<br />

high-performance SiGe BiCMOS technology<br />

(fT = fmax =200 GHz, BVCEO = 2.0 V, and a minimum<br />

emitter size of 0.21 × 0.84 µm²) with the whole HBT<br />

structure in one active area [3]. Apart from HBTs and a<br />

suite of RF passives, the technology provides an<br />

industrial quality digital CMOS library, which could be<br />

essential in later stages of ADC design by allowing<br />

complex calibration. The present BiCMOS THA does<br />

not yet use digital CMOS cells, but it employs MOS<br />

transistors for base current compensation.<br />

3.1. THA Circuit<br />

A simplified circuit diagram of the implemented THA<br />

Analog<br />

input<br />

Clock<br />

signal<br />

THA core Output<br />

buffers<br />

Input<br />

buffer<br />

S2<br />

S1<br />

2<br />

T/H signal<br />

CH<br />

CH<br />

Test<br />

buffers<br />

Fig. 1 Fully differential THA architecture.<br />

to<br />

pads


CH<br />

out2<br />

VCC<br />

T<br />

Switch 2 Input buffer<br />

Switch 1<br />

Q8<br />

Q7 Q6<br />

I3<br />

H<br />

Cff<br />

R4 R3<br />

in2 Q2 Q1 in1<br />

R2 R1<br />

I1<br />

Cff<br />

Q5<br />

H T<br />

Q3 Q4<br />

Fig. 2 Circuit implementation of differential THA input<br />

buffer and switch.<br />

core circuit is shown in Fig. 2 [1]. The differential input<br />

buffer consists of a differential pair Q1 and Q2 with<br />

degenerative feedback resistors R1 and R2, and load<br />

resistors R3 and R4. The differential input signal is<br />

applied to the bases of the transistors Q1 and Q2. For the<br />

rest of the paper we will refer only to the right part of the<br />

symmetric circuit of Fig. 2. The voltage switch 1 consists<br />

of transistors Q3, Q4, Q5, and tail current source I2. CH<br />

is the hold capacitor. Transistor Q5 acts as an emitter<br />

follower and transistor Q4 acts as a cascode transistor.<br />

During the track phase when node T is high with respect<br />

to node H, the tail current I2 is switched through Q4 and<br />

Q5 causing the switch to conduct. During the transition<br />

from track to hold mode, node H becomes high with<br />

respect to node T, causing the tail current flowing<br />

through transistor Q5 to turn off. In addition, the base<br />

voltage of Q5 is reduced due to the tail current I2 now<br />

flowing through Q3 and the load impedance R3 of the<br />

input buffer. Thus, transistor Q5 stops to conduct and CH<br />

holds the current voltage.<br />

As shown in Fig. 3, the output buffer uses a pMOS<br />

current mirror to improve the droop rate by<br />

compensating the base current of Q9 with the replica of<br />

the base current of Q10 [2]. A test buffer operates with<br />

separate larger supply voltage to achieve high linearity.<br />

in<br />

VCC<br />

M1<br />

M2<br />

Q10<br />

Q9<br />

Test buffer<br />

VCCout<br />

Q12<br />

R6<br />

out<br />

Fig. 3 Circuit implementation of output and test buffer.<br />

4. Reduction of THA Amplitude Errors<br />

In addition to timing errors caused by clock jitter, there is<br />

a variety of amplitude errors limiting the THA<br />

performance. The major sources of errors will be<br />

discussed in the following sections.<br />

Q11<br />

4.1. Hold-Mode Feedthrough<br />

When the THA is in hold mode, the sampling switches<br />

present finite impedance in their off state and so the<br />

capacitances Cbe5 and Cbe8 cause feedthrough of the input<br />

voltage into the hold capacitor [4]. The hold mode<br />

R5<br />

I2<br />

CH<br />

out1<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

feedthrough ( f A ) is given by the ratio of the base-emitter<br />

capacitance of the switching transistor (Cbe5 or Cbe8) and<br />

the hold capacitance CH.<br />

Cbe5<br />

Af<br />

=<br />

(1)<br />

Cbe5 + CH<br />

.<br />

This hold-mode feedthrough can be reduced by adding<br />

two feedforward capacitors (Cff) as shown on Fig. 2 [1].<br />

The charge dump of these capacitors is of opposite sign<br />

to the charge dump of the base-emitter capacitances of<br />

the switching transistors. The compensated hold-mode<br />

feedthrough ( A ) is now given by<br />

c<br />

C ⎛ C<br />

be5<br />

ff ⎞<br />

Ac<br />

= ⋅⎜1 − ⎟<br />

(2)<br />

Cbe5 + CH ⎝ Cbe5<br />

⎠ .<br />

Complete cancellation of the hold-mode feedthrough<br />

would require Cff being identical to the base-emitter<br />

capacitance. The feedthrough capacitor (Cff) is realized<br />

using a series-parallel construction of four diodes (Fig. 4)<br />

[1]. In reality, the device mismatch of the minimumsized<br />

HBTs limits the cancellation.<br />

+ -<br />

Fig. 4 Hold-mode feedthrough capacitor.<br />

4.2. Pedestal Error<br />

During the transition from track to hold mode, the switch<br />

transistors Q3 and Q4 need a non-zero time (aperture<br />

timeτ ) to turn off the emitter current of Q5, and to turn<br />

a<br />

on the current needed to pull down the base voltage of<br />

Q5. Further, when both transistors conduct duringτ , the a<br />

input signal still has some effect on the emitter current of<br />

Q5, which decreases over time due to the increasing<br />

impedance ZQ4 of Q4. Even though transistors Q3 and<br />

Q4 are arranged in a differential stage to make the<br />

switching process more symmetric, they operate at<br />

different collector voltages causing some timing<br />

difference ∆ τ . This produces a charge offset a<br />

I 2⋅ ∆τ<br />

a<br />

during the transition. Another source of error is the T/H<br />

signal coupling into CH through the base-collector<br />

capacitance of Q4.<br />

These contributions to the charge on CH can be<br />

approximated by<br />

t0<br />

+ τ a Vin ( t) −Vbe<br />

( t)<br />

∆ qpedestal = ∫ dt<br />

Z t ( )<br />

0 Q4<br />

t<br />

(3)<br />

+ I 2 ⋅∆ τ a + VT / H ⋅Cbc<br />

_Q 4 .<br />

All three terms are actually signal-dependent. The first<br />

one contains the input signal to the switch (Vin)<br />

explicitly. In the second and the third terms, ∆ τ is a a<br />

function of the voltage at the collector of Q3, and Cbc_Q4<br />

is a function of the voltage at the collector of Q4,<br />

respectively. Since their dependence on the signal is not<br />

linear, it is difficult to reduce their effect, even in a fully<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 91


Nachdrucke<br />

ausgewählter Publikationen<br />

92<br />

Reprints of<br />

Selected Publications<br />

differential configuration. The most efficient way to<br />

decrease this error is reducing the first two terms by<br />

minimizing τ as much as possible, applying a very<br />

a<br />

steep clock slope. On the other hand, a steep slope<br />

requires a high voltage swing VT/H, causing the third<br />

term to grow. We have chosen a swing of 300 mV for<br />

VT/H as a compromise between these error terms.<br />

Another major amplitude error is caused by the<br />

nonlinearity of the continuous THA stages. It depends<br />

strongly on the signal amplitude, limiting the useful<br />

signal range to about ±500 mV.<br />

Fig. 5 THA test chip micrograph.<br />

5. Experimental Results<br />

The THA circuit has been realized in a 0.25µm 200 GHz<br />

SiGe HBT BiCMOS technology and occupies an area of<br />

0.5 mm 2 including pads. Fig. 5 shows the chip<br />

microphotograph. The test chip has been wire-bonded on<br />

a ceramic test board for measurement. Unfortunately,<br />

only one 180° hybrid and no phase tuners were available<br />

for measurement in the required frequency range.<br />

Therefore, the measurement was set up as shown in<br />

Fig. 6.<br />

fin<br />

hybrid<br />

THA<br />

fs<br />

50Ω<br />

Spectrum<br />

Analyzer<br />

Fig. 6 Measurement setup for characterizing THA in<br />

frequency domain.<br />

The single-ended sampling clock has been applied to the<br />

differential clock input of the THA. The signal input of<br />

the THA is driven differentially. Only one of the THA<br />

outputs is connected to the spectrum analyser. Thus, the<br />

inherently good cancellation of even-order distortion<br />

possible by the symmetrical circuit has not been used for<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

determining the THA spectrum. This causes a large<br />

overestimation of the even harmonics. The effect of the<br />

input phase imbalance caused by imperfections of the<br />

hybrid and the cables is less severe, because it is partly<br />

compensated by the fully differential input stage.<br />

Fig. 7 Spectrum of the single-ended output signal.<br />

Fig. 7 shows the measured single-ended THA output<br />

spectrum at an input frequency ( f ) of 1 GHz and a<br />

in<br />

sampling rate ( f ) of 9.99 Gs/s. As expected, the second<br />

s<br />

harmonic of the single output dominates the total<br />

harmonic distortion (THD). This single-ended<br />

measurement certainly underestimates the performance<br />

of the differential circuit.<br />

Fig. 8 compares the measured data with the results of a<br />

post-layout simulation, both for the single-output case.<br />

To obtain a reasonable value of the second harmonic of a<br />

differential output, the measurements have been<br />

extrapolated to differential mode by simulation.<br />

According to post-layout simulation, the second<br />

harmonic is reduced by 40 dB by changing from singleended<br />

to differential output. To be on the safe side of<br />

estimation, we have halved this reduction before<br />

applying it to the measured single-ended second<br />

harmonic. The resulting value is marked with a triangle<br />

in Fig. 8. Now, the THD is dominated by the measured<br />

third harmonic. The THD is -41 dBc. It corresponds to<br />

an effective number of bits (ENOB) of 6.8. Table I<br />

summarizes the main parameters of the THA.<br />

Table I Summary of the THA characteristics.<br />

SiGe HBT,<br />

Process<br />

fT = 200 GHz<br />

Input range 1 Vp-p differential<br />

Sampling rate 10 GHz<br />

Differential droop rate


Normalized signal, dB<br />

0<br />

-10<br />

-20<br />

-30<br />

-40<br />

-50<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Table II Comparison with published Si/SiGe high-speed THAs.<br />

Ref fsample<br />

[GHz]<br />

fin<br />

[GHz]<br />

Input<br />

[Vpp]<br />

ENOB<br />

[bit]<br />

BW<br />

[GHz]<br />

Supply<br />

[V]<br />

Pdiss<br />

[W]<br />

Process/fT<br />

[GHz]<br />

[5] 2 0.9 0.8 8 0.9 -3.3 0.55 SiGe/65<br />

[6] 12.1 1.5 1 8 5.5 3.5 0.7 SiGe/200<br />

[7] 1.2 0.6 1 8 2 +2/-5 0.46 Si/25<br />

[8] 4 8 0.6 6 10 5.2 0.55 SiGe/45<br />

This<br />

10 1 1 6.8<br />

work<br />

1 2.7 3.3 0.03 2 SiGe/200<br />

1<br />

Extrapolated from the measured single-ended signal.<br />

2<br />

Test buffers and clock driver (40 mW) are not included.<br />

-60<br />

0 1 2 3<br />

Frequency, GHz<br />

Measured<br />

Simulated<br />

Extrapolated<br />

Fig. 8 Single-ended measurement, simulation and<br />

extrapolation at fin = 1 GHz, fs = 9.99 GHz.<br />

The circuit is functional up to 15 GS/s sampling rate.<br />

Fig. 9 shows an oscilloscope plot at fin = 1.5 GHz,<br />

fs = 15 GHz.<br />

Fig. 9 Differential output of the THA for fin = 1.5 GHz,<br />

fs = 15 GHz.<br />

Table II compares this work with similar THA designs in<br />

Si and SiGe. The present THA has less than ten per cent<br />

of the power consumption of any other high-speed THA.<br />

It does not achieve the accuracy and speed of the<br />

enhanced Fiocchi THA implemented in the same<br />

technology [6], but it is still the second fastest THA on<br />

silicon at ≥6 bits known to the authors, and the only<br />

high-speed THA at comparable accuracy in the lowpower<br />

class.<br />

6. Conclusions<br />

Reprints of<br />

Selected Publications<br />

A low-power THA for 10 GS/s operation has been<br />

designed based on an implementation of differential<br />

switched-emitter followers [1] and low-droop output<br />

buffers [2] using minimum-size HBTs. A test chip in a<br />

0.25 µm 200 GHz SiGe BiCMOS technology consumes<br />

70 mW in total, including test buffers and clock driver,<br />

with only 30 mW for the THA itself. The chip is<br />

functional up to 15 GS/s sampling rate. At 10 GS/s and<br />

an input signal of 1 GHz, the measurements exhibit a<br />

THD of -41 dBc corresponding to an ENOB of 6.8. The<br />

present circuit is the fastest 6+ bit THA in this class of<br />

power consumption published so far.<br />

7. Acknowledgements<br />

This is work was supported by <strong>IHP</strong> and GEDC/GTAC at<br />

Georgia Tech. The authors thank the <strong>IHP</strong> technology<br />

team for chip fabrication.<br />

References:<br />

[1] P. Vorenkamp and J.P. Verdaasdonk, “Fully Bipolar 120-<br />

MSample/s 10-b Circuit”, IEEE Journal of Solid State<br />

Circuits, Vol. 27, pp. 988-992, 1992.<br />

[2] C. Fiocchi, U. Gatti and F. Maloberti, “Design Issues on<br />

High-Speed High-Resolution Track-and-Hold in BiCMOS<br />

Technology”, IEE Circuits Devices Systems, Vol. 147, pp.<br />

100-106, 2000.<br />

[3] B. Heinemann et al., “Novel Collector Design for High-<br />

Speed SiGe:C HBTs“, Proc. IEDM, pp. 775-778, 2002.<br />

[4] R. van der Plassche, “Integrated Analog-to-Digital and<br />

Digital-to-Analog Converters”, Kluwer Academic<br />

Publishers, 1994.<br />

[5] F. Vessal and C. A. T. Salama, “A Bipolar 2-GSample/s<br />

track-and-hold amplifier (THA) in 0.35 um SiGe<br />

technology”, Proc. IEEE Int. Symp. Circuits and Systems,<br />

Vol. 5, pp. 573-576, 2002.<br />

[6] Y. Lu et al., “An 8-bit, 12 GSample/sec SiGe Track-and-<br />

Hold Amplifier”, submitted to BCTM <strong>2005</strong>.<br />

[7] B. Pregardier, U. Langmann and W. Hillery, “A 1.2-GS/s<br />

8-b Silicon Bipolar Track&Hold IC”, IEEE Journal of<br />

Solid-State Circuits, Vol. 31, pp. 1336-1339, 1996.<br />

[8] J. Jensen and L. Larson, “A Broadband 10-GHz Trackand-Hold<br />

in Si/SiGe HBT Technology”, IEEE Journal of<br />

Solid State Circuits, Vol. 36, pp. 325-330, 2001.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 93


Nachdrucke<br />

ausgewählter Publikationen<br />

94<br />

Reprints of<br />

Selected Publications<br />

ISSCC <strong>2005</strong> / SESSION 21 / TD: RF TRENDS: ABOVE-IC INTEGRATION AND MM-WAVE / 21.9<br />

21.9 A Fully Integrated BiCMOS PLL for 60 GHz<br />

Wireless Applications<br />

Wolfgang Winkler, Johannes Borngräber, Bernd Heinemann,<br />

Frank Herzel<br />

<strong>IHP</strong>, Frankfurt (Oder), Germany<br />

An integrated PLL tunable from 54.5 to 57.8GHz manufactured<br />

in a SiGe:C BiCMOS technology is presented. The PLL is aimed<br />

at wireless transceivers in the unlicensed band from 57 to 64GHz<br />

[1]. Existing 60GHz transceivers are based on compound semiconductors<br />

[2]. By contrast, silicon-based solutions will enable a<br />

high integration level at low cost. The known 60GHz systems utilize<br />

free-running oscillators for frequency synthesis. These solutions<br />

are subject to frequency changes due to device parameter<br />

variations with process and temperature. Our PLL solution<br />

allows a simple stabilization of the frequency. In [3], a 45GHz<br />

PLL manufactured in a SiGe bipolar technology is presented. It<br />

uses a VCO running at half the output frequency in conjunction<br />

with a frequency doubler. By contrast, the proposed PLL avoids a<br />

frequency doubler and uses a fundamental LC oscillator resulting<br />

in a higher output power at a given power consumption.<br />

Furthermore, the BiCMOS implementation allows combining<br />

fast bipolar circuitry with low-power CMOS blocks in order to<br />

achieve a high integration level. The schematic of the fully integrated<br />

PLL is presented in Fig. 21.9.1. A VCO with a coarse and<br />

a fine-tuning input is embedded in a PLL with two parallel loops<br />

sharing the frequency divider and the phase-frequency detector<br />

(PFD). This topology allows combining a relatively wide tuning<br />

range with a low noise sensitivity [4]. The coarse tuning loop with<br />

a tuning range of 3GHz has no resistor in the loop filter, but only<br />

a large MIM capacitor to minimize the noise contribution. The<br />

fine-tuning loop contains a standard loop filter for stability. The<br />

fine-tuning range is as low as 600MHz, which minimizes the<br />

noise contribution from the fine-tuning loop.<br />

The PLL is fabricated in a SiGe:C BiCMOS technology with f T/f max<br />

= 200GHz/200GHz [5]. The chip micrograph of the PLL is presented<br />

in Fig. 21.9.2. The chip size is 1000 × 800µm 2 including<br />

pads and 700×560µm 2 without pads. The VCO is based on a modified<br />

Colpitts principle in a symmetric configuration similar to<br />

[6], and is followed by a cascade of ten static dividers. With the<br />

symmetric signal the sensitivity to substrate noise is reduced and<br />

the signal coupling to the symmetric ECL divider circuit [7] is<br />

more effective. Figure 21.9.3 shows the measured coarse tuning<br />

range, which amounts to 3GHz. Another 600MHz tuning range<br />

results from the fine tuning. A VCO frequency around 55GHz will<br />

result in an IF of about 5GHz in a 60GHz heterodyne receiver.<br />

This will allow circuitry developed for 802.11a WLAN to be<br />

reused in an integrated 60GHz WLAN transceiver. The measured<br />

PLL lock range is from 54.5 to 57.8GHz. Figures 21.9.4 and 21.9.5<br />

show the PLL output spectrum with different resolution. The<br />

measurements are performed on wafer using the spectrum analyzer<br />

FSEM 30 in conjunction with the harmonic diode mixer FS-<br />

Z75 for frequency extension to the V-band. To prevent mixer overload,<br />

a 20dB wave-guide attenuator is inserted. The reference<br />

spurs are 50dB below the carrier. The circuit operates from a 3V<br />

supply except for the first divide-by-two stage which needs a <strong>4.3</strong>V<br />

supply. A signal generator provides a sine-wave reference signal<br />

from 53.2 to 56.5MHz with 100mV amplitude. The total power<br />

consumption amounts to 650mW.<br />

406 • <strong>2005</strong> IEEE International Solid-State Circuits Conference 0-7803-8904-2/05/$20.00 ©<strong>2005</strong> IEEE.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

An important issue is the optimization of the loop bandwidth.<br />

The high PLL output frequency results in a large PLL division<br />

factor if a commercial crystal oscillator is used to drive the PLL.<br />

This enhances the jitter contributions of the input signal and the<br />

charge pump, which are low-pass filtered in a PLL making a narrow-band<br />

PLL desirable. However, due to the absence of highquality<br />

passives in an integrated PLL, the VCO phase noise,<br />

which is high-pass filtered in the PLL, will significantly degrade<br />

the PLL jitter performance. As shown in [8], the corresponding<br />

rms phase error contribution (in radians) can be deduced from<br />

the single-sideband phase noise S SSB [1/Hz] of the free-running<br />

VCO measured at the offset �f from the carrier, and the loop<br />

bandwidth f L [Hz] according to<br />

VCO<br />

PLL π ⋅ SSSB<br />

σ φ = ∆f<br />

.<br />

f L<br />

Figure 21.9.6 shows the RMS phase error as a function of fL for<br />

two typical VCO phase noise values. Obviously, a relatively large<br />

loop bandwidth will be required for an acceptable phase error,<br />

resulting in a subtle trade-off with the other noise contributions<br />

in the PLL. In conclusion, the PLL bandwidth of 200kHz visible<br />

in Fig. 21.9.5 should be significantly increased in a redesign.<br />

Acknowledgments:<br />

The authors acknowledge the <strong>IHP</strong> technology team for chip fabrication.<br />

This work was partly funded by the German Federal Ministry of Education<br />

and Research (B<strong>MB</strong>F) under the project acronym WIGWAM.<br />

References:<br />

[1] W. Winkler et al., “60 GHz Transceiver Circuits in SiGe:C BiCMOS<br />

Technology,” ESSCIRC, pp. 83-86, Sept., 2004.<br />

[2] Y. Shoji, K. Hamaguchi, and H. Ogawa, “Millimeter-Wave Remote Self-<br />

Heterodyne System for Extremely Stable and Low-Cost Broad-Band<br />

Signal Transmission,” IEEE Trans. on Microwave Theory and Techniques,<br />

vol. 50, pp. 1458-1467, June, 2002.<br />

[3] G. Ritzberger, J. Böck, and A. Scholtz, “45GHz Highly Integrated<br />

Phase-Locked Loop Frequency Synthesizer in SiGe Bipolar Technology,”<br />

IEEE MTT-S Intl. Microwave Symp., pp. 831-834, June, 2002.<br />

[4] F. Herzel, G. Fischer, and H. Gustat, “An Integrated CMOS RF<br />

Synthesizer for 802.11a Wireless LAN,” IEEE J. Solid-State Circuits, vol.<br />

38, pp. 1767-1770, Oct., 2003.<br />

[5] B. Heinemann et al., “Novel Collector Design for High-Speed SiGe:C<br />

HBTs,’’ IEDM, pp. 775-778, Dec., 2002.<br />

[6] W. Winkler, J. Borngräber, B. Heinemann, and P. Weger, “60GHz and<br />

76GHz Oscillators in 0.25µm SiGe:C BiCMOS,’’ ISSCC Dig. Tech. Papers,<br />

pp. 454-455, Feb., 2003.<br />

[7] W. Winkler et al., “High-performance and Low-Voltage Divider Circuits<br />

Fabricated in SiGe:C HBT Technology,” ESSCIRC, pp. 827-830, Sept.,<br />

2002.<br />

[8] F. Herzel, W. Winkler, and J. Borngräber, “An Integrated 10 GHz<br />

Quadrature LC-VCO in SiGe:C BiCMOS Technology for Low-Jitter<br />

Applications,” IEEE CICC, pp. 293-296, Sept., 2003.


Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

ISSCC <strong>2005</strong> / February 9, <strong>2005</strong> / Salon 7 / 12:00 PM<br />

Figure 21.9.1: Circuit schematic of the PLL. Figure 21.9.2: Chip micrograph of the fully integrated PLL.<br />

Figure 21.9.3: VCO output frequency as a function of coarse tuning voltage.<br />

Figure 21.9.5: High-resolution output spectrum around the carrier<br />

including 20dB external attenuation.<br />

Figure 21.9.4: Output spectrum of PLL including 20dB external attenuation. 21<br />

Figure 21.9.6: Plot of rms phase error due to VCO noise versus loop<br />

bandwidth f L.<br />

DIGEST OF TECHNICAL PAPERS •<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 95<br />

407


Nachdrucke<br />

ausgewählter Publikationen<br />

96<br />

Abstract<br />

Reprints of<br />

Selected Publications<br />

Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 279–282<br />

High-frequency SiGe:C HBTs with elevated extrinsic<br />

base regions<br />

H. Ru¨ cker � , B. Heinemann, R. Barth, D. Knoll, P. Schley, R. Scholz,<br />

B. Tillack, W. Winkler<br />

<strong>IHP</strong>, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany<br />

Available online 18 October 2004<br />

This paper reports on the transistor design of high-speed SiGe HBTs with low parasitic resistances and capacitances.<br />

Elevated extrinsic base regions and a low-resistance collector design were integrated in a SiGe:C BiCMOS technology to<br />

simultaneously minimize base and collector resistances and base-collector capacitance. This technology features CML<br />

ring oscillator delays of 3.6 ps per stage for HBTs with fT/fmax values of 190/243 GHz and a BVCEO of 1.9 V.<br />

r 2004 Elsevier Ltd. All rights reserved.<br />

Keywords: SiGe; Heterojunction bipolar transistors (HBTs); High-speed devices; Ring oscillators; Silicon<br />

1. Introduction<br />

The capability of SiGe HBT technology for circuit<br />

speeds in the 10–100 GHz range results from the<br />

combination of short transit times through the thin<br />

SiGe base with short parasitic charging times. The<br />

recently reported increase in high-frequency performance<br />

of SiGe HBTs was to a large extent due to new<br />

low-parasitic device constructions such as low-resistance<br />

collector design [1] and elevated extrinsic base regions<br />

[2,3]. This has resulted in fT and fmax values above<br />

200 GHz and gate delays below 4 ps [3–5].<br />

Here, we report on a high-speed SiGe:C BiCMOS<br />

technology that combines an elevated extrinsic base<br />

construction with a low-resistance collector design to<br />

simultaneously minimize base resistance R B, collector<br />

resistance RC, and base-collector capacitance CBC. Gate<br />

�<br />

Corresponding author. Tel.: +49 335 5625 514;<br />

fax: +49 335 5625 327.<br />

E-mail address: ruecker@ihp-microelectronics.com<br />

(H. Rücker).<br />

ARTICLE IN PRESS<br />

1369-8001/$ - see front matter r 2004 Elsevier Ltd. All rights reserved.<br />

doi:10.1016/j.mssp.2004.09.061<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

delays of 3.6 ps per stage were achieved for CML ring<br />

oscillators using HBTs with an fT of 190 GHz, an fmax<br />

of 243 GHz, and a BV CEO of 1.9 V. The high-speed HBT<br />

module has been integrated in a 0.25 mm CMOS<br />

platform.<br />

2. Technology<br />

The design of the investigated HBTs is illustrated in<br />

cross section in Fig. 1. Key device features are: (1)<br />

Elevated extrinsic base regions self-aligned to the emitter<br />

window resulting in low base resistance. (2) Formation<br />

of the whole HBT structure in one active area without<br />

shallow trench isolation between emitter and collector<br />

contact resulting in low collector resistance and small<br />

collector–substrate junction areas. (3) Device isolation<br />

without deep trenches resulting in reduced process<br />

complexity and improved heat dissipation.<br />

The HBT module was fabricated in a BiCMOS<br />

process after gate patterning and gate spacer formation<br />

[1,3]. During HBT fabrication, CMOS regions are


280<br />

Elevated extrinsic base Emitter<br />

STI<br />

protected with a layer stack that is opened over HBT<br />

regions. The process sequence for HBT fabrication is<br />

sketched in Fig. 2. It begins with the formation of the<br />

collector wells by high-dose ion implantation. The<br />

collector wells are laterally confined by shallow trench<br />

regions. Next, the active collector region is defined by<br />

depositing and patterning on oxide layer. A Si buffer<br />

layer, the SiGe:C base layer, and a Si cap layer are<br />

grown in one epitaxial step. After epitaxy, a sacrificial<br />

layer is deposited, and emitter windows are structured.<br />

An additional inside spacer is formed before depositing<br />

and structuring the As-doped emitter. Next, spacers are<br />

formed at the emitter and the sacrificial layer is removed<br />

by wet etching, followed by the self-aligned selective<br />

growth of the B-doped extrinsic base. Further details of<br />

the process are given in [3]. In a reference process<br />

without the elevated base, the extrinsic base is formed<br />

by ion implantation after emitter structuring as described<br />

in [1].<br />

3. Device results<br />

Using the new collector design, collector resistances as<br />

low as the emitter resistance can be realized even<br />

without introducing an epitaxially buried subcollector<br />

(see Table 1). The fabrication of these heavily doped<br />

collector wells with low defect density has been<br />

demonstrated by high yield of arrays of 4096 HBTs in<br />

parallel [1].<br />

Introducing the elevated extrinsic base design resulted<br />

in a reduction of the base resistance by about 20% and a<br />

reduction of the base-collector capacitance by about<br />

15% compared to reference devices with implanted<br />

extrinsic base at the same drawn emitter width of<br />

0.21 mm. Shrinking the drawn emitter width from 0.21 to<br />

0.175 mm resulted in a further reduction of RB and CBC<br />

(Table 1).<br />

3.1. RF characteristics<br />

SIC<br />

SiGe:C<br />

Heavily-doped collector<br />

The effect of the low-resistance extrinsic base design<br />

on f T and f max is demonstrated in Fig. 3. Transistors<br />

ARTICLE IN PRESS<br />

Collector contact<br />

STI<br />

Fig. 1. Schematic cross section of an HBT with elevated<br />

extrinsic base. Single-crystalline and poly-crystalline regions of<br />

the base layer are indicated by different hachures.<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

H. Rücker et al. / Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 279–282<br />

(a) Collector well implant<br />

STI<br />

Emitter<br />

Heavily-doped collector<br />

(b) Collector window opening & Si/SiGe:C/Si epi<br />

SiGe:C<br />

Collector window<br />

(c) Emitter window opening & SIC implant<br />

Inside spacer<br />

(d) Emitter depo. & structuring, spacer formation<br />

Reprints of<br />

Selected Publications<br />

Sacrificial layer<br />

Outside spacer<br />

(e) Selective growth of elevated extrinsic base<br />

Elevated extrinsic base<br />

with elevated extrinsic base and reference transistors<br />

with implanted extrinsic base have similar fT curves due<br />

to almost identical doping profiles in the active<br />

transistor region. The peak f max increases from<br />

186 GHz for the device with implanted extrinsic base<br />

to 225 GHz for the device with elevated extrinsic base<br />

due to reduced R B and C BC. The further reduction of R B<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 97<br />

SIC<br />

STI<br />

Fig. 2. Process sequence for HBT fabrication: (a) collector well<br />

implant; (b) collector window opening and Si/SiGe:C/Si epi; (c)<br />

emitter window opening and SIC implant; (d) emitter depo. and<br />

structuring, spacer formation; (e) selective growth of elevated<br />

extrinsic base.


Nachdrucke<br />

ausgewählter Publikationen<br />

98<br />

Reprints of<br />

Selected Publications<br />

and CBC due to shrinking the emitter width to 0.175 mm<br />

resulted in an f max of 243 GHz.<br />

3.2. Noise performance<br />

Noise characteristics of the present technology have<br />

been measured up to 26 GHz. Fig. 4 shows the minimum<br />

noise figure F min and the associated gain G A vs.<br />

frequency. The simultaneous realization of low RB and<br />

high fT for the devices with elevated extrinsic base results<br />

in high gain (G A at 26 GHz=8 dB) and low noise (F min<br />

at 26 GHz=1.6 dB) out to high frequencies. The lowresistance<br />

elevated base design reduces Fmin by about<br />

0.3 dB compared to the reference devices with implanted<br />

extrinsic base.<br />

ARTICLE IN PRESS<br />

Table 1<br />

RF parameter, resistances and capacitances for HBTs with different emitter dimensions fabricated in the process with selectivelygrown<br />

elevated extrinsic base and in the reference technology with implanted extrinsic base. R E and R C were determined from static<br />

device characteristics and R B from circle fits of s 11 at V BE=0.9 V. The R B values given here differ from those in [3] due to the different<br />

extraction procedure<br />

Selectively grown elevated extrinsic base Implanted extrinsic base<br />

Drawn emitter area (mm 2 ) 0.175 � 0.84 0.21 � 0.84 0.21 � 0.84<br />

fT (GHz) 190 200 202<br />

fmax (GHz) 243 225 186<br />

CML gate delay (ps) 3.6 3.9 <strong>4.3</strong><br />

C BC (fF) 2.4 2.8 3.3<br />

RB (O) 75 85 110<br />

RE (O) 22 18 19<br />

R C (O) 21 16 16<br />

f T / f max (GHz)<br />

200<br />

150<br />

100<br />

50<br />

0<br />

V CE=1.5V<br />

f max<br />

10 -4<br />

H. Rücker et al. / Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 279–282 281<br />

f T<br />

A E =2x(0.21x0.84)µm 2<br />

10 -3<br />

Collector Current (A)<br />

10 -2<br />

Fig. 3. Transit frequency f T and maximum oscillation frequency<br />

f max vs. collector current for transistors with elevated<br />

extrinsic base (lines with circles) and for reference HBTs with<br />

implanted extrinsic base (lines).<br />

Min. Noise Figure (dB)<br />

2.0<br />

1.6<br />

1.2<br />

0.8<br />

0.4<br />

3.3. Ring oscillator gate delays<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Implanted<br />

extrinsic base<br />

Elevated<br />

extrinsic base<br />

0.0<br />

0 5 10 15 20 25<br />

0<br />

30<br />

Frequency (GHz)<br />

CML ring oscillators with 53 stages were investigated<br />

to benchmark the high-frequency performance for<br />

digital applications. Using a series of ring oscillators<br />

with various load resistances, we have measured the gate<br />

delay as a function of current per gate (Fig. 5).<br />

Minimum gate delays of 3.6 ps per stage were achieved<br />

for single-ended voltage swings from 200 to 400 mV.<br />

Gate delays for the three types of HBTs compared in<br />

this study are given in Table 1 for a voltage swing of<br />

300 mV. The shortest gate delays were achieved for the<br />

HBTs with elevated extrinsic base design and drawn<br />

emitter widths of 0.175 mm. These transistors feature fT<br />

and f max values of 190 and 243 GHz, respectively.<br />

25<br />

20<br />

15<br />

10<br />

5<br />

Associated Gain (dB)<br />

Fig. 4. Minimum noise figure and associated gain vs. frequency<br />

at IC=2 mA and VCE=1.5 V for devices with eight emitters<br />

with drawn areas of 0.21 � 0.84 mm 2 in parallel. De-embedded<br />

values are shown.


282<br />

Gate Delay (ps)<br />

5.0<br />

4.8<br />

4.6<br />

4.4<br />

4.2<br />

4.0<br />

3.8<br />

3.6<br />

3.4<br />

1 2 3 4<br />

The gate delays obtained for the three types of HBTs<br />

compared in Table 1 scale roughly as 1/fmax. This<br />

dependence is in accordance with the analysis given in<br />

[6,4] which suggests similar first-order expressions for<br />

the delay in a current switch and for 1/fmax. However,<br />

comparison of different high-speed SiGe HBT technologies<br />

shows that comparable stage delays are obtained<br />

in spite of transistors with significantly different fmax [4].<br />

Previously, shortest ring oscillator gate delays of 3.9 ps<br />

were reported for SiGe technologies with peak f max<br />

values of 338 GHz [4] and 197 GHz [5]. In this work, a<br />

reduced gate delay of 3.6 ps was achieved although<br />

devices of the present technology have a significantly<br />

ARTICLE IN PRESS<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

H. Rücker et al. / Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 279–282<br />

T=300K; V EE =-2.5V; A E =0.175x0.56µm 2<br />

Current per Gate (mA)<br />

∆V=400mV<br />

∆V=300mV<br />

∆V=200mV<br />

Fig. 5. CML ring oscillator gate delay vs. current per gate for<br />

oscillators with 53 stages. The single-ended voltage swing was<br />

varied from 200 to 400 mV. Data points are average values of<br />

six devices measured on one wafer. The transistors have<br />

elevated extrinsic base regions and drawn emitter areas of<br />

0.175 � 0.56 mm.<br />

Reprints of<br />

Selected Publications<br />

lower fmax than those of [4]. This indicates that device<br />

optimization for minimum gate delay has to include in<br />

addition to f max also other parameters such as substrate<br />

capacitance (CJS) and interconnect capacitances. The<br />

use of an CML architecture in the present work and in<br />

[5] and a ECL architecture in [4] is expected to have only<br />

a minor impact on ring oscillator gate delay.<br />

4. Summary<br />

Reducing parasitic resistances and capacitances by<br />

innovative device design is key for increasing the speed<br />

of HBT circuits. Combining a new low-resistance<br />

extrinsic base design and a low-resistance collector<br />

design, we have demonstrated ring oscillator gate delays<br />

of 3.6 ps in a SiGe:C BiCMOS technology featuring<br />

HBTs with fT/fmax of 190/243 GHz.<br />

References<br />

[1] Heinemann B, et al. Novel collector design for high-speed<br />

SiGe:C HBTs. IEDM Tech. Dig. 2002. p. 775–8.<br />

[2] Jagannathan B, et al. Self-aligned SiGe NPN technology<br />

with 285 GHz f max and 207 GHz f T in a manufacturable<br />

technology. IEEE Electr Device Lett 2002;23:258–60.<br />

[3] Ru¨ cker H, et al. SiGe:C BiCMOS technology with 3.6 ps<br />

gate delay. IEDM Tech. Dig. 2003. p. 121–4.<br />

[4] Jagannathan B, et al. 3.9 ps SiGe HBT ECL ring oscillator<br />

and transistor design for minimum gate delay. IEEE Electr<br />

Device Lett 2003;24:324–6.<br />

[5] Meister TF, et al. SiGe bipolar technology with 3.9 ps gate<br />

delay. In: Proceedings of BCTM. 2003, p. 103–6.<br />

[6] Stork JM, Bipolar transistor scaling for minimum switching<br />

delay and energy dissipation. IEDM Tech. Dig. 1988.<br />

p. 550–3.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 99


Nachdrucke<br />

ausgewählter Publikationen<br />

100<br />

Reprints of<br />

Selected Publications<br />

A Low-Cost SiGe:C BiCMOS Technology with Embedded Flash<br />

Memory and Complementary LDMOS Module<br />

D. Knoll, A. Fox, K.E. Ehwald, B. Heinemann, R. Barth, A. Fischer, H. Rücker, P. Schley, R. Scholz,<br />

F. Korndörfer, B. Senapati, V.E. Stikanov # , B. Tillack, W. Winkler, Ch. Wolf, and P. Zaumseil<br />

<strong>IHP</strong>, Im Technologiepark 25, 15 236 Frankfurt (Oder), Germany<br />

# Kiev Polytechnical Institute, CAD Department, Kiev, Ukraine<br />

Abstract - We present a low-cost, modular BiCMOS<br />

process for wireless and mixed-signal applications. A SiGe:C<br />

bipolar module, a complementary LDMOS module, and a<br />

low-power flash memory were combined with a 0.25µm<br />

CMOS technology to enable SoC integration. The low-cost<br />

approach is demonstrated by the fact that only 29 mask steps<br />

are applied in total for the full process flow including all<br />

modules, a full suite of passives, and 5 metal layers.<br />

Index Terms - BiCMOS technology, heterojunction<br />

bipolar transistors, LDMOS devices, embedded memory.<br />

I. INTRODUCTION<br />

SiGe:C BiCMOS technology allows one to combine<br />

state-of-the-art CMOS circuitry with best bipolar RF<br />

performance on a single chip [1]. This combination,<br />

supplemented by further functions such as high-voltage<br />

and non-volatile memory (NVM) enables the realization<br />

of integrated mixed-signal systems-on-a-chip (SoC).<br />

However, a big challenge for the development of such an<br />

SoC-able platform is reasonably to compromise device<br />

performance and functionality with cost, affected by<br />

features such as no. of masks and process steps, yield etc.<br />

Here, we present a modular SiGe:C BiCMOS platform<br />

which offers a wide device spectrum with ample<br />

performance at low process complexity, and hence cost.<br />

The essential process features can be summarized as<br />

follows: (1) A SiGe:C bipolar module, a complementary<br />

LDMOS module, and a low-power flash memory were<br />

integrated in a triple-well, 0.25µm RF-CMOS core. (2)<br />

The bipolar module fabricates several types of SiGe:C<br />

HBTs with peak-fT/BVCEO values ranging from 29GHz/7V<br />

up to 140GHz/2V. (3) The LDMOS module offers n-<br />

LDMOS transistors with fT/BVDSS values in a range of<br />

17GHz/26V to 23GHz/15V, an isolated n-LDMOS device<br />

with 21GHz fT and 11.5V BVDSS, and p-LDMOS<br />

transistors with up to 13GHz fT and -13.5V BVDSS. (4)<br />

The flash memory uses floating gate cells. For the<br />

write/erase cycles, Fowler-Nordheim (FN) tunneling is<br />

applied to get low power consumption. (5) Among the<br />

passives are MOS and junction varactors, high-speed<br />

Schottky diodes, several types of resistors, and a MIM<br />

0-7803-9309-0/05/$20.00 ©<strong>2005</strong> IEEE<br />

132<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

cap. (6) For fabricating the full device menu, including 5<br />

layers of AlCu, the process uses only 29 mask steps which<br />

demonstrates the low-cost process approach.<br />

RF-CMOS Flow Module Integration<br />

Shallow Trench Isolation<br />

Well Implants<br />

Gate Oxidation<br />

Gate Poly Deposition<br />

Gate Structuring<br />

Gate Spacer Formation<br />

S/D Implants<br />

Salicide Blocker<br />

Contact Module<br />

Collector Implant for<br />

High-fT HBT (1)<br />

Dual Gate Oxide Wet<br />

Etch for Flash (1)<br />

Floating Gate + Flash p-Well Implant (1)<br />

1-Mask HBT-Module (1)<br />

Floating Gate Etch + HV n-Well Impl. (1)<br />

Control Gate Etch + HV n-LDD Impl. (1)<br />

Complementary<br />

LDMOS Module (2)<br />

Schottky Diodes (1)<br />

BEOL including: 5 Al Layers, MIM Module<br />

(20 mask steps)<br />

Fig. 1. Flow diagram of the BiCMOS process. The numbers in<br />

brackets illustrate the no. of added mask steps.<br />

II. PROCESS FLOW AND INTEGRATION ISSUES<br />

IEEE BCTM 8.4<br />

Fig. 1 shows a flow diagram of the complete process.<br />

Device structures, such as HBT, memory cell and<br />

LDMOS, are illustrated by the Figs. 2-4. As shown in Fig.<br />

1, the full process results from the integration of several<br />

modules into an RF-CMOS flow. Clearly, any module<br />

integration must not change the CMOS transistor<br />

parameters to guarantee re-usability of libraries for all


“Coll.”<br />

Oxide<br />

Spacer<br />

“Emitter” “Coll.”<br />

n +<br />

SiGe:C<br />

p + Gate<br />

Poly<br />

STI<br />

Fig. 2. SEM cross-section of a SiGe:C HBT with base contact<br />

in line with the emitter contact.<br />

Fig. 3. SEM cross-section of a stacked gate flash memory cell<br />

along bitline direction, with S/D contacts.<br />

S<br />

n + p +<br />

G<br />

n-Well<br />

p-LDD + n-LDD2<br />

p-Substrate<br />

Fig. 4. Schematic cross-section of the p-LDMOS transistor. (p-<br />

LDD is a masked B implantation, while n-LDD2 is a blanket<br />

co-implantation of P and BF 2)<br />

process versions over the CMOS-only flow. LDMOS<br />

integration without affecting the normal CMOS devices<br />

can be done easily [2]. A standard floating gate approach<br />

was chosen for the NVM just because of its good CMOS<br />

compatibility [3]. The particular HBT integration scheme<br />

of our process completes the vertical HBT structure<br />

already before all CMOS post-gate implantations are<br />

carried out [4, 5]. Thus, HBT fabrication has nearly no<br />

D<br />

p +<br />

n-Buried layer (Deep P implant)<br />

STI<br />

133<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

effect on the final CMOS doping profiles. To get same<br />

MOS transistors in the pure CMOS and the BiCMOS<br />

flow, we had only to optimize carefully the strongest<br />

thermal step of the HBT module, the H2-prebake carried<br />

out before base deposition to get a perfect epi-layer.<br />

A further advantage of our HBT module is the good<br />

compatibility with the chosen memory cell type. This type<br />

can not so easily be integrated in a BiCMOS process with<br />

the commonly applied HBT-after-gate integration scheme.<br />

The only critical point in our process with respect to an<br />

unwanted effect of the memory integration is illustrated by<br />

Fig. 5. It shows the HBT structure after deposition of the<br />

memory control gate layer. This layer and the underlying<br />

isolator layer are later etched away from the HBT regions.<br />

The question was if the applied etch procedure has a<br />

negative impact on the properties of the HBT emitter-base<br />

complex, for example by damaging the oxide spacer<br />

separating the highly doped emitter from the external base.<br />

Fig. 6 demonstrates that this is not the case showing that<br />

the stacked gate, flash memory integration is fully<br />

compatible with the HBT module.<br />

Percentage of Good Devices<br />

STI<br />

Good Device: I ECs < 1nA @ V EB = 0.4V<br />

100<br />

80<br />

60<br />

40<br />

20<br />

Oxide<br />

Spacer<br />

Emitter<br />

SiGe:C<br />

with or w/o flash integration<br />

0<br />

0 5 10 15 20 25<br />

Fig. 6.<br />

Wafer ID<br />

Emitter-base leakage current yield of 4k HBT arrays<br />

fabricated in a process flow with or without flash memory.<br />

III. HBT MODULE<br />

IEEE BCTM 8.4<br />

Control Gate Layer<br />

CMOS Gate Layer<br />

Fig. 5. SEM cross-section of the HBT structure after<br />

deposition of the control gate layer for the flash memory.<br />

A primary requirement from the system side with<br />

respect to HBT integration was the simultaneous<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 101


Nachdrucke<br />

ausgewählter Publikationen<br />

102<br />

Reprints of<br />

Selected Publications<br />

availability of high-fT and high-BVCEO transistors. The<br />

challenge was to fulfill this requirement with a minimum<br />

of additional mask and process steps, compared to the<br />

underlying RF-CMOS process.<br />

Essential structure and integration features of the 1- or<br />

2-mask HBT module which both can be used for the<br />

BiCMOS option were already described in detail [4, 5].<br />

Meanwhile, we explored the performance limits of our<br />

low-cost HBT approach, with the best results shown in<br />

Fig. 7. The demonstrated 140GHz fT and 150GHz fmax<br />

were reached at 2V BVCEO , 7V BVCES, and a current gain<br />

of 400 (@ 0.7V VBE).<br />

f T or f max (GHz)<br />

160<br />

140<br />

120<br />

100<br />

80<br />

60<br />

40<br />

20<br />

0<br />

IV. LDMOS Module<br />

Integration of RF n-and p-LDMOS transistors into<br />

CMOS or BiCMOS allows the use of complementary<br />

circuit techniques and enables efficient solutions for linear<br />

RF power amplifiers, power switches, DC/DC converters<br />

and high voltage IO circuits.<br />

f T or f max (GHz)<br />

A E = 10x(0.24x0.82)µm 2<br />

10 -4<br />

f max<br />

10 -3<br />

Details of the 2-mask, complementary LDMOS module<br />

used for this platform, were previously described [2]. In<br />

addition to n-LDMOS transistors with breakdown voltages<br />

near 30V, isolated n-LDMOS and p-LDMOS transistors<br />

f T<br />

V CE = 1.5V<br />

Collector Current (A)<br />

10 -2<br />

Fig. 7. f T and f max vs. collector current for a high-f T HBT.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

can be fabricated on the same wafer and achieve<br />

breakdown voltages of 11.5V and -13.5V and fT/fmax<br />

values of 21/47GHz or 13/30GHz (Fig. 8), respectively.<br />

V. Flash Memory Module<br />

A modular NVM technology, embedded into a<br />

BiCMOS process, has significant potential for a range of<br />

important system-level applications. Main requirements<br />

are cost-effectiveness and low power consumption,<br />

particularly for use in portable systems. Memories are<br />

needed ranging from small to medium density (from a few<br />

byte, e.g. for non-volatile registers, up to a few Mbit, e.g.<br />

for operation system storage). Cell size and performance<br />

must be sufficient for these memory sizes. The overall<br />

advantages of such embedded memory integration are the<br />

added system functionality and a reduced total system<br />

cost.<br />

As mentioned before, a standard floating-gate approach<br />

was chosen for CMOS compatibility. Uniform channel<br />

FN-tunneling was chosen as cell-programming mechanism<br />

due to its intrinsic low power consumption. One<br />

consequence is the need to handle the high voltages (+/-<br />

6V) used for this technique, which requires high-voltage<br />

(HV) devices. One challenge is to integrate the flash cells<br />

together with HV-MOS transistors at low additional cost<br />

in terms of mask count and process steps.<br />

The developed flash module needs 4 additional mask<br />

steps on top of the baseline BiCMOS flow, while sharing<br />

process steps for flash-cells, HV-MOS and CMOS devices<br />

[3]. The single cells (Fig. 3) show a writing time of as low<br />

as 1µs for programming with Vprg = 16V (+/-8V at gate<br />

and well) and a 4V VT-window between the written and<br />

erased state (Fig. 9). An endurance of 10 5 write and erase<br />

40<br />

30<br />

20<br />

V = - 8V<br />

DS<br />

fmax 10<br />

V = - 4V<br />

DS<br />

fT -2.5 -2.0 -1.5 -1.0 -0.5<br />

V (V) GS<br />

10 -8 10 -7 10 -6 10 -5 10 -4 10 -3 10 -2 10 -1<br />

3<br />

2<br />

1<br />

0<br />

+16V +14V<br />

+12V<br />

-12V<br />

-1<br />

-2<br />

-14V<br />

-16V<br />

Fig. 8. Measured (data points) and simulated (solid lines) fT and fmax vs. VGS characteristics of a p-LDMOS transistor.<br />

-3<br />

Pulse Width (sec)<br />

Fig. 9. Transient characteristics of a flash memory cell for<br />

different programming voltages.<br />

134<br />

V T (V)<br />

IEEE BCTM 8.4<br />

cycles has been demonstrated. The HV-MOS transistors<br />

show a breakdown voltage of >10V, which is sufficient<br />

for this application. 1-Mbit, functional demonstrator


memories (Fig. 10) were fabricated, thus showing the<br />

feasibility of the process for memories of this density.<br />

Fig. 10. Micrograph of the 1-Mbit demonstrator flash memory,<br />

together with the top view on the memory-array before<br />

formation of the metal interconnects.<br />

Table I summarizes all devices offered under use of the<br />

full mask step count of 29.<br />

TABLE I<br />

DEVICE SUMMARY OF THE 29-MASK BICMOS PROCESS<br />

• HBTs: up to 4 devices with peak-fT/BVCEO values<br />

ranging from 29GHz/7V to 140GHz/2V<br />

• NMOS and isolated NMOS transistors with<br />

570µA/µm IDS and 10pA/µm IOFF @ VDS= 2.5V<br />

• PMOS transistors with 290µA/µm IDS and 10pA/µm<br />

IOFF @ VDS= 2.5V<br />

• MOS varactor with 3.2:1 tuning range<br />

• Junction varactor with 1.7:1 tuning range<br />

• n-LDMOS: several devices with fT/BVDSS values in a<br />

range of 17GHz/26V to 23GHz/15V<br />

• Isolated NLDMOS: 11.5V BVDSS, 21GHz peak-fT<br />

• PLDMOS: -13.5V BVDSS, 13GHz peak-fT<br />

• Embedded flash memory: Floating gate cells,<br />

uniform channel FN tunneling for cell-programming<br />

• HV MOS transistors: p- and n-type, BV> 10V<br />

• Resistors: from 6Ω up to 2kΩ sheet resistance<br />

• Schottky diode: 180GHz RC cut-off frequency<br />

• MIM capacitor: 1fF/µm 2 up to 2fF/µm 2 cap density<br />

For further device details, see also references [2, 3, 5]<br />

VI. PROCESS QUALIFICATION RESULTS<br />

Essential parts of the process, such as the CMOS core,<br />

and the 1-mask, 80GHz HBT module were already<br />

qualified. For other ones, as the LDMOS module,<br />

qualification is just running. Table II and Fig. 11 show<br />

exemplary some results. Table II summarizes the stress<br />

tests with SRAMs we used as CMOS qualification<br />

135<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

devices. Fig. 11 shows an intrinsic HBT reliability<br />

characteristics.<br />

TABLE II<br />

1-<strong>MB</strong>IT SRAM STRESS TEST SUMMARY<br />

Pass criteria: continuity test, stand-by current of all<br />

blocks, functionality at 4 different voltages, ...<br />

• HTOL (2.75V, 125°C, 1MHz, 1000hours, 336DUTs)<br />

Test passed with 2/336 fails in different lots<br />

• Static Bake (150°C, 1000hours, 120DUTs)<br />

Test passed with 0/120<br />

• AATC (-65°C to 150°C, 1000cycles, 90DUTs)<br />

Test passed with 0/90<br />

• Pressure Cooker (121°C, 100% RH, 2bar, 168hrs,<br />

90 DUTs) Test passed with 0/90<br />

• EFRS (2.5V, 125°C, 1MHz, 48hours, 1278DUTs)<br />

0.4% fail (5/1278)<br />

Lifetime (hours)<br />

10 3<br />

10 4<br />

10 5<br />

10 6<br />

10 7<br />

10 8<br />

10<br />

1 10 100<br />

1<br />

10 2<br />

T = 150°C<br />

Stress Current I (mA) E<br />

Fig. 11. Current dependence of lifetime (for 10% βdegradation<br />

@ V BE= 0.7V ) for an array with four 80GHz<br />

HBTs in parallel.<br />

VII. SUMMARY AND CONCLUSIONS<br />

In summary, we have demonstrated a low-cost, modular<br />

SiGe:C BiCMOS process which offers up to four different<br />

HBT types, including high-fT and high-BVCEO transistors,<br />

several n-LDMOS and p-LDMOS devices with excellent<br />

RF performance, and an embedded, low-power flash<br />

memory. The combination of these modules with a highly<br />

integrated digital CMOS backbone and advanced passive<br />

elements, with only 29 mask steps in total, meets the<br />

needs of the majority of current wireless and mixed-signal<br />

applications in a cost-effective way.<br />

REFERENCES<br />

V CB = 1V<br />

[1] B. Orner et al., Proc. of the 2004 BCTM, p. 203<br />

[2] K. E. Ehwald et al., Proc. of the ESSDERC 2004, p. 121<br />

[3] A. Fox et al., Proc. of the ICM2004, p. 463<br />

[4] D. Knoll et al., IEDM 2002 Tech. Dig., p. 783<br />

[5] D. Knoll et al., Proc. of the 2004 BCTM, p. 241<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 103<br />

I E @ f T,max<br />

11 years<br />

T = 100°C<br />

IEEE BCTM 8.4


Nachdrucke<br />

ausgewählter Publikationen<br />

104<br />

Abstract<br />

Reprints of<br />

Selected Publications<br />

Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 273–278<br />

Spectroscopic ellipsometry for in-line process control of<br />

SiGe:C HBT technology<br />

O. Fursenko a,� , J. Bauer a , P. Zaumseil a , D. Kru¨ ger a , A. Goryachko b ,<br />

Y. Yamamoto a , K. Ko¨ pke a , B. Tillack a<br />

a <strong>IHP</strong>, Im Technologiepark 25, D-15236 Frankfurt, Oder, Germany<br />

b Brandenburg University of Technology Cottbus, Postfach 101344, D-03013 Cottbus, Germany<br />

Available online 18 October 2004<br />

Spectroscopic ellipsometry (SE) was successfully applied for in-line thickness and composition control of graded<br />

SiGe:C heterojunction bipolar transistors (HBTs). We have calculated a thickness of Si-cap and SiGe:C base, split into<br />

the gradient and plateau part and Ge content in the plateau. The procedure included the creation of databases for the<br />

refractive index dispersion of all components of HBT stacks using simple one-layer structures, with thickness and<br />

composition calibrated by X-ray diffractometry (XRD). These databases (e.g. SiGe:C optical constants vs. Ge content)<br />

were applied for thickness and composition characterization of graded HBTs with different profile shapes. The<br />

difference between SE and XRD for the estimation of the main structural parameters is discussed. Finally, the<br />

suitability of SE for measuring wafer uniformity of HBT layer thickness and composition was demonstrated, allowing a<br />

proper and efficient fine-tuning of the epitaxial growth process.<br />

r 2004 Elsevier Ltd. All rights reserved.<br />

PACS: 78.20.-e; 78.20.C<br />

Keywords: Spectroscopic ellipsometry; SiGeC<br />

1. Introduction<br />

Present day SiGe:C heterojunction bipolar transistor<br />

(HBT) technologies require precise, fast, nondestructive<br />

and in-line thickness and composition control of HBT<br />

layer stacks with wafer mapping capability for patterned<br />

product wafers [1]. A well-developed in-line control<br />

technique for determination of thickness and Ge<br />

composition, such as X-ray diffractometry (XRD) [2],<br />

�<br />

Corresponding<br />

fax:+49 335 56 25 661<br />

author. Tel.: +49 335 56 25 328;<br />

E-mail address: fursenko@ihp-microelectronics.com<br />

(O. Fursenko).<br />

ARTICLE IN PRESS<br />

1369-8001/$ - see front matter r 2004 Elsevier Ltd. All rights reserved.<br />

doi:10.1016/j.mssp.2004.09.058<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

is very accurate, especially in the Ge content determination<br />

for SiGe HBT. However, for SiGe:C HBT it is<br />

difficult to separate carbon and germanium contributions<br />

in the XRD signal and only ‘‘effective’’, i.e. mixed<br />

Ge and C concentration can be obtained. Other drawbacks<br />

of XRD are: being time consuming for use in<br />

production environment, it does not allow for easy<br />

lateral mapping and it is unsuitable for measurements<br />

on patterned wafers in small areas (o100 � 100 mm 2 ).<br />

Secondary ion mass spectrometry (SIMS) can measure<br />

complete structures, including Ge, B and C profiles, but<br />

is expensive, slow and needs a large sample area. The<br />

most promising candidate for in-line process control is<br />

spectroscopic ellipsometry (SE) [3]. It is fast, nondes-


274<br />

tructive, reproducible and allows fast wafer mapping,<br />

but it needs some preliminarily obtained additional<br />

information, namely the optical constants (refractive<br />

index n and extinction coefficients k) of materials formed<br />

in the structure.<br />

As it is known from the literature, SE has been<br />

successfully applied for SiGe HBT characterization<br />

[4–6]. In [4,5] simple HBT film stacks without gradient<br />

were measured and calculated. In [6] HBTs with graded<br />

or abrupt profiles were investigated, but similar to [5],<br />

analysis was based on the database of Si1�xGex optical<br />

constants currently provided in the literature for relaxed<br />

materials.<br />

While in the literature there is no deficiency of optical<br />

constants for Si1�xGex alloys [4,7–11], the information<br />

of optical constants of Si 1�x�yGe xC y films is limited.<br />

The effect of C doping on the dielectric function of<br />

Si1�x�yGexCy was described in [12–14] using critical<br />

points (CP) analysis for limited C concentrations without<br />

extraction of accurate values of the optical<br />

constants. Zollner et al. [4] built a matched database<br />

for Ge concentration of 21% and C concentration up to<br />

1.3%. However, these data are not sufficient to describe<br />

the whole Ge-concentration profile.<br />

In our work SE was successfully applied for graded<br />

SiGe:C HBT characterization. After the determination<br />

of optical constants of SiGe:C on Ge content in the<br />

range of 0–30% for C�0.3%, we calculated the<br />

thicknesses of a Si-cap and a SiGe:C base, being split<br />

into the gradient and plateau part, and Ge content in the<br />

plateau part. SE results were compared with data<br />

obtained by XRD technique. Finally, the suitability of<br />

SE for wafer uniformity evaluation of HBT layer<br />

thickness and composition is demonstrated, allowing a<br />

proper and efficient fine tuning of the epitaxial growth<br />

process.<br />

2. Experimental details<br />

In order to develop a model of SiGe:C optical constants,<br />

sets of strained Si 1�xGe x and Si 1�x�yGe xC y (0oxo0.32,<br />

y�0.003) layers with about 50 nm thickness were deposited<br />

pseudomorphically on Si (1 0 0) using reduced pressure<br />

chemical vapor deposition (RP-CVD) as described in [15].<br />

Also, the sets of graded SiGe and SiGe:C HBT structures<br />

with thickness variation in the cap (�50–70 nm), plateau<br />

(�20–30 nm) and gradient (�5–30 nm, from 20% down to<br />

�7% Ge concentration) were grown.<br />

SE measurements of tg C and cos D were done in the<br />

energy range of 1.5–5.2 eV using an automated, smallspot<br />

(14 � 28 mm 2 ) spectroscopic ellipsometer (KLA-<br />

TENCOR UV1280) with a 701 angle of incidence. SE<br />

analysis consisted of solving the inverse ellipsometric<br />

problem, while performing a mathematical regression<br />

analysis between experiment and theory. In this way the<br />

ARTICLE IN PRESS<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

O. Fursenko et al. / Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 273–278<br />

n,k<br />

(a)<br />

En, eV<br />

7<br />

6<br />

5<br />

Reprints of<br />

Selected Publications<br />

4<br />

SiGe:C, Ge% C~0.03%<br />

3<br />

0<br />

5<br />

2<br />

10<br />

15 k<br />

1<br />

20<br />

25<br />

0<br />

1.5 2.0<br />

30<br />

2.5 3.0 3.5 4.0 4.5 5.0<br />

E, eV<br />

4.4<br />

4.2<br />

4.0<br />

3.8<br />

3.6<br />

3.4<br />

3.2<br />

difference between calculated and measured spectra<br />

(tg C, cos D) is minimized within a dedicated structural<br />

model [3] with known optical constants dispersions of all<br />

components.<br />

XRD measurements were carried out in a double<br />

crystal diffraction scheme with CuKa radiation and<br />

symmetrical 400 reflection [2] for thickness and compo-<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 105<br />

n<br />

SiGeC SiGe<br />

En0<br />

En1<br />

En2<br />

3.0<br />

0 5 10 15 20 25 30 35<br />

(b)<br />

Ge,%<br />

Ed, eV<br />

2.5<br />

2.0<br />

1.5<br />

1.0<br />

0.5<br />

SiGeC SiGe<br />

Ed0<br />

Ed1<br />

Ed2<br />

0.0<br />

0 5 10 15 20 25 30 35<br />

(c)<br />

Ge,%<br />

Fig. 1. (a) SiGe:C optical constants for various concentrations<br />

of Ge and C�0.03%, (b) dependence on Ge content of HO<br />

resonance energies (E n0, E n1 and E n2) and (c) damping energies<br />

(Ed0, Ed1 and Ed2).


Nachdrucke<br />

ausgewählter Publikationen<br />

106<br />

Reprints of<br />

Selected Publications<br />

sition characterization of single SiGe and SiGe:C layers<br />

as well as HBT stacks. Auger electron spectroscopy<br />

(AES) and SIMS were used additionally for Ge profile<br />

characterization.<br />

3. Results and discussion<br />

3.1. Optical constants of SiGe and SiGe:C<br />

The series of uniform single layer SiGe and SiGe:C<br />

samples were used to build a matched databases of<br />

tg Ψ<br />

(a)<br />

(b)<br />

tg Ψ<br />

tg Ψ<br />

(c)<br />

0.8<br />

Si cap var. : sample<br />

0.7<br />

1 - standard<br />

2 - > cap<br />

0.6<br />

3 - < cap<br />

0.5<br />

0.4<br />

0.3<br />

0.2<br />

0.1<br />

∆<br />

-0.7<br />

tg Ψ<br />

cos cos<br />

∆<br />

-0.8<br />

1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0<br />

E, eV<br />

0.8<br />

SiGe:C grad. var. : sample<br />

0.7<br />

1 - standard<br />

4 - > grad<br />

0.6<br />

5 - < grad<br />

0.5<br />

0.4<br />

0.3<br />

0.2<br />

0.1<br />

0.5<br />

0.4<br />

0.3<br />

0.2<br />

0.1<br />

ARTICLE IN PRESS<br />

O. Fursenko et al. / Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 273–278 275<br />

-0.3<br />

-0.4<br />

-0.5<br />

-0.6<br />

-0.9<br />

-1.0<br />

-0.3<br />

-0.4<br />

-0.5<br />

-0.6 ∆<br />

-0.7<br />

tg Ψ cos<br />

cos ∆<br />

1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0<br />

E, eV<br />

0.8<br />

SiGe:C plateau var. : sample<br />

0.7<br />

1 - standard<br />

6 - > plateau<br />

0.6<br />

7 - < plateau<br />

-0.8<br />

-0.9<br />

-1.0<br />

-0.3<br />

-0.4<br />

-0.5<br />

-0.6 ∆<br />

-0.7<br />

tg Ψ cos<br />

cos ∆<br />

-0.8<br />

1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0<br />

E, eV<br />

-0.9<br />

-1.0<br />

Fig. 2. (a) SE measurements (symbol) and best fit model (lines)<br />

of tg C and cos D vs energy for SiGe:C HBTs with different<br />

thickness of Si-cap layer, (b) SiGe:C gradient and (c) SiGe:C<br />

plateau.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

the refractive index (RI) dispersion for Si1�x�y<br />

GexCy (0pxp0.32, y ¼ 0 and 0.003). Thickness<br />

and composition were calibrated using XRD<br />

under assumption that Ge content in SiGe:C layers is<br />

the same as in the SiGe, grown under identical<br />

conditions.<br />

To find the RI dispersions, reproduced through<br />

the dielectric constant, the semiempirical harmonic<br />

oscillator (HO) (Lorenz) model [16] with<br />

(a)<br />

Ge content, %<br />

(b)<br />

Ge content, %<br />

(c)<br />

15<br />

10<br />

5<br />

SiO2<br />

d - ?<br />

Si-cap<br />

d - ?<br />

25<br />

sample 1<br />

SIMS<br />

20 SE model<br />

d - ?<br />

grad<br />

SiGeC<br />

Ge,% - ?<br />

d - ?<br />

plateau<br />

0<br />

0 20 40 60 80 100 120 140<br />

Depth, nm<br />

25<br />

sample 4<br />

SIMS<br />

20 SE model<br />

15<br />

10<br />

5<br />

0<br />

0 20 40 60 80 100 120 140<br />

Depth, nm<br />

Fig. 3. (a) SE model for calculation with fitted parameters, (b)<br />

comparison of the Ge profiles obtained from SIMS and SE for<br />

sample 1 and (c) sample 4.


276<br />

d(Si cap), nm<br />

d(SiGeC gradient), nm<br />

d(SiGeC plateau), nm<br />

d(SiGeC total), nm<br />

Ge content, %<br />

70<br />

65<br />

60<br />

55<br />

50<br />

40<br />

30<br />

20<br />

10<br />

0<br />

30<br />

25<br />

20<br />

60<br />

55<br />

50<br />

45<br />

40<br />

35<br />

22<br />

21<br />

20<br />

19<br />

ARTICLE IN PRESS<br />

SE<br />

XRD<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

O. Fursenko et al. / Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 273–278<br />

1 2 3 4 5 6 7<br />

Sample<br />

Fig. 4. Thickness of Si-cap, SiGe:C gradient, SiGe:C plateau,<br />

SiGe:C total and Ge content in SiGe:C plateau obtained by SE<br />

and XRD.<br />

four different oscillator levels was used:<br />

P<br />

Hk<br />

k<br />

� ¼ 1 þ<br />

1 � P<br />

nkHk<br />

k<br />

; where Hk ¼<br />

Reprints of<br />

Selected Publications<br />

16pNkR2 yr3 0<br />

ðE2 nk � E2 þ iEdkEÞ e�iFk<br />

and nk is the local field correction factor, Ry and r0 are<br />

the Rydberg constant (13.6058 eV) and Bohr radius<br />

(0.0529177 nm), N k, E nk, E dk, F k are the concentration,<br />

resonant energy, damping energy and phase of the koscillator,<br />

respectively. As a result, the dispersions of<br />

optical constants for Si1�x�yGexCy (0oxo0.32, y�0<br />

and 0.003) were calculated and are shown in particular<br />

for the case of y�0.003 in Fig. 1a.<br />

The parameter values for RI dispersion model,<br />

namely three HO resonant (E n) and damping (E d)<br />

energies for SiGe and SiGe:C, fall in the range of 3–4.5<br />

and 0.25–2.5 eV, correspondingly (Figs. 1b and c). From<br />

the CP singularities point of view, it is possible to relate<br />

the En0 and En2 with E1 and E2 optical transitions from<br />

the conduction band to the valence bands. With<br />

increasing Ge concentrations, the main changes are<br />

observed near E1: the E n0 peak shifts toward lower<br />

energies and broadens (Ed0 increase) due to alloy<br />

scattering, strain effects and increasing spin–orbit<br />

splitting [7,13]. It corresponds to a shifted position of<br />

the peak value of the RI real part (Fig. 1a) and follows<br />

the same trend as observed in [4,7–11] for SiGe.<br />

Therefore, E n0 can be considered as a basis for<br />

stoichiometry determination using SE. The En2 peak<br />

has only a small shift to higher energies with increasing<br />

Ge composition, but it broadens (E d2 increases) and<br />

reduces its amplitude similar to En0.<br />

The addition of C to SiGe results in a small blue shift<br />

of the En0 and En1 with nonshifted En2 and increasing<br />

broadening of CP. The same tendency was observed for<br />

the C-influence on SiGe in [12–14]. This dependence can<br />

be attributed to the strong local strain near the carbon<br />

atoms and to the internal strain splitting of electronic<br />

bands [13].<br />

Using the alloy mixing algorithm [17] the database,<br />

e.g. composition x vs. Si 1�x�yGe xC y optical data, was<br />

built and applied for thickness and composition<br />

characterization of HBT film stack.<br />

3.2. SE analysis of HBT film stack<br />

In Fig. 2 the experimental results of tg C and cos D for<br />

7 HBTs with different Si-cap (samples 1–3) (a), SiGe:C<br />

gradient (samples 1,4,5) (b) and SiGe:C-plateau thickness<br />

(samples 1,6,7) (c) are plotted along with the best fit<br />

model. All thickness changes in different parts of HBTs<br />

were related to sample 1, which was considered as<br />

standard. Since the top Si-cap is highly absorbing in the<br />

UV-wavelength range, any variation in the underlying<br />

layers is correlated to changes in tg C and cos D mainly<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 107


Nachdrucke<br />

ausgewählter Publikationen<br />

108<br />

Reprints of<br />

Selected Publications<br />

in the visible spectral range, i.e. below 3.5 eV. Therefore,<br />

the ability to see through the Si-cap is suppressed and,<br />

for example, information about Ge content is obtained<br />

mainly from analyzing the E1 transitions. A four-layer<br />

stack model on Si substrate (Fig. 3a), consisting of a<br />

uniform SiGe:C layer, a graded SiGe:C layer, a Si-cap<br />

and native oxide layer was used. The final fitting<br />

parameter set included five variable parameters: SiGe:C<br />

plateau thickness with Ge content, SiGe:C gradient<br />

thickness and Si-cap thickness with native oxide on the<br />

surface. The gradient part was modeled as a parametric<br />

gradient layer with different shapes. For example, Figs.<br />

3b and c show the different profiles calculated by SE in<br />

comparison with SIMS measurements.<br />

Fig. 4 presents the numerical results for the SE-fitted<br />

Si-cap, SiGe:C-plateau, SiGe:C-gradient, SiGe:C total<br />

(estimated as sum of plateau and gradient part)<br />

thickness and Ge content in plateau in comparison with<br />

XRD results. For Si-cap and SiGe:C total thickness the<br />

data show a good agreement with only 1–2% deviation.<br />

In separate estimation of SiGe:C plateau and gradient<br />

layer thickness somewhat larger discrepancies up to<br />

15% were detected. The estimation of Ge content was<br />

obtained with relative discrepancy below 5%.<br />

In order to verify and explain our results, a correlation<br />

matrix was considered, which displays the statistical<br />

correlation between each pair of parameters selected for<br />

regression (Table 1). It is known that values close to zero<br />

represent nearly independent fit parameters. But values<br />

close to 71 represent a very strong correlation. It<br />

follows from our calculation that maximal value of 0.87<br />

was obtained for correlation of d(SiGe:C plat)–d(SiGe:C<br />

grad) parameters. It means that for these parameters a<br />

small degree of nonuniquness is possible. Also, estimation<br />

of XRD results [2] indicates that a relative accuracy<br />

of 10% in the plateau layer thickness estimation is<br />

possible. One discrepancy was found during comparison<br />

of results obtained with sample 4: while AES, SIMS and<br />

SE found a significant deviation from a linear increase of<br />

the Ge content in the gradient part (Fig. 3c), this could<br />

not be seen by XRD. We are still investigating a possible<br />

cause for this inconsistency. A comparably strong<br />

correlation: 0.61 between Ge content and d(Si-cap) and<br />

0.65 between Ge content and d(SiGe:C plat), which is<br />

ARTICLE IN PRESS<br />

O. Fursenko et al. / Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 273–278 277<br />

Table 1<br />

Correlation matrix of two-parameters correlation coefficients<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

evident from analyzing the correlation coefficients<br />

(Table 1), effectively sets a lower limit for accuracy of<br />

the Ge content measurements. Also, since sensitivity of<br />

dielectric function with respect to Ge content is greater<br />

at photon energies around E1, the shielding of the<br />

SiGe:C base by the Si-cap layers will increase the<br />

uncertainty in concentration estimation in this case. But<br />

in general, the absolute errors of SE technique are<br />

related to the measurement error and accuracy of XRD<br />

calibration.<br />

All the above mentioned suggests a technological<br />

application as a deposition uniformity control tool in a<br />

process environment, which allows the adjustment of<br />

growth conditions in the CVD reactor. Fig. 5 shows a<br />

49-point diameter scan of HBT thickness and concentration<br />

across a 200 mm wafer measured by SE. These<br />

results demonstrate that a single recipe can measure not<br />

only a broad range of Si-cap and SiGe:C-base (separately<br />

plateau and gradient part) thickness, but also Ge<br />

content, and is sensitive to different shapes of the<br />

gradient part.<br />

4. Conclusion<br />

In our work, the SE technique was successfully<br />

applied for robust, stable and accurate in-line thickness<br />

and composition characterization of graded SiGe:C<br />

HBTs. As a first step we established the databases of<br />

refractive index dispersion for Si1�x�yGexCy<br />

(0pxp0.32, y�0.0 and 0.003) in the energy range of<br />

1.5–5.2 eV, using simple one-layer structures with thickness<br />

and composition calibrated by XRD. As a second<br />

step, these databases (e.g. SiGe:C optical constants vs.<br />

Ge-content) were applied for calculation of SiGe:C<br />

plateau thickness with different Ge-content, SiGe:C<br />

gradient thickness with different shape concentration<br />

profile, Si-cap thickness with native oxide on the surface.<br />

The obtained SE results were compared with XRD data.<br />

The relative difference between techniques for Si-cap<br />

and SiGe:C total thickness estimation was about 1–2%.<br />

For separate estimation of SiGe:C plateau and gradient<br />

layer thickness larger discrepancies up to 15% were<br />

Parameters Ge % d(SiGe:C plat) d(SiGe:C grad) d(Si cap) d(ox)<br />

Ge % 1.0 0.655 �0.451 �0.614 �0.005<br />

d(SiGe:C plat) — 1.0 �0.874 �0.227 �0.003<br />

d(SiGe:C grad) — — 1.0 �0.172 0.005<br />

d(Si cap) — — — 1.0 0.005<br />

d(ox) — — — — 1.0


278<br />

d(SiGe:C gradient), nm<br />

d(SiGe:C plateau), nm<br />

d(Si cap), nm<br />

Ge content, %<br />

70<br />

69<br />

68<br />

67<br />

66<br />

65<br />

64<br />

15.0<br />

14.5<br />

14.0<br />

13.5<br />

13.0<br />

12.5<br />

24.0<br />

23.5<br />

23.0<br />

detected. The estimation of Ge content was obtained<br />

with relative discrepancies below 5%.<br />

Nonetheless, we demonstrated that a single recipe<br />

could measure not only a broad range of Si-cap and<br />

SiGe:C base (separately plateau and gradient part)<br />

thickness, but also the Ge content. The measurement<br />

is sensitive to different shapes of the gradient part.<br />

The suitability of SE for controlling HBT layer<br />

thickness and Ge concentration wafer uniformity was<br />

demonstrated allowing a proper and efficient fine-tuning<br />

of the epitaxial growth process.<br />

References<br />

Mean: 66.65<br />

Std: 2.42%<br />

Mean:14.179<br />

Std: 3.38%<br />

Mean: 23.46<br />

Std: 1.21%<br />

19.0 Mean: 18.305<br />

Std:1.87%<br />

18.5<br />

18.0<br />

0 10 20 30 40 50<br />

Measurement point<br />

[1] Tillack B, Bolze D, Fischer G, Kissinger G, Knoll D,<br />

Ritter G, Schley P, Wolansky D. SiGe heteroepitaxy for<br />

ARTICLE IN PRESS<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

O. Fursenko et al. / Materials Science in Semiconductor Processing 8 (<strong>2005</strong>) 273–278<br />

Fig. 5. Diameter scans of thickness of Si-cap, SiGe:C gradient,<br />

SiGe:C plateau, and Ge concentration in the SiGe:C plateau.<br />

Reprints of<br />

Selected Publications<br />

high frequency circuits. Mat Res Soc Symp<br />

1998;525:379–84.<br />

[2] Zaumseil P. High resolution determination of the Ge depth<br />

profile in SiGe heterobipolar transistor structures by X-ray<br />

diffractometry. Phys Stat Sol (a) 1998;165:195–204.<br />

[3] Azzam RMA, Bashara NM. Ellipsometry and polarized<br />

light. Amsterdam: North-Holland; 1977.<br />

[4] Zollner S, Hildreth J, Liu R, Zaumseil P, Weidner M,<br />

Tillack B. Optical constants and ellipsometric thickness<br />

determination of strained Si 1�xGe x: C layers on Si (1 0 0)<br />

and related heterostructures. J Appl Phys<br />

2000;88(7):4102–8.<br />

[5] Sieg RM, Alterovitz SA, Croke ET, Harrell MJ, Tanner<br />

M, Wang KL, Mena RA, Young PG. Characterization of<br />

SixGe1�x/Si heterostructures for device applications using<br />

spectroscopic ellipsometry. J Appl Phys 1993;74:586–95.<br />

[6] Ferrieu F, Ribot P, Regolini JL. Spectroscopic ellipsometry<br />

of SixGe1�x/Si: A tool for composition and profile<br />

analysis in strained heterostructures used in the microelectronics<br />

industry. Thin Solid Films 2000;373:211–5.<br />

[7] Pickering C, Carline RT, Robbins DJ, Leong WY, Barnett<br />

SJ, Pitt AD, Cullis AG. Spectroscopic ellipsometry<br />

characterization of strained and relaxed Si1�xGex epitaxial<br />

layers. J Appl Phys 1993;73:239–50.<br />

[8] Humliček J, Garriga M, Alonso MI, Cardona M. Optical<br />

spectra of SixGe1�x alloys. J Appl Phys 1989;65:<br />

2827–32.<br />

[9] Jellison GE, Haynes TE, Burke HH. Optical functions of<br />

silicon–germanium alloys determined using spectroscopic<br />

ellipsometry. Opt Mater 1993;2:105–17.<br />

[10] Ygartua C, Liaw M. Characterization of epitaxial silicon<br />

germanium thin films by spectroscopic ellipsometry. Thin<br />

Solid Films 1998;313–314:237–42.<br />

[11] Loo R, Caymax M, Libezny M, Blavier G, Brijs B, Geenen<br />

L, Vandervorst W. Analysis of selectively grown epitaxial<br />

Si 1�xGe x by spectroscopic ellipsometry and comparison<br />

with other established techniques. J Electrochem Soc<br />

2000;147:751–5.<br />

[12] Bonan J, Meyer F, Finkman E, Warren P, Boher P.<br />

Carbon dependence of the dielectric response function in<br />

epitaxial SiGeC layers grown on Si. Thin Solid Films<br />

2000;364:53–7.<br />

[13] Kissinger W, Osten HJ, Weidner M, Eichler M. Critical<br />

points of Si1�yCy and Si1�x�yGexCy layers strained<br />

pseudomorphically on Si(0 0 1). J Appl Phys<br />

1996;79(6):3016–20.<br />

[14] Feng W, Choi WK, Bera LK, Yang CY. Optical<br />

characterization of as-prepared and rapid thermal oxidized<br />

partially strain compensated Si1�x�yGexCy films. Mat Sci<br />

Semicond Proc 2001;4:655–9.<br />

[15] Tillack B, Knoll D, Yamamoto Y, Heinemann B, Ehwald<br />

KE, Winkler W, Ruecker H. Manufacturability of SiGe:C<br />

and Si epitaxy for heterojunction bipolar transistors<br />

integrated in a BICMOS Technology. In: RTP symposium<br />

at the ECS meeting proceedings; 2002.<br />

[16] Jackson JD. Classical electrodynamics, chap.7.5. San<br />

Francisco: Wiley; 1995.<br />

[17] Snyder PG, Woollam JA, Alterowitz SA, Johs B. Modelling<br />

AlxGa1�xAs optical constants as functions of composition.<br />

J Appl Phys 1990;68:5925–6.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 109


Nachdrucke<br />

ausgewählter Publikationen<br />

110<br />

Reprints of<br />

Selected Publications<br />

Praseodymium silicate layers with atomically abrupt interface on Si„100…<br />

G. Lupina, a� T. Schroeder, J. Dabrowski, Ch. Wenger, A. Mane,<br />

G. Lippert, and H.-J. Müssig<br />

<strong>IHP</strong>-<strong>Microelectronics</strong>, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany<br />

P. Hoffmann and D. Schmeisser<br />

Angewandte Physik-Sensorik, BTU Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus, Germany<br />

�Received 28 March <strong>2005</strong>; accepted 30 June <strong>2005</strong>; published online 22 August <strong>2005</strong>�<br />

Synchrotron radiation x-ray photoelectron spectroscopy was applied to study the solid state reaction<br />

between praseodymium and thin silicon dioxide layers on Si�100�. Nondestructive depth profiling<br />

studies by variation of the incident photon energy indicate after praseodymium deposition at room<br />

temperature the reaction of the upper silicon dioxide to praseodymium oxide and silicide.<br />

High-temperature annealing of films with an appropriate praseodymium / silicon dioxide ratio<br />

results in homogeneous praseodymium silicate films with an atomically abrupt interface. Ab initio<br />

calculations corroborate the results of the photoemission study. © <strong>2005</strong> American Institute of<br />

Physics. �DOI: 10.1063/1.2032596�<br />

Vertical scaling of gate dielectrics is a consequence of<br />

the miniaturization of complementary metal oxide semiconductor<br />

�CMOS� devices. However, the increasing gate leakage<br />

current sets the scaling limit of the conventional silicon<br />

dioxide �SiO 2� gate oxide to a maximum of 1.0 nm. 1 A new<br />

approach is to replace SiO 2 by an oxide of higher dielectric<br />

constant k. 2 Transition metal and rare earth metal silicates are<br />

the most promising post-SiO 2 gate dielectrics but many materials<br />

problems remain. 3,4 For example, the thermally induced<br />

phase separation of certain silicates �e.g., ZrSi xO y,<br />

HfSi xO y� compromises the processing window. 3,5<br />

In this letter, we describe praseodymium �Pr� silicate<br />

films �k�15� formed by solid state reaction between metallic<br />

Pr and thin SiO 2 layers. We find that the films can be<br />

prepared on Si�001� without a low-k SiO 2 interface layer.<br />

This silicate exhibits excellent thermal stability up to 900 °C.<br />

SiO 2 films 1.2 and 2.6 nm thick were grown on p-type<br />

Si�100� wafers by rapid thermal oxidation. Pr films of 1 nm<br />

were deposited at a rate of 0.5 nm/min by e-beam evaporation.<br />

The substrates were kept at room temperature �RT� and<br />

the chamber pressure was 10 −8 mbar. Subsequently, in situ<br />

postdeposition annealing �PDA� at 600 °C of 1 min duration<br />

was applied. The reaction was monitored by synchrotron radiation<br />

x-ray photoelectron spectroscopy �SR-XPS� at<br />

BESSY II �UPGM 49/2�. 6 Photon energies of 440, 660, and<br />

920 eV were chosen to allow depth profiling over the whole<br />

film thickness. Spectra were referenced to the Au 4f 7/2 line<br />

�84.0 eV� and measured under normal takeoff angle. The<br />

dielectrics were further characterized by x-ray diffraction<br />

�XRD� using Cu K � radiation and by transmission electron<br />

microscopy �TEM� with a Philips CM300.<br />

Figure 1 shows SR-XPS Si 2p data. The Si 2p lines<br />

measured after various preparation steps are displayed for<br />

several excitation energies. The SiO 2/Si reference spectra of<br />

the 2.6 nm �Fig. 1�a�� and 1.2 nm �Fig. 1�b�� SiO 2 films<br />

exhibit the Si substrate signal with its maximum at 99.3 eV.<br />

The SiO 2 emission is centered around 103.9 eV for the<br />

thicker �Fig. 1�a�� and around 103.7 eV for the thinner �Fig.<br />

a� Author to whom correspondence should be addressed; electronic mail:<br />

lupina@ihp-microelectronics.com<br />

APPLIED PHYSICS LETTERS 87, 092901 �<strong>2005</strong>�<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

1�b�� oxide film. The Si 4+ 2p binding energy decrease with<br />

reduced SiO 2 thickness was attributed mainly to an image<br />

charge interaction across the interface, 7 a conclusion recently<br />

supported by XPS model studies using epitaxial SiO 2 films<br />

on Mo�112� single crystals. 8<br />

After Pr deposition, both the bulk and oxide Si 2p core<br />

levels shift by 0.25 eV towards higher binding energies. This<br />

indicates that the bands in the surface of Si move downwards<br />

in response to Pr deposition. 9 Further evidence is given by an<br />

additional displacement �0.2 eV� of the Si 2p peaks towards<br />

higher binding energies when the excitation energy decreases<br />

FIG. 1. Si 2p SR-XPS study of Pr silicate films on Si �a�<br />

1 nm Pr/2.6 nm SiO 2 system and �b� 1 nm Pr/1.2 nm SiO 2 system.<br />

0003-6951/<strong>2005</strong>/87�9�/092901/3/$22.50 © <strong>2005</strong> American Institute of Physics<br />

87, 092901-1<br />

Downloaded 16 Jan 2006 to 194.95.141.238. Redistribution subject to AIP license or copyright, see http://apl.aip.org/apl/copyright.jsp


from 920 to 440 eV. The presence of the shift means that an<br />

additional electrical dipole moment is created across the dielectric.<br />

Formally, this dipole may be caused by positive<br />

fixed charge injected into the film �e.g., Pr ions from the<br />

metal� and/or by a change in the charge balance between the<br />

substrate and the surface of the dielectric �e.g., charge transfer<br />

between metal and substrate�. Ab initio calculations indicate<br />

that the injection of Pr interstitials into SiO2 �Fig. 2�<br />

from Pr metal is energetically unfavourable by approximately<br />

2 eV or more. Furthermore, the incorporation of Pr<br />

atoms into SiO2 �e.g., as substitutional Pri� would, for Fermi<br />

levels within the energy gap of Si, lead to the formation of<br />

mostly negative charges. We thus assign this band bending to<br />

charge transfer across the dielectric from the metal to the<br />

substrate.<br />

The Pr/SiO2 system is thermodynamically unstable at<br />

RT. The extra emissions at 98.3 and 98.5 eV in the<br />

1 nm Pr/2.6 nm SiO2 and the 1 nm/1.2 nm SiO2 systems,<br />

respectively, indicate Pr silicide formation. These peaks are<br />

more pronounced in the surface sensitive mode �h�<br />

=440 eV� locating the reaction at the Pr/SiO2 interface.<br />

From ab initio calculations we estimate that metallic Pr has<br />

sufficient affinity to drain O from SiO2 even by creating<br />

oxygen vacancies in the oxide. The calculations also confirm<br />

that the reaction in which Pr decomposes SiO2 into PrSi2 and<br />

Pr2O3 is exothermic. This is in line with the analysis of the<br />

Pr 3d spectra �not shown�. After Pr deposition only 20% of<br />

the deposited film remains in the metallic state.<br />

Ab initio calculations indicate that the oxidation of PrSi2 to Pr2Si2O7 is exothermic no matter whether the Pr for this<br />

reaction arrives from the metal or from Pr2O3 and whether<br />

oxygen is supplied from O2 or from SiO2. Indeed, after PDA<br />

the silicide emission in Fig. 1 disappears. This is observed<br />

for the thin as well as for the thick SiO2 layer. Furthermore,<br />

the substrate Si 2p peak shifts to its original position �99.3<br />

eV�. Annealing removes therefore the band bending induced<br />

by Pr deposition. The chemically shifted Si 2p oxide-related<br />

signal from the 1 nm Pr/2.6 nm SiO2 system becomes broad<br />

��2.9 eV�. In the surface sensitive spectrum �h�=440 eV�,<br />

the dominating peak is located at 102.1 eV. From electronegativity<br />

rules, this Si 2p component is attributed to Pr<br />

silicate. 10 In addition, a SiO2 line around 103.9 eV is still<br />

visible at the excitation of 440 eV and raises in intensity with<br />

increasing photon energy. This proves the presence of unreacted<br />

SiO2 underneath the Pr silicate. In contrast, after annealing<br />

the 1 nm Pr/1.2 nm SiO2 system, the Si 2p substrate<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

092901-2 Lupina et al. Appl. Phys. Lett. 87, 092901 �<strong>2005</strong>�<br />

FIG. 2. Interstitial Pr +1 ion in amorphous SiO 2. Dark, middle and bright<br />

gray circles are Si atoms, Pr ions, and O atoms, respectively.<br />

FIG. 3. O 1s SR-XPS study of Pr silicate films prepared by using �a� 2.6 nm<br />

and �b� 1.2 nm SiO 2 layers on Si.<br />

peak �99.3 eV� is accompanied only by a single oxide Si 2p<br />

peak �full width at half maximum �FWHM�=1.7 eV� centered<br />

around 102.2 eV. Changing the excitation energy reveals<br />

no sign of interfacial SiO2. It is therefore concluded<br />

that PDA completely converts the underlying SiO2 layer into<br />

a homogeneous Pr silicate film; in particular, there is no evidence<br />

for an interfacial SiO2 layer.<br />

Figure 3 presents the O 1s spectra. The SiO2 O 1s reference<br />

lines on Si�001� are at 533.2 eV. After Pr deposition,<br />

the O 1s spectra exhibit three features giving further evidence<br />

for a RT reaction. In case of the 2.6 �1.2� nm SiO2 film, the O 1s peaks are located at 530 �530.3�, 531.3<br />

�531.8�, and 533.5 �533.5� eV. These peaks are assigned to Pr<br />

oxide, Pr silicate, and SiO2, respectively. Depth profiling by<br />

variation of the photon energy from 660 to 920 eV results in<br />

both cases in a decrease of the intensities of the Pr oxide and<br />

Pr silicate O 1s peaks relative to the SiO2 O 1s line. This<br />

proves that Pr oxide and Pr silicate are located on top of<br />

unreacted SiO2. It is noted that the O 1s line of unreacted<br />

SiO2 is shifted by about 0.3 eV towards higher binding energy<br />

after Pr deposition. This behavior was already reported<br />

for the Si 2p substrate peak. It supports the statement that Pr<br />

induces a surface potential change by downward band bending<br />

due to charge injection from the metal into Si.<br />

The O 1s spectra after annealing are in line with the Si<br />

2p signals. In case of the 2.6 nm SiO2 system, the O 1s<br />

spectrum in Fig. 3�a� is dominated by the peak at 531.1 eV,<br />

indicative of Pr silicate. A shoulder at 533.3 eV is visible and<br />

shows residual SiO2. Its location at a binding energy 0.2 eV<br />

below that after metal evaporation shows again that band<br />

bending in the Pr/SiO2/Si system is reduced after annealing.<br />

Raising the photon energy strongly increases the SiO2 peak<br />

intensity with respect to the Pr silicate line. This is in accor-<br />

Downloaded 16 Jan 2006 to 194.95.141.238. Redistribution subject to AIP license or copyright, see http://apl.aip.org/apl/copyright.jsp<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 111


Nachdrucke<br />

ausgewählter Publikationen<br />

112<br />

Reprints of<br />

Selected Publications<br />

092901-3 Lupina et al. Appl. Phys. Lett. 87, 092901 �<strong>2005</strong>�<br />

FIG. 4. XPS O 1s spectra �a� and specular �−2� XRD scans �b� of annealed<br />

Pr silicate films.<br />

dance with the Si 2p study and proves the presence of SiO2 underneath the Pr silicate. The complete reduction of the<br />

initial SiO2 layer is possible when the same amount of Pr is<br />

evaporated on a thinner SiO2 layer. The O 1s spectra of the<br />

1 nm Pr/1.2 nm SiO2 system in Fig. 3�b� show no sign of<br />

SiO2 after PDA. These spectra exhibit a sharp line<br />

�FWHM=1.5 eV� and the position at 531.2 eV is consistent<br />

with Pr silicate. 10,11 The stoichiometry of the Pr silicate appears<br />

to be uniform over the whole film thickness, as neither<br />

peak shape nor position are influenced by varying the excitation<br />

energy �660–920 eV�. It is noted for completeness that<br />

after annealing the Pr 3d5/2 peak �not shown� is located at<br />

934.5 eV which is �0.7 eV higher than for pure Pr2O3. 12<br />

This is in agreement with electronegativity rules, pointing to<br />

the formation of mixed PrxSiyOz. The absence of a SiO2 interface layer is important for the<br />

use of amorphous Pr silicate films as high-k dielectrics because<br />

SiO2 deteriorates the total capacitance value due to its<br />

lower dielectric constant. A further point is that the films<br />

must be thermally stable, i.e., no phase segregation and no<br />

crystallization must occur under CMOS process conditions.<br />

These points are illustrated by a combined XPS and<br />

XRD study in Fig. 4. The XPS spectra in Fig. 4�a� show that<br />

the O 1s peak of the Pr silicate film remains unchanged after<br />

several 1 min UHV annealing steps in the range from 700 to<br />

900 °C. In particular, it does not decompose into metal oxide<br />

and SiO2 peaks, resulting in O 1s spectra similar to the ones<br />

reported after Pr deposition �Fig. 3�. In contrast, phase seg-<br />

3<br />

regation was reported for �ZrO2�x�SiO2�y and<br />

5<br />

�HfO2�x�SiO2�y silicates when heated to �800 °C. The<br />

driving force was attributed to the crystallization of the segregated<br />

metal oxide grains. These randomly oriented grains<br />

give rise in specular �−2� XRD scans to various metal oxide<br />

Bragg peaks. Figure 4�b� shows the �−2� XRD scan of the<br />

annealed Pr silicate film on Si�100�. No Bragg peaks apart<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

FIG. 5. Cross-section TEM images of Pr silicate films prepared by using �a�<br />

2.6 nm and �b� 1.2 nm SiO 2 layers on Si.<br />

from Si�100� substrate reflections are observed, confirming<br />

that Pr silicates remains amorphous up to 900 °C.<br />

Cross-section TEM images in Fig. 5 corroborate the SR-<br />

XPS results. Figure 5�a� shows the dielectric formed by reaction<br />

of 1 nm Pr with 2.6 nm SiO 2 on Si�100�. According to<br />

SR-XPS, the structure with the bright interface layer is interpreted<br />

as a Pr-silicate/SiO 2/Si�100� stack. Figure 5�b� shows<br />

the Pr silicate system prepared by solid state reaction between<br />

1 nm Pr and 1.2 nm SiO 2 on Si�100�. An atomically<br />

sharp interface is observed between the Pr silicate and Si,<br />

confirming the complete transformation of SiO 2.<br />

In summary, the solid state reaction between Pr and SiO 2<br />

on Si�100� was tailored to prepare Pr silicate dielectrics without<br />

an SiO 2 interface layer. This result and the excellent thermal<br />

stability make Pr silicate systems interesting for high-k<br />

applications. Further studies on metal-oxide-semiconductor<br />

field effect transistors with integrated Pr silicate gate dielectrics<br />

are currently under way to extract the Pr silicate/Si interface<br />

quality �roughness, defects, etc.�.<br />

1<br />

G. Lucovsky, J. Vac. Sci. Technol. A 19, 1553 �2001�.<br />

2<br />

G. D. Wilk, R. M. Wallace, and J. M. Anthony, J. Appl. Phys. 89, 5243<br />

�2001�.<br />

3<br />

C. Krug and G. Lucovsky, J. Vac. Sci. Technol. A 22, 1301 �2004�.<br />

4<br />

A. Sakai, S. Sakashita, S. Zaima, and S. Miyazaki, Appl. Phys. Lett. 85,<br />

5322 �2004�.<br />

5<br />

J.-H. Ha, D. Chi, and P. C. McIntyre, Appl. Phys. Lett. 85, 5884 �2004�.<br />

6<br />

D. Schmeisser, P. Hoffmann, and G. Beuckert, Electronic Properties of the<br />

Interface formed by Pr2O3 growth on Si(001), Si(111) and Si(0001) Surfaces<br />

in Materials for Information Technology, Devices, Interconnects and<br />

Packaging, Springer �<strong>2005</strong>�.<br />

7<br />

A. Pasquarello, M. Hybertsen, and R. Car, Phys. Rev. B 53, 10942 �1996�.<br />

8<br />

T. Schroeder, A. Hammoudeh, M. Pykavy, N. Magg, M. Baeumer, and<br />

H.-J. Freund, Solid-State Electron. 45, 1471 �2001�.<br />

9<br />

K. Horn, Appl. Phys. A: Solids Surf. 51, 289 �1990�.<br />

10<br />

D. Schmeisser, Mater. Sci. Semicond. Process. 6, 59 �2003�.<br />

11<br />

T. L. Barr, J. Vac. Sci. Technol. A 9, 1793 �1991�.<br />

12<br />

S. Lütkehoff, M. Neumann, and A. Slebarski, Phys. Rev. B 52, 13808<br />

�1995�.<br />

Downloaded 16 Jan 2006 to 194.95.141.238. Redistribution subject to AIP license or copyright, see http://apl.aip.org/apl/copyright.jsp


Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

High Quality Layered Pr 2 Ti 2 O 7 /SiO 2 MIM Capacitor for Mixed-<br />

Signal Applications<br />

Ch. Wenger, R. Sorge, T. Schroeder, A.U. Mane, D. Knoll, J. Dabrowski, and H.-J. Müssig<br />

<strong>IHP</strong>, Im Technologiepark 25, D – 15236 Frankfurt (Oder), Germany<br />

Tel: ++335 5626 135, Fax: ++335 5625 681, email: wenger@ihp-microelectronics.com<br />

Abstract — The performance of layered Pr 2Ti 2O 7/SiO 2<br />

MIM capacitors for mixed-signal and RF device applications<br />

is presented for the first time. A capacitance density of<br />

3.2 fF/µm 2 with a very low leakage parameter of 5 fA/pFV<br />

and quadratic voltage capacitance coefficient of –100 ppm/V 2<br />

was achieved. The extrapolated operating voltage for 10<br />

years lifetime is 3 V.<br />

Index Terms — high-k dielectric, metal-insulator-metal<br />

(MIM) capacitor, RF application, voltage linearity, thin film<br />

devices.<br />

I. INTRODUCTION<br />

Metal-Insulator-Metal (MIM) capacitors as passive<br />

devices for Radio-Frequency (RF) and mixed-signal<br />

Integrated Circuit (IC) applications have attracted much<br />

attention. The replacement of conventional SiO 2 and Si 3 N 4<br />

by high-k dielectric materials is essential to reduce the<br />

capacitor area. Recently, several high-k materials, such as<br />

Al 2 O 3 , AlTiO x , AlTaO x , (HfO 2 ) 1-x (Al 2 O 3 ) x , HfO 2 , ZrO 2 ,<br />

Y 2 O 3 , Ta 2 O 5 , PrTi x O y and Pr 2 O 3 have been investigated as<br />

potential candidates for MIM capacitor dielectrics [1-9].<br />

According to these reports, the high-k materials, except<br />

Ta 2 O 5 , exhibit unacceptable high positive quadratic<br />

voltage capacitance coefficients (α). As alternatives,<br />

layered dielectrics like SiO 2 /HfO 2 and Ta 2 O 5 /HfO 2 /Ta 2 O 5<br />

have been introduced, showing excellent α values [10,<br />

11]. In this letter, we present a new high-k MIM stack<br />

with a capacitance density of 3.2 fF/µm 2 and a low<br />

quadratic voltage coefficient of -100 ppm/V 2 .<br />

II. EXPERIMENTS<br />

Polycrystalline TiN films were radio frequency<br />

x<br />

magnetron sputtered on Si(100) substrates from a pure Ti<br />

target using Ar and N as sputtering gases at room<br />

2<br />

temperature. SiO films with various thicknesses were<br />

2<br />

deposited by Plasma-CVD at 400 °C. Pr2Ti2O7 dielectric<br />

films with various thicknesses were prepared by electron<br />

beam evaporation of a Pr O /TiO mixture at a substrate<br />

2 3 2<br />

temperature of 30 °C. The pressure during deposition was<br />

10 -6<br />

mbar. Finally, Al-dots with 400 µm diameter were<br />

evaporated.<br />

α (ppm/V 2 )<br />

3000<br />

2500<br />

2000<br />

1500<br />

1000<br />

500<br />

0<br />

-500<br />

SiO 2<br />

Pr 2 Ti 2 O 7<br />

-1000<br />

0 2 4 6 8 10 12<br />

Capacitance Density (fF/µm 2 )<br />

Fig. 1: Quadratic voltage capacitance coefficient α of pure<br />

Pr 2 Ti 2 O 7 (�) and SiO 2 (�) MIM capacitors at 100 kHz.<br />

III. RESULTS<br />

Capacitance-voltage C(V) curves of pure SiO 2 MIM<br />

capacitors show negative parabolic behavior, caused by an<br />

anisotropic change in the index of refraction in response to<br />

an electric field, the so called quadratic optical Kerr effect<br />

[12]. MIM capacitors with Pr 2 Ti 2 O 7 as dielectric exhibit a<br />

positive quadratic C(V) curves. Excess carriers are<br />

injected from the electrodes into the dielectric layer by<br />

Schottky emission. The excess carrier concentration in the<br />

dielectric increases with rising electrical fields. The<br />

quadratic variation of capacitance is thus reduced with<br />

increasing thickness of the dielectric [13]. The determined<br />

quadratic voltage coefficients are summarized in Fig. 1.<br />

The quadratic voltage capacitance coefficients can be<br />

engineered by combining dielectric materials to a stacked<br />

MIM structure [10]. The SiO 2 layer affects the leakage<br />

current characteristics as well as the dielectric breakdown<br />

values. Due to the low k-value of SiO 2 , the resulting<br />

capacitance will be reduced. Therefore, this oxide layer<br />

thickness must be kept as thin as possible.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 113


Nachdrucke<br />

ausgewählter Publikationen<br />

114<br />

C/C 0<br />

1.0005<br />

1.0000<br />

0.9995<br />

0.9990<br />

0.9985<br />

0.9980<br />

Reprints of<br />

Selected Publications<br />

-2 -1 0 1 2<br />

Fig. 2: Normalized C/C 0 (V) curves of stacked Pr 2 Ti 2 O 7 / SiO 2<br />

MIM capacitors, measured at 100 kHz. SiO 2 thickness is 8 nm.<br />

Capacitance Density (fF/µm 2 )<br />

4.5<br />

4.0<br />

3.5<br />

8 nm SiO 2<br />

24 nm Pr 2 Ti 2 O 7<br />

18 nm Pr 2 Ti 2 O 7<br />

13 nm Pr 2 Ti 2 O 7<br />

Bias Voltage (V)<br />

8 nm SiO 2<br />

3.0<br />

12 14 16 18 20 22 24<br />

Pr 2 Ti 2 O 7 Thickness (nm)<br />

Fig. 3: Capacitance density (�) at 0 V and 100 kHz and α<br />

values (�) of Pr 2 Ti 2 O 7 / SiO 2 MIM capacitors. SiO 2 thickness is<br />

fixed to 8 nm.<br />

Fig. 2 illustrates the normalized C/C (V) curves of<br />

0<br />

stacked MIM capacitors with 8 nm SiO2 and various<br />

Pr Ti O layer thickness. Due to the impact of the SiO 2 2 7 2<br />

layer, the sign of α remains slightly negative.<br />

The capacitance densities at 0 V, measured at 100 kHz<br />

and the determined α values are illustrated in Fig. 3. The<br />

best achieved α value of this sequence with fixed SiO2 thickness is –100 ppm/V 2 at a capacitance density of<br />

3.2 fF/µm 2<br />

. By reducing the thickness of the Pr2Ti2O7 layer, the capacitance density of the stacked capacitors can<br />

be increased. Simultaneously, the quantity of α increases<br />

to unacceptable high values.<br />

-50<br />

-100<br />

-150<br />

-200<br />

-250<br />

-300<br />

α (ppm/V 2 )<br />

Leakage Parameter @ 1V (fA/pFV)<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Fig. 4: Leakage parameter of Pr 2 Ti 2 O 7 / SiO 2 MIM capacitors<br />

at an applied voltage of 1V.<br />

Average Breakdown Voltage (V)<br />

10<br />

8<br />

6<br />

4<br />

2<br />

8 nm SiO 2<br />

0<br />

10 12 14 16 18 20 22 24 26 28<br />

30<br />

25<br />

20<br />

15<br />

10<br />

5<br />

0<br />

Pr 2 Ti 2 O 7 Thickness (nm)<br />

pure Pr 2 Ti 2 O 7<br />

8 nm SiO 2 + Pr 2 Ti 2 O 7<br />

8 nm SiO 2<br />

5 10 15 20 25 30 35 40 45 50<br />

PrTi x O y Thickness (nm)<br />

Fig. 5: Average breakdown voltages of pure Pr 2 Ti 2 O 7 MIM<br />

and stacked Pr 2 Ti 2 O 7 / SiO 2 MIM capacitors.<br />

Beside the quantity of the voltage coefficient α, which<br />

should be smaller than 100 ppm/V 2<br />

, the leakage<br />

parameter, is important to meet the current ITRS<br />

requirements. The leakage parameter is defined as<br />

quotient of leakage current and capacitance density at a<br />

certain applied voltage. The leakage parameters of the<br />

stacked MIM capacitors, determined at 1V, are shown in<br />

Fig. 4. Caused by the excellent leakage current of 2*10 -9<br />

A/cm 2<br />

at 1V, the leakage parameter values are lower than<br />

7 fA/pFV, as demanded by the ITRS.<br />

The dielectric breakdown voltages measured by I(V)<br />

characteristics, are shown in Fig. 5. The dielectric breakdown<br />

field of pure Pr Ti O was estimated by a linear fit.<br />

2 2 7


j (A/cm 2 )<br />

Fig. 6: Breakdown characteristics of MIM capacitors with<br />

8 nm SiO 2 / 24 nm Pr 2 Ti 2 O 7 as a function of time at 25 °C.<br />

Time to Breakdown (s)<br />

10 -1<br />

10 -2<br />

10 -3<br />

10 -4<br />

10 9<br />

10 8<br />

10 7<br />

10 6<br />

10 5<br />

10 4<br />

10 3<br />

10 2<br />

10 1<br />

10 0<br />

stressed @ 13 V<br />

stressed @ 12 V<br />

stressed @ 11.5 V<br />

0 500 1000 1500 2000<br />

Stress Time (s)<br />

T = 25 °C<br />

24 nm Pr 2 Ti 2 O 7<br />

8 nm SiO 2<br />

10 years<br />

0 2 4 6 8 10 12 14 16<br />

Voltage (V)<br />

Fig. 7: Time to breakdown characteristics of a stacked MIM<br />

capacitor.<br />

The determined breakdown field is 6.5 MV/cm.<br />

Pr2Ti2O7 obeys the experimental "square root" rule for the<br />

breakdown field, EBD = 29.9 k -0.55 , which is compatible<br />

with the thermochemical model [14]. This model proposes<br />

that the defect generation in the dielectric, meaning a bond<br />

breaking mechanism, is a field-driven process. From<br />

Fig. 5, we extracted a dielectric breakdown strength of<br />

6.5 MV/cm, while the rule gives a breakdown field of<br />

7.0 MV/cm at the dielectric constant k of 13. Thin plasma<br />

SiO2 films exhibit an average dielectric breakdown<br />

strength higher than 10 MV/cm. The breakdown voltage<br />

of stacked SiO2/Pr2Ti2O7 MIM capacitors is affected by<br />

the higher dielectric strength of the individual layers. The<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

breakdown voltage of the stacked MIM capacitor with<br />

8 nm SiO2 and 24 nm Pr2Ti2O7 is dominated by the<br />

breakdown strength of the Pr2Ti2O7 layer.<br />

The reliability of the stacked MIM capacitor with 8 nm<br />

SiO2 and 24 nm Pr2Ti2O7 was studied by applying the<br />

Time Dependent Dielectric Breakdown (TDDB) test at<br />

room temperature. Three different monitored leakage<br />

currents during the TDDB test are shown in Fig. 6. The<br />

hard failure events are clearly visible. From the analysis of<br />

breakdown data, the mean time to failure is calculated.<br />

The extracted mean time to breakdown values of layered<br />

MIM capacitors are shown in Fig. 7. The extrapolated<br />

operating voltage for stacked MIM capacitors for 10 years<br />

lifetime is 3 V.<br />

IV. CONCLUSION<br />

The stacked SiO2 /Pr2Ti2O7 MIM capacitors show<br />

excellent electrical performances. The quadratic voltage<br />

coefficient of capacitance and leakage parameter fulfill the<br />

requirements of the current ITRS. The extrapolated<br />

operating voltage to 10 years lifetime is 3 V for MIM<br />

capacitors with a capacitance density of 3.2 fF/µm 2<br />

.<br />

Further work will be dedicated to achieve capacitance<br />

densities higher than 5 fF/µm 2<br />

.<br />

REFERENCES<br />

[1] S.B. Chen, C.H Lai, A. Chin, J. C. Hsieh, and J. Liu, “Highdensity<br />

MIM capacitors using Al O and AlTiO 2 3 x<br />

dielectrics”, IEEE Electron Device Lett., vol. 23, pp. 185-<br />

187, 2002.<br />

[2] M.Y. Yang, C.H. Huang, A. Chin, C. Zhu, M.F. Li, and D.-<br />

L. Kwong, “High-density MIM capacitors using AlTaOx Dielectrics”, IEEE Electron Device Lett., vol. 24, pp. 306-<br />

308, 2003.<br />

[3] H. Hu, C. Zhu, X. Yu, A. Chin, M.F. Li, B. J. Cho, D.-L.<br />

Kwong, P.D. Foo, M.B. Yu, X. Liu, and J. Winkler, “MIM<br />

capacitors using atomic-layer-deposited high-k (HfO ) 2 1x<br />

(Al2O3 ) dielectrics”, IEEE Electron Device Lett., vol. 24,<br />

x<br />

pp. 60-62, 2003.<br />

[4] X. Yu, C. Zhu, H. Hu, A. Chin, M.F. Li, B. J. Cho, D.-L.<br />

Kwong, P.D. Foo, and M. B. Yu, “A high-density MIM<br />

capacitor (13 fF/µm 2 ) using ALD HfO dielectrics”, IEEE<br />

2<br />

Electron Device Lett., vol. 24 , pp. 63-65, 2003.<br />

[5] S.-Y. Lee, H. Kim, P.C. McIntyre, K.C. Sarawat, and J.-S.<br />

Byun, “Atomic layer deposition of ZrO on W for metal-<br />

2<br />

insulator-metal capacitor application”, Appl. Phys. Lett.,<br />

vol. 82, pp. 2874-2876, 2003.<br />

[6] C. Durand, C. Vallée, V. Loup, O. Salicio, C. Dubourdieu,<br />

S. Blonkowski, M. Bonvalot, P. Holliger, and O. Joubert,<br />

“Metal-insulator-metal capacitors using Y O dielectric<br />

2 3<br />

grown by pulsed-injection plasma enhanced metalorganic<br />

chemical vapor deposition”, J. Vac. Sc. Tech. A, vol. 22, pp.<br />

655-660, 2004.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 115


Nachdrucke<br />

ausgewählter Publikationen<br />

116<br />

Reprints of<br />

Selected Publications<br />

[7] T. Ishikawa, D. Kodama, Y. Matsui, M. Hiratani, T.<br />

Furusawa, and D. Hisamoto, “High-capacitance<br />

Cu/Ta 2 O 5 /Cu MIM structure for SoC applications featuring<br />

a single-mask add-on process”, IEDM Tech. Dig, pp. 940-<br />

942, 2002.<br />

[8] Ch. Wenger, J. Dabrowski, P. Zaumseil, R. Sorge, P.<br />

Formanek, G. Lippert, and H.-J. Müssig, „First<br />

investigation of metal-insulator-metal (MIM) capacitor<br />

using Pr 2 O 3 dielectrics”, Mat. Sc. Sem. Pr., vol. 7, pp. 227-<br />

230, 2004.<br />

[9] Ch. Wenger, R. Sorge, T. Schroeder, A.U. Mane, G.<br />

Lippert, G. Lupina, J. Dabrowski, P. Zaumseil, and H.-J.<br />

Muessig, „MIM capacitors using amorphous high-k PrTi x O y<br />

dielectrics“, Micr. Eng., vol. 80, pp. 313-316, <strong>2005</strong>.<br />

[10] S.J. Kim, B.J. Cho, M.-F. Li, S.-J. Ding, M.B. Yu, C. Zhu,<br />

A. Chin, and D.-L. Kwong, “Improvement of voltage<br />

linearity in high-k MIM capacitors using HfO 2 -SiO 2 stacked<br />

dielectric, IEEE Electron Device Lett., vol. 25, pp. 538-540,<br />

2004.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

[11] Y.-K. Jeong, S.-J. Won, D.-J. Kwon, M.-W. Song, W.-H.<br />

Kim, M.-H. Park, J.-H. Jeomg, H.-S. Oh, H.-K. Kang, and<br />

K.-P. Suh, “High quality high-k MIM capacitor by<br />

Ta 2 O 5 /HfO 2 /Ta 2 O 5 multi-layered dielectric and NH 3 plasma<br />

interface treatments for mixed-signal/RF applications”,<br />

VLSI Tech. Dig., pp. 222-223, (2004).<br />

[12] R. Adair, L.I. Chase, and S.A. Payne, “Nonlinear refractive<br />

index of optical crystals”, Phys. Rev. B, vol. 39, pp. 3337-<br />

3350, 1989.<br />

[13] S. Blonkowski, M. Regache and A. Halimaoui,<br />

“Investigation and modelling of the electrical properties of<br />

metal-oxide-metal structures formed from chemical vapour<br />

deposited Ta 2 O 5 films”, J. Appl. Phys., vol. 90, pp. 1501-<br />

1508, 2001.<br />

[14] J. McPherson, J.-Y. Kim, A. Shanware and H. Mogul,<br />

“Thermochemical description of dielectric breakdown in<br />

high dielectric constant materials”, Appl. Phys. Lett.,<br />

vol. 82, pp. 2121-2123, 2003.


Nachdrucke<br />

ausgewählter Publikationen<br />

On the epitaxy of twin-free cubic „111… praseodymium sesquioxide films<br />

on Si„111…<br />

T. Schroeder, a� P. Zaumseil, G. Weidner, Ch. Wenger, J. Dabrowski, and H.-J. Müssig<br />

<strong>IHP</strong>-<strong>Microelectronics</strong>, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany<br />

P. Storck<br />

SILTRONIC AG, PF 1140, 84479 Burghausen, Germany<br />

�Received 1 September <strong>2005</strong>; accepted 13 October <strong>2005</strong>; published online 3 January 2006�<br />

Twin-free epitaxial cubic �111� praseodymium sesquioxide films were prepared on Si�111� by<br />

hexagonal-to-cubic phase transition. Synchrotron radiation grazing incidence x-ray diffraction and<br />

transmission electron microscopy were applied to characterize the phase transition and the film<br />

structure. As-deposited films grow single crystalline in the �0001�-oriented hexagonal<br />

high-temperature phase of praseodymium sesquioxide. In situ x-ray diffraction studies deduce an<br />

activation energy of 2.2 eV for the hexagonal-to-cubic phase transition. Transmission electron<br />

microscopy shows that the phase transition is accompanied by an interface reaction at the oxide/<br />

Si�111� boundary. The resulting cubic �111� low-temperature praseodymium sesquioxide film is<br />

single crystalline and exclusively shows B-type stacking. The 180° rotation of the cubic oxide lattice<br />

with respect to the Si substrate results from a stacking fault at the substrate/oxide boundary. © 2006<br />

American Institute of Physics. �DOI: 10.1063/1.2136788�<br />

I. INTRODUCTION<br />

Scaling plus successful integration of new materials will<br />

be the primary means of the semiconductor industry to pave<br />

the way from micro- to nanoelectronics. 1 Among the various<br />

materials classes discussed, the potential of engineered oxide<br />

systems to provide solutions to problems in microelectronics<br />

is generally acknowledged. 2 This is due to the tremendous<br />

diversity of solid-state phenomena encountered in complex<br />

oxides. 3 Of special interest are heteroepitaxial oxide films on<br />

Si, because lattice matched systems combine functionality<br />

with high structural stability and open the way towards 3D<br />

integration. 4 The successful integration of new functional epitaxial<br />

thin-film oxides in conventional silicon �Si� processing<br />

technology would thus enable one to implement new<br />

device concepts of superior performance. 5 Before this can<br />

occur, however, considerable progress in basic research for<br />

oxide electronics must be made to optimize the structural and<br />

electric quality of complex epitaxial oxide layers on Si. 6–9<br />

Our research on device-quality thin epitaxial high-k oxide<br />

layers on Si is focused on praseodymium sesquioxide<br />

�Pr 2O 3� films. 10 Epitaxial Pr 2O 3 films can be grown on<br />

Si�001� in the �101�-oriented cubic �cub� oxide phase �space<br />

group: Ia-3�, but the long-range order is limited by the presence<br />

of a 90° rotation domain structure in the film. 11,12 In<br />

contrast to the cub-Pr 2O 3�101�/Si�001� system, hexagonal<br />

�hex� Pr 2O 3 films �space group: P-3m1� of �0001� orientation<br />

form a �1�1� structure on clean Si�111� substrates, resulting<br />

in films of high quality. 13,14 An interesting alternative to the<br />

hex-Pr 2O 3�0001�/Si�111� system is the growth of cub-<br />

Pr 2O 3�111� films on Si�111�. Cub-Pr 2O 3�111� films are usually<br />

prepared when Pr 2O 3 is deposited on oxidized Si�111�<br />

wafers. However, due to the amorphous silicon dioxide<br />

a� Electronic mail: schroeder@ihp-microelectronics.com<br />

JOURNAL OF APPLIED PHYSICS 99, 014101 �2006�<br />

Reprints of<br />

Selected Publications<br />

�SiO 2� buffer layer, the cub-�111� Pr 2O 3 grains in these films<br />

lack azimuthal alignment and show a high density of stacking<br />

twins. In this work, we report the preparation of epitaxial<br />

twin-free cub-Pr 2O 3�111� films on Si�111� by inducing a<br />

hex→cub phase transition in the as-deposited oxide film.<br />

Furthermore, the stabilization mechanism responsible for the<br />

formation of the truly single-crystalline cub-Pr 2O 3�111� film<br />

structure on Si�111� is discussed.<br />

II. EXPERIMENT<br />

Boron-doped Si�111� substrates were cleaned by a standard<br />

procedure and an HF dip removed the native oxide<br />

layer immediately before the H-passivated wafers were<br />

loaded into the ultrahigh vacuum �UHV� chamber. 15 Epitaxial<br />

Pr 2O 3 overlayers, 50 nm thick, were grown by molecular<br />

beam epitaxy �<strong>MB</strong>E� at a flux of 0.1 nm/s, keeping the<br />

Si�111� substrate at a temperature of 625 °C during deposition.<br />

The phase transition was carried out by annealing the<br />

sample at 600 °C for 30 min in 10 −5 mbar of oxygen. To<br />

protect the oxide against humidity, approximately 20 nm<br />

thick Si films were deposited at a flux of 0.05 nm/s on top of<br />

the Pr 2O 3 layers. For x-ray diffraction studies, Si was deposited<br />

at room temperature to grow amorphous capping layers.<br />

For electron microscopy studies, a poly-Si cap was deposited<br />

at 650 °C to check for thermally induced interface reactions<br />

between Pr 2O 3 and the Si overlayer.<br />

A Philipps CM300 transmission electron microscope<br />

�TEM� was used to measure direct lattice cross-section images<br />

along the bulk Si�11 ¯ 0� directions, and record electron<br />

diffraction patterns in plan view mode along the Si �111�<br />

surface normal. These invasive and local measurements were<br />

supplemented by nondestructive x-ray diffraction studies<br />

which yield highly averaged, global informations about the<br />

sample structure. X-ray diffraction �XRD� studies in the<br />

0021-8979/2006/99�1�/014101/9/$23.00 99, 014101-1<br />

© 2006 American Institute of Physics<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 117


Nachdrucke<br />

ausgewählter Publikationen<br />

118<br />

Reprints of<br />

Selected Publications<br />

014101-2 Schroeder et al. J. Appl. Phys. 99, 014101 �2006�<br />

form of specular �-2� scans were performed on a diffractometer<br />

of the Bragg-Brentano type using a graphite monochromator<br />

in front of the detector to separate the Cu K � diffraction<br />

lines ��=0.154 nm� from the background. The<br />

synchrotron radiation-grazing incidence x-ray diffraction<br />

�SR-GIXRD� study was carried out with a Kappa-Six circle<br />

diffractometer available at the insertion device beamline ID<br />

32 of the European Synchrotron Radiation Facility �ESRF�.<br />

A beam energy of 11 keV �0.1127 nm� was used so that the<br />

critical angle � c for total reflection from the Si capping layer<br />

amounts to 0.16°. 16 Film and bulk sensitive GI-XRD studies<br />

were carried out by fixing the incident angle � of the beam<br />

on the sample surface to values only slightly above �0.2°�<br />

and well above �0.6°� the critical angle � c. The x-ray penetration<br />

depth in Pr 2O 3 and Si is limited for �=0.2° to about<br />

10 and 300 nm, respectively, thereby guaranteeing the study<br />

of the 50 nm Pr 2O 3 layer through the amorphous Si cap<br />

�20 nm� without any influence from the Si�111� substrate<br />

wafer. In contrast to this, the Si substrate signal is collected<br />

in the bulk sensitive mode at an incident angle of �=0.6°<br />

where an information depth on the micrometer scale results.<br />

Intensities of the x-ray studies are given in counts per second<br />

�CPS�. The Bragg reflections of the oxide and the Si substrate<br />

are always indexed with respect to the corresponding<br />

bulk lattices �bulk�. The scans of the GI-XRD study are however<br />

more conveniently carried out by using the hexagonal<br />

surface coordinate system of the Si�111� substrate �surf�. The<br />

procedure to determine the orientation matrix of the GI-XRD<br />

study and transform in reciprocal space from hexagonal<br />

Si�111� surface coordinates into cubic Si bulk indices is described<br />

in the literature. 17 Here, we only give for completeness<br />

the reciprocal space matrix to transform the measured<br />

Si�111� surface system coordinates of cubic Pr 2O 3 reflections<br />

into its bulk oxide Bragg peak indices:<br />

Si<br />

h �� k . �1�<br />

�surf l<br />

� h<br />

cub−Pr2O3 4 − 4 6<br />

k = 1/3 �� 4 8 6<br />

�bulk l − 8 − 4 6�<br />

This matrix takes into account that �a� the unit cell dimension<br />

of cubic Pr2O3 is about twice the value of the cubic<br />

Si lattice, and that �b� the oxide grows 180° rotated around<br />

the Si�111� surface normal, as will be proven in the following.<br />

III. RESULTS<br />

A. Epitaxial relationship<br />

The vertical stacking of the 50 nm Pr2O3 epilayer on the<br />

Si substrate was studied by specular �-2� XRD scans shown<br />

in Fig. 1. The Si substrate orientation results in the presence<br />

of sharp �111�, �222�, and �333� bulk reflections indicated by<br />

dashed lines on the top. The scan on the as-deposited Pr2O3 film is shown by the dotted line. It is characterized by the<br />

presence of �000n; n=2–6� reflections of the hex-Pr2O3 phase, which are labeled with solid lines. The specular<br />

�-2� scan of the annealed film is depicted by the solid line.<br />

Clearly, the hex-Pr2O3 reflections vanished and new diffraction<br />

signals are detected. These can be assigned to �222�,<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

FIG. 1. Specular �-2� scans on 50 nm thick as-deposited �dotted line� and<br />

annealed �solid line� Pr 2O 3 films on Si�111�.<br />

�444�, and �666� Bragg peaks of the cub-Pr 2O 3 phase. It is<br />

therefore demonstrated that the complete 50 nm thick hex-<br />

Pr 2O 3 �0001� epilayer transforms into a cub-Pr 2O 3 �111�<br />

structure during the annealing procedure, a result in line with<br />

former studies on much thinner Pr 2O 3 films. 13<br />

In-plane measurements were applied to determine the<br />

azimuthal orientation of the oxide films on Si�111�. The inplane<br />

scans were measured at an incident angle �=0.6° to<br />

sample the whole Pr 2O 3 film plus the Si substrate. Two highsymmetry<br />

in-plane directions exist in the hexagonal Si�111�<br />

surface system, highlighted in the inset of Fig. 2 by gray<br />

panels.<br />

First, radial scans along the Si surf unit cell direction<br />

H surf =�100� are displayed on the left of Fig. 2 and correspond<br />

in Si bulk coordinates to scans along the �112 ¯ � bulk direction.<br />

Diffraction from the Si wafer results in sharp peaks at<br />

H surf =1, 2, and 3. As indicated on top, the peaks at H surf =1<br />

and 2 are crystal truncation rod �CTR� signals but the spike<br />

at H surf =3 is a bulk Si Bragg peak, namely the �224 ¯ � reflection.<br />

In the case of the as-deposited film, these sharp Si diffraction<br />

peaks at H surf =1, 2, and 3 are slightly asymmetric,<br />

broadened towards lower reciprocal space values by superimposed<br />

oxide reflections. The latter can be assigned to hex-<br />

Pr 2O 3 �101 ¯ 0�, �202 ¯ 0�, and �303 ¯ 0� Bragg peaks, respectively.<br />

This is in line with a previous publication of our group where<br />

it was shown that �a� hex-Pr 2O 3 films on Si�111� match the<br />

in-plane symmetry within 0.5% by aligning the hex-Pr 2O 3<br />

�101 ¯ 0� face normal direction along the Si H surf =�100� �Si<br />

�112 ¯ � bulk � azimuth and that �b� the oxide layers are fully relaxed<br />

for film thicknesses exceeding 12 nm. 14 After annealing,<br />

the intensity distribution of oxide reflections along the<br />

H surf direction changes dramatically. New oxide peaks appear<br />

at H surf =0.74, 1.48, 2.22, and 2.96, which can be assigned to<br />

cub-Pr 2O 3 �112�, �224�, �336�, and �448� reflections, respectively.<br />

The cub-Pr 2O 3 �448� reflection at H surf =2.96 is used<br />

to deduce two interesting insights. First, its position at H surf<br />

=2.96 indicates that the 50 nm thick cub-Pr 2O 3 film is not<br />

pseudomorphic. The calculated d spacing �0.1138 nm� cor-


Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

014101-3 Schroeder et al. J. Appl. Phys. 99, 014101 �2006�<br />

responds to the bulk value so that the cub-Pr 2O 3 layer is fully<br />

relaxed. Second, from the full width at half maximum<br />

�FWHM� of the cub-Pr 2O 3 �448� reflection, an average inplane<br />

domain size of the oxide layer of about 14 nm is deduced.<br />

The second set of high-symmetry in-plane scans is<br />

shown on the right-hand side of Fig. 2 and was measured<br />

along the Si surf �110� direction �Si bulk �011 ¯ � azimuth�. The<br />

as-deposited Pr 2O 3 film is characterized by the presence of<br />

hex-Pr 2O 3 �112 ¯ 0� and �224 ¯ 0� reflections, which closely coincide<br />

with the Si bulk �022 ¯ � and �044 ¯ � Bragg peaks at<br />

H surf =K surf =1 and 2, respectively. Film annealing does not<br />

produce any new peaks along the Si surf �110� direction. A<br />

closer inspection, however, reveals that the form of the diffraction<br />

signals changes. The diffracted intensity at H surf<br />

=K surf =1 becomes very broad and is interpreted as the overlapping<br />

signals of the Si bulk �022 ¯ � and the cub-Pr 2O 3 �044 ¯ �<br />

Bragg peaks. The latter occurs at H surf =K surf =0.98 and is a<br />

very intense cub-Pr 2O 3 Bragg diffraction. 18–20 In contrast to<br />

this, the observed diffraction signal at H surf =K surf =2 is much<br />

narrower due to the fact that the cub-Pr 2O 3 �088 ¯ � Bragg peak<br />

at H surf =K surf =1.96 is very weak, so only the Si �044 ¯ � Bragg<br />

peak is observed.<br />

To further corroborate the azimuthal alignment of the<br />

cub-Pr 2O 3 film on the Si�111� surface, a � scan was measured<br />

by fixing the in-plane momentum transfer to the reciprocal<br />

space value of the cub-Pr 2O 3 �112 ¯ � Bragg peak �H surf<br />

=0.74, marked by a dotted arrow in Fig. 2� and turning the<br />

sample over an angular range of about 250° around the sur-<br />

face normal of the sample. The location of the � scan �dotted<br />

circle� in the reciprocal in-plane space map of the Si�111�<br />

hexagonal surface system is depicted on the left of Fig. 3.<br />

The scan on the right detects the family of cub-Pr 2O 3 �112 ¯ �<br />

Bragg peaks, and the well-evolved 60° spacing demonstrates<br />

the hexagonal in-plane symmetry of the cub-Pr 2O 3 �111�<br />

plane. This symmetry illustrates the perfect in-plane alignment<br />

of the cub-Pr 2O 3 �111� film on Si�111� after the phase<br />

transition; in particular, no azimuthally misaligned crystal<br />

grains are detected.<br />

B. Stacking behavior<br />

FIG. 2. In-plane measurements on 50 nm thick asdeposited<br />

and annealed Pr 2O 3 films on Si�111� along<br />

the Si surf �100� �left panel� and the Si surf �110� direction<br />

�right panel�. Indexing of the diffraction peaks is given<br />

on the top.<br />

The stacking behavior of the cub-Pr 2O 3 film on Si�111�<br />

was studied by comparing the intensity distributions in the<br />

L surf −H surf �Fig. 4�a�� and the L surf −K surf �Fig. 4�b�� recipro-<br />

FIG. 3. Left: In-plane hexagonal Si�111� surface system showing the location<br />

of the � scan. Right: � scan �L=0.1� over family of �112 ¯ � reflections<br />

reveals hexagonal in-plane symmetry of cub-�111� Pr 2O 3 film.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 119


Nachdrucke<br />

ausgewählter Publikationen<br />

120<br />

Reprints of<br />

Selected Publications<br />

014101-4 Schroeder et al. J. Appl. Phys. 99, 014101 �2006�<br />

FIG. 4. �10L� �a� and �01L� �b� rod scan measurement to determine the stacking behavior of �111�-oriented cub-Pr 2O 3 on Si�111�.<br />

cal lattice planes. The positions of these planes within the<br />

reciprocal hex-Si�111� surface system are shown on the left<br />

of Fig. 4. The intensity distribution on the �n0L; n<br />

=0, ±1, ±2� surf rods in the L surf −H surf and on the �0nL;n<br />

=0, ±1, ±2� surf rods in the L surf −K surf plane is sketched in<br />

the middle of Fig. 4�a� and Fig. 4�b�, respectively. The position<br />

of the Si substrate �filled circles� and cub-Pr 2O 3 �open<br />

circles� Bragg peaks was derived from experimental data. As<br />

an example, the �10L� surf �Fig. 4�a�� and �01L� surf �Fig. 4�b��<br />

rods, drawn with gray background panels, are discussed below.<br />

GI-XRD studies of the �10L� surf �Fig. 4�a�� and the<br />

�01L� surf �Fig. 4�b�� rods were performed at two different<br />

incident angles, namely in the film sensitive ��=0.2°� and in<br />

the bulk sensitive ��=0.6°� mode. In the bulk sensitive<br />

scans ��=0.6°�, the measured Bragg peaks of the cub-Pr 2O 3<br />

film and the Si�111� substrate are indicated by dotted and<br />

solid arrows, respectively. The indexing of these diffraction<br />

signals with respect to the bulk lattices is given to the left of<br />

the scans. On the �10L� surf rod scan of Fig. 4�a�, we observe<br />

the Si �111 ¯ � bulk and the �220� bulk reflection at L=0.33 and<br />

1.33, respectively. On the �01L� surf rod scan of Fig. 4�b� only<br />

one allowed Si�111� substrate peak at L=1.66 is detected,<br />

namely the Si �131� bulk Bragg peak. The Si �020� bulk reflection<br />

at L=0.66 is forbidden. As the �10L� surf and the �01L� surf<br />

rod are situated on the H and K in-plane directions, respectively,<br />

they are related to each other by a 60° in-plane rotation.<br />

The different locations of the Si Bragg reflections on the<br />

�10L� surf �L=0.66+n; n=0,1,2, etc.� and the �01L� surf �L<br />

=0.33+n; n=0,1,2, etc.� rods demonstrate that, in contrast<br />

to the Si�111� in-plane symmetry, no sixfold symmetry exists<br />

for Si�111� off-plane Bragg peaks. Due to the ABC stacking<br />

sequences of Si�111� planes along the vertical Si �111� bulk<br />

direction of the fcc-like cubic Si crystal �space group:<br />

Fd-3m�, the latter direction is only a threefold rotation axis. 21<br />

In the film sensitive measurement ��=0.2°� of the �10L� surf<br />

and �01L� surf rods, all the Si Bragg peaks are absent and only<br />

the cub-Pr 2O 3 Bragg peaks are present. The much weaker<br />

intensity of the oxide reflections in the surface sensitive scan<br />

�10 3 CPS� than in the bulk sensitive study �10 4 CPS� dem-<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

onstrates that the information depth of the 0.2° measurement<br />

is smaller than the actual cub-Pr 2O 3 layer thickness. Note<br />

that the distribution of the cubic oxide Bragg peaks on the<br />

�10L� surf and �01L� surf rods follows the same scheme as found<br />

for the Si reflections but in a reversed way: The oxide peaks<br />

on the �10L� surf and �01L� surf rod appear close to the positions<br />

of the Si Bragg peaks on the �01L� surf and �10L� surf rod, respectively.<br />

For example, the cub-Pr 2O 3 Bragg peaks on the<br />

�01L� surf rod are found at L=0.3 and L=1.3, very close to the<br />

positions of the Si �111 ¯ � bulk �L=0.33� and �220� bulk �L<br />

=1.33� Bragg peaks on the �10L� rod. According to the derived<br />

d spacings, these cub-Pr 2O 3 Bragg peaks can be identified<br />

as �222 ¯ � bulk �L=0.3� and �440� bulk �L=1.3� reflections.<br />

Cub-Pr 2O 3 crystallizes in the space group Ia-3 with the<br />

body diagonal of the structure being a threefold rotation axis.<br />

This threefold off-plane symmetry of the �111�-oriented cub-<br />

Pr 2O 3 film on Si�111� is demonstrated by performing a �<br />

scan over the position of the oxide �440� bulk reflection on the<br />

�01L� surf rod at L=1.3. Figure 5 depicts the �-scan measurement<br />

on the left, and the result is plotted on the right. The<br />

threefold off-plane symmetry of the cub-�111� Pr 2O 3 film is<br />

evident from the observed 120° spacing between the oxide<br />

reflections belonging to the family of �440� bulk Bragg peaks.<br />

C. Interface reaction<br />

TEM electron diffraction and cross-section measurements<br />

were performed to corroborate the results of the x-ray<br />

FIG. 5. Left: Off-plane �-scan sketch in the Si�111� surface system. Right:<br />

Off-plane � scan �L=1.3� on family of �440� reflections to demonstrate type<br />

B orientation of the �111�-oriented cub-Pr 2O 3 epilayer on Si�111�.


Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

014101-5 Schroeder et al. J. Appl. Phys. 99, 014101 �2006�<br />

FIG. 6. TEM study of the hex-Pr 2O 3�0001�/Si�111� �left column� and cub-<br />

Pr 2O 3�111�/Si�111� �right column� systems: electron diffraction patterns �a�<br />

and �b�; overview direct lattice cross section images �c� and �d�; highresolution<br />

direct lattice cross section images �e� and �f�. Arrows indicate<br />

surface normals of �111 ¯ � planes.<br />

diffraction studies and gain insight into the role of the<br />

oxide/Si interface structure on the phase transition, respectively.<br />

Figures 6�a� and 6�b� show electron diffraction patterns<br />

of plan view samples mounted with the Si�111� substrate<br />

direction parallel to the incident electron beam. In the case of<br />

the hex-Pr 2O 3�0001�/Si�111� �Fig. 6�a��, the hexagonal electron<br />

diffraction pattern can be understood as a �1�1� overstructure<br />

created by the projection of the spots from the 0001<br />

pole of the hex-Pr 2O 3 layer on those of the 111 pole of the Si<br />

substrate. After the phase transition �Fig. 6�b��, the superposition<br />

of the 111 pole of the cub-Pr 2O 3 layer �weak spots�<br />

with the 111 pole of the Si substrate �bright spots� results in<br />

a �4�4� overstructure. This �4�4� structure is due to the<br />

four times bigger surface unit cell of the cub-Pr 2O 3�111�<br />

plane with respect to the Si�111� substrate, a consequence of<br />

the reduced symmetry of the cub-Pr 2O 3�111� surface lattice<br />

�P3� with respect to the cub-Pr 2O 3 bulk structure �Ia-3�. 14 In<br />

that way, it can be concluded that both patterns are in line<br />

with the epitaxial relationships between oxide and Si lattices<br />

determined above in the XRD studies.<br />

Figures 6�c� and 6�d� show overview TEM cross-section<br />

pictures of the as-deposited hex-Pr 2O 3�0001�/Si�111� and<br />

the annealed cub-Pr 2O 3�111�/Si�111� systems, respectively.<br />

In both images, the materials stack on the Si�111� substrate<br />

�Si� is composed of an approximately 50 nm thick Pr 2O 3 film<br />

and a 20 nm thick Si capping layer. The hex-<br />

Pr 2O 3�0001�/Si�111� system in Fig. 6�c� is one of the rare<br />

examples where an atomically sharp high-k oxide/Si interface<br />

without a SiO 2 interface layer can be realized. 22 In contrast<br />

to this, the TEM image of the cub-Pr 2O 3/Si�111� system<br />

clearly shows the presence of an interface layer �bright<br />

contrast� at the Si substrate/oxide boundary after the annealing<br />

procedure. It is interesting to note that no such interface<br />

layer is observed at the Pr 2O 3/Si capping layer boundary,<br />

although the Si cap was deposited at higher temperatures<br />

than the oxide on the Si substrate. This shows that the interface<br />

layer is not the result of a thermally induced reaction<br />

between Pr 2O 3 and Si but grows during the annealing procedure<br />

in the oxygen ambient.<br />

To study the oxide/Si substrate interface in more detail,<br />

high-resolution images of the hex-Pr 2O 3�0001�/Si�111� and<br />

the cub-Pr 2O 3�111�/Si�111� systems have been obtained<br />

�Figs. 6�e� and 6�f�, respectively�. The view direction in both<br />

cases is along the Si�111� �011 ¯ � in-plane direction, a projection<br />

sensitive to the stacking sequence of the Si�111� planes<br />

along the Si�111� surface normal. This can be inferred from<br />

the Si substrate regions of the images, where the vertically<br />

stacked Si�111� lattice planes are seen to be in-plane displaced<br />

with respect to each other, resulting in the wellknown<br />

fcc ABC stacking sequence along the Si�111� surface<br />

normal. The orientation of the observed lattice fringes in the<br />

Si substrate is indicated by dotted arrow structures. The surface<br />

normal is inclined by 70° with respect to the Si�111�<br />

surface normal so that these lattice fringes can be assigned to<br />

Si�111 ¯ � planes. In the case of the hex-Pr 2O 3�0001�/Si�111�<br />

system �Fig. 6�e��, an atomically smooth epitaxial interface<br />

with no indication of an interface reaction is visible. In the<br />

cub-Pr 2O 3�111�/Si�111� system �Fig. 6�f��, the highresolution<br />

image shows the presence of an amorphous interface<br />

layer �IF� with a bilayer structure. The latter is composed<br />

of a white and grayish region, each of about 1.5 nm<br />

thickness. Based on depth profiling sputter-XPS studies �not<br />

shown�, the chemical origin of the white and grayish layer at<br />

the interface can be assigned to a SiO 2-rich and a SiO 2-poor<br />

Pr-silicate structure, respectively. The epitaxial cub-<br />

Pr 2O 3�111� film is visible in the upper part of Fig. 6�f�, and<br />

close inspection shows that the fcc-like stacking of oxide<br />

�111� planes does not follow the ABC sequence of the Si<br />

substrate. The in-plane displacement of adjacent oxide �111�<br />

planes is opposite in direction with respect to the Si substrate,<br />

so that the cub-Pr 2O 3�111� film structure stacking sequence<br />

is accordingly denoted CBA. In consequence, the orientation<br />

of the lattice fringes of �111 ¯ � planes in the oxide<br />

�white arrow structure� is different from the Si substrate.<br />

However, a relationship exists and it is obvious from the<br />

figure that the surface normals of �111 ¯ � planes in the oxide<br />

and the Si substrate can be superimposed by rotating one of<br />

the lattices around the Si�111� surface normal by 180°.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 121


Nachdrucke<br />

ausgewählter Publikationen<br />

122<br />

D. Phase transition<br />

Reprints of<br />

Selected Publications<br />

014101-6 Schroeder et al. J. Appl. Phys. 99, 014101 �2006�<br />

FIG. 7. In situ hex→cub Pr 2O 3 phase transition study on Si�111� by specular<br />

�-2� XRD measurements.<br />

Specular �-2� scans on the Bragg-Brentano diffractometer<br />

��=0.154 nm� over the angular 2� range from 56° to<br />

63° were applied to study in situ the hex→cub Pr 2O 3 phase<br />

transition on Si�111�. These scans were recorded for 4 min<br />

each, while the sample was kept in 10 −5 mbar oxygen at a<br />

constant elevated temperature. To control the velocity v of<br />

the phase transition, different temperature �T� runs in the<br />

range from 450 to 550 °C were applied. Figure 7 shows as<br />

an example the measurement at 464 °C and summarizes the<br />

results by plotting only the scans after time intervals of<br />

12 min. The double-peak structure of the Si�222� substrate<br />

peak �2�=58.85°� originates from the � 1 and � 2 components<br />

of the Cu K � radiation, which are not separated by the<br />

graphite monochromator. The as-deposited Pr 2O 3 film �t<br />

=0 min� exhibits only the hex-Pr 2O 3�0004� reflection at 2�<br />

=60.91°. It is clearly seen that the intensity decrease of this<br />

peak during the annealing procedure �t=12, 24, 36, and<br />

48 min� is accompanied by the formation of the cub-<br />

Pr 2O 3�444� Bragg peak at 2�=56.97°. At the end of the annealing<br />

procedure �t=48 min�, the Pr 2O 3 film is completely<br />

transformed into the cub-Pr 2O 3 phase. A simple data analysis<br />

�not shown� was applied to extract the activation energy E 0<br />

of the thermally induced phase transition. By plotting the<br />

integrated oxide Bragg peak intensity against time, the phase<br />

transition velocities v of the measurements at different temperatures<br />

T were determined. These phase transition velocities<br />

v were found to closely follow a linear relationship in an<br />

Arrhenius plot � log v against 1/kT� so that the activation<br />

energy E 0 of 2.2 eV could be easily extracted from its slope.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

IV. DISCUSSION<br />

A. Epitaxial relationship<br />

The specular �-2� XRD scans of Fig. 1 determine the<br />

phase and the vertical stacking direction of the Pr2O3 films<br />

on Si�111�. The as-deposited film grows in the hex-Pr2O3 phase �a=b=0.386 nm; c=0.601 nm� on Si�111� and is attached<br />

with its �0001� basal plane to the substrate. After the<br />

annealing treatment, the Pr2O3 epilayer is crystallized in the<br />

�111�-oriented cubic phase whose unit cell �b=1.115 nm� is<br />

a little bit more than two times bigger than the cubic Si cell<br />

�a=0.543 nm�. Accordingly, cubic oxide reflections are always<br />

observed at Sisurf coordinate values that are slightly<br />

smaller than the closely situated Si bulk Bragg peaks, and the<br />

oxide indices are twice the values of these Si diffraction<br />

signals.<br />

The in-plane GI-XRD measurements of Figs. 2 and 3<br />

define the azimuthal orientation of the Pr2O3/Si�111� systems<br />

in real space. In the case of the as-deposited Pr2O3 film,<br />

the in-plane �101 ¯0� direction of the hex-Pr2O3 lattice is<br />

aligned along the Sisurf�100� �Sibulk�011 ¯�� azimuth, so that an<br />

almost perfect lattice matching results. The surface unit cell<br />

of the oxide surface is bigger than the Si�111� in-plane<br />

dimensions by only 0.5%. 14 In the case of the annealed<br />

Pr2O3 epilayer, the azimuthal orientation is determined by<br />

the cub-Pr2O3�01 ¯1� direction pointing along the Sisurf�100� �Sibulk�011 ¯�� vector, resulting in an in-plane lattice misfit of<br />

about 2.6%. The antiparallel alignment between the cub oxide<br />

and the Si in-plane vectors given here corresponds to a<br />

180° rotation around the Si�111� surface normal of the cub<br />

oxide coordinate system with respect to the bulk Si lattice.<br />

Note that the in-plane measurements of Figs. 2 and 3 cannot<br />

discriminate between parallel and antiparallel alignment. It is<br />

only by the stacking sensitive off-plane GI-XRD measurements<br />

of Figs. 4 and 5 that the antiparallel alignment of the<br />

cub-Pr2O3�111� film lattice on the Si�111� substrate is determined.<br />

This can be best understood with the help of the<br />

reciprocal Lsurf−H surf and Lsurf−K surf lattice planes sketched<br />

in Fig. 4�a� and Fig. 4�b�, respectively. A relationship exists<br />

between the distribution of the oxide �open circles� and the<br />

Si�111� substrate Bragg peaks �filled circles�, namely the oxide<br />

reflections can be created from the Si Bragg peaks by<br />

carrying out a reflection across the plane spanned by the<br />

�00L�surf��00L�surf� and the �12 ¯0�surf��2 ¯10�surf� vectors in Fig.<br />

4�a� �Fig. 4�b��. Certainly, such a reflection operation is identical<br />

with a 180° rotation around the �00L�surf�Si�111�bulk� direction of the oxide coordinate system with respect to the<br />

Si�111� bulk lattice. It can therefore be concluded on the<br />

basis of the measured intensity distribution of oxide and Si<br />

reflections on the �10L�surf �Fig. 4�a�� and the �01L�surf �Fig.<br />

4�b�� rods that the cub-Pr2O3�111� film lattice is rotated by<br />

180° around the Si�111� surface normal with respect to the<br />

Si�111� substrate coordinate system. Following the convention<br />

in the literature on the epitaxy of cubic �111� film structures<br />

grown on single-crystal �111� surfaces of cubic substrates,<br />

this relationship is called a type B-oriented film<br />

structure. 23 Type A twins are created when epilayer crystal<br />

grains adopt the crystal lattice orientation of the substrate. In


Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

014101-7 Schroeder et al. J. Appl. Phys. 99, 014101 �2006�<br />

FIG. 8. Structure model of the type B-oriented cub-Pr 2O 3�111� epilayer on<br />

Si�111�.<br />

consequence, the intensity distribution of type A domain reflections<br />

and Si substrate Bragg peaks follows the same<br />

scheme. From the fact that no oxide reflections are observed<br />

in the film sensitive �10L� surf �Fig. 4�a�� and �01L� surf �Fig.<br />

4�b�� rod measurements at the positions of the Si substrate<br />

Bragg peaks, the absence of type A cub-Pr 2O 3�111� crystal<br />

grains is proven. Furthermore, the twin-free character of the<br />

type B-oriented cub-Pr 2O 3�111� epilayer is evident from the<br />

threefold symmetry �120° spacing� observed in the � scan of<br />

Fig. 5. The simultaneous presence of type A and type B<br />

epilayer grains would result in diffraction signals with 60°<br />

spacing due to the superposition of the intensity distributions<br />

of the two domains �each domain has threefold symmetry,<br />

but type A and B are rotated with respect to each other by<br />

180°�. 24<br />

The TEM electron diffraction and cross-section studies<br />

in Fig. 6 fully support the epitaxial relationships detected by<br />

XRD for the hex-Pr 2O 3�0001�/Si�111� and the cub-<br />

Pr 2O 3�111�/Si�111� system. In particular, the TEM crosssection<br />

image 6�f� demonstrates that a change of the stacking<br />

sequence of the cub-Pr 2O 3�111� planes �CBA� with respect<br />

to the Si�111� planes �ABC� is the microscopic origin<br />

of the observed type B epitaxy. This is a well-known result<br />

from defect characterization studies of �111�-oriented fcc epilayer<br />

structures on �111� fcc crystal surfaces, so that type<br />

A/type B domains are also called stacking twins. 25<br />

Figure 8 summarizes the epitaxial relationships derived<br />

for the cub-Pr 2O 3�111�/Si�111� system. The side view is<br />

shown along a stacking sensitive Si bulk �01 ¯ 1� direction so that<br />

the change of the stacking sequence of the oxide �111� planes<br />

in the type B-oriented epilayer structure is clearly visible.<br />

B. Type B epitaxy<br />

Various examples of the heteroepitaxial growth of �111�oriented<br />

cubic epilayer structures on Si�111� substrates have<br />

been reported in the literature, ranging from conductors �e.g.,<br />

CoSi 2 �Ref. 26�� over semiconductors �e.g., Ge �Ref. 27�� to<br />

insulators �e.g., CaF 2 �Ref. 28��. The mechanisms responsible<br />

for the coexistence of type A and type B domains or the<br />

preferential growth of one of the two orientations are at<br />

present not clear. For example, the growth of singlecrystalline<br />

type B-oriented CoSi 2 films was achieved on<br />

clean Si�111� surfaces, and electronic aspects of the metal<br />

disilicide-silicon interface were invoked to account for this<br />

result. 29 First studies on the growth of CaF 2�111� films on<br />

Si�111� substrates resulted in the undesired presence of type<br />

A and type B stacking twins in the epilayer structure. 28 In<br />

later studies, the stacking conflicts could be avoided by<br />

growing the fcc-like CaF 2 films in the form of a twin-free<br />

epilayer structure—either A- or B-type oriented—on the<br />

Si�111� substrate. 30 It turned out that the structure and the<br />

stoichiometry of the initially formed insulator/Si interface<br />

were crucial to achieve this result. 28,31 A further interesting<br />

example is the growth of homoepitaxial Si films on Si�111�.<br />

Films grown on the Si�111�-�7�7� reconstructed surface are<br />

crystallographically aligned with the substrate and have the<br />

untwinned A-type orientation. 32,33 However, boron �3��3<br />

reconstructed Si�111� surfaces were found to act as appropriate<br />

templates for the nucleation of B-type oriented Si films,<br />

and a stabilization mechanism based on chemical effects was<br />

proposed. 34 Silicon prefers the cubic �diamond or zinc<br />

blende� stacking sequence over the hexagonal �wurtzite�<br />

stacking sequence because the bonding is covalent with no<br />

ionic component. Wurtzite stacking brings third-nearest<br />

neighbors closer than zinc-blende stacking, so that ionic<br />

II-VI compounds like CdS and ZnO prefer this structure. As<br />

the twin boundary formed during overlayer growth at the<br />

interface can be described as a local wurtzite structure, the<br />

stabilization of the type B-oriented Si epilayer structure was<br />

attributed to the ionic bonding contributions induced by boron<br />

on the reconstructed Si�111� surface.<br />

The ionic character of Pr 2O 3 makes it very probable that<br />

this mechanism is also of importance in the stabilization of<br />

the type B cub-Pr 2O 3 epilayer structure on Si�111�. However,<br />

it is not possible at present to construct an appropriate interface<br />

model of the crystalline type B cub-Pr 2O 3/Si�111�<br />

structure. The reason is that the hex→cub Pr 2O 3 phase transition<br />

was found to be correlated with the formation of an<br />

interface layer at the oxide/Si substrate boundary which appears<br />

amorphous in the reported TEM images �Figs. 6�d� and<br />

6�f��. Indeed, all experimental findings indicate that the<br />

growth of cub-Pr 2O 3 on Si�111� only happens when such an<br />

amorphous interface layer is present. Sakai et al. reported<br />

recently the preparation of cub-Pr 2O 3 layers with type A/type<br />

B twinning on Si�111�. 35 TEM images of the Pr 2O 3/Si�111�<br />

stacks clearly indicated the presence of an amorphous interface<br />

layer. The same results were obtained by our group<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 123


Nachdrucke<br />

ausgewählter Publikationen<br />

124<br />

Reprints of<br />

Selected Publications<br />

014101-8 Schroeder et al. J. Appl. Phys. 99, 014101 �2006�<br />

when Pr 2O 3 films were deposited on preoxidized Si�111� wafers.<br />

Interestingly, we found furthermore that UHV annealing<br />

of as-deposited hex-Pr 2O 3�0001�/Si�111� samples at 600 °C<br />

neither resulted in an interfacial layer at the substrate/oxide<br />

boundary nor did the phase transition occur.<br />

These results can be explained by the fact that the epitaxial<br />

interface energy stabilizes the growth of hex-<br />

Pr 2O 3�0001� on Si�111�. The Pr-O phase diagram shows that<br />

the cub and hex modifications are the low- ��700 °C� and<br />

high-temperature ��700 °C� phases of Pr 2O 3, respectively. 20<br />

The lattice misfit between Si�111� and hex-Pr 2O 3�0001� is<br />

0.5%, but 2.6% in the case of �111�-oriented cub-Pr 2O 3. 14 It<br />

is the lower epitaxial strain energy of the �0001� crystal face<br />

of the high-temperature hex-Pr 2O 3 phase which stabilizes its<br />

growth on clean Si�111� surfaces. The low-temperature cub-<br />

Pr 2O 3 phase is only produced when the oxide film is disconnected<br />

from the crystalline Si�111� substrate by an amorphous<br />

interface layer. This interface layer can be prepared in<br />

two ways which result in very different cub-Pr 2O 3�111� film<br />

morphologies.<br />

First, the wafer can be preoxidized prior to oxide deposition.<br />

Deposited �111�-oriented cub-Pr 2O 3 films on<br />

SiO 2-covered Si�111� wafers do not show a defined in-plane<br />

alignment, and the density of A- and B-type stacking twins is<br />

high. The prefered �111� orientation demonstrates the low<br />

surface energy of this crystal face of the fluorite related cub-<br />

Pr 2O 3 film structure, a result in line with comparative studies<br />

on CaF 2 �fluorite structure�. 28<br />

Second, the interface layer can be prepared by postdeposition<br />

annealing of hex-Pr 2O 3�0001� films on Si�111� in an<br />

oxygen atmosphere. Here, the formation of the interface<br />

layer is the result of the oxidation of the oxide/Si boundary<br />

due to the very high mobility of oxygen in PrO x<br />

compounds. 36–38 The hex�0001�→cub�111� Pr 2O 3 phase<br />

transition on Si�111� is observed, which results in twin-free<br />

epitaxial cub-Pr 2O 3�111� films of high quality. Note that we<br />

discussed the transformation of a hex-Pr 2O 3�0001� plane into<br />

a cub-Pr 2O 3�111� crystal face on the atomic scale in a previous<br />

publication. 14 It was found that the Pr sublattice of the<br />

two crystal faces is almost identical, and only diffusion of<br />

oxygen atoms is required to convert one plane into the other.<br />

In contrast to the structure of the individual planes, the hex<br />

→cub Pr 2O 3 phase transition is characterized by a rearrangement<br />

of the Pr sublattice, namely the AB stacking sequence<br />

of �0001� planes in hex-Pr 2O 3 must become an ABC stacking<br />

of �111� planes in cub-Pr 2O 3. In other words, the two lattices<br />

differ in particular in the positions of the second-nearest<br />

neighbors. The �0001� direction of the hex lattice becomes a<br />

cubic �111� direction, and one of the close-packed �101 ¯ 0�<br />

rows coincides with a cubic �011 ¯ � direction. This can be<br />

accomplished in two ways leading to ABC and CBA stacking<br />

twins arrangements due to the various starting points of the<br />

nuclei of the phase transformation. 39,40 There is a priori no<br />

reason for preferring one twin; both should be created in the<br />

process of the hex→cub phase transition. The observation of<br />

a single-crystalline type B-oriented cub�111� Pr 2O 3 film<br />

therefore indicates that the phase transition does not start in<br />

the bulk but nucleates at the oxide/Si interface. The follow-<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

ing mechanism of the hex→cub Pr 2O 3 phase transformation<br />

on Si�111� can therefore be proposed.<br />

The epitaxial interface energy stabilizes the hex-<br />

Pr 2O 3�0001� structure on Si�111�. During annealing in an<br />

oxidizing ambient, the high oxygen diffusion in Pr 2O 3 results<br />

in the oxidation of the Pr 2O 3/Si boundary. In the beginning<br />

of this interface oxidation process, the oxygen uptake in<br />

Si�111� results in an ionic bonding contribution in the substrate.<br />

This changes the character of the Pr 2O 3/Si�111� interface<br />

from an initially ionic/covalent boundary into a more<br />

ionic/ionic heterostructure which favors the nucleation of a<br />

type B-oriented epilayer �local wurtzite structure�. With<br />

progress of the oxidation process, the epitaxial interface stabilization<br />

energy of the hex-Pr 2O 3�0001� phase is continuously<br />

lifted and the transforming oxide layer forms the type<br />

B cub-Pr 2O 3�111� orientation by passing the stacking information<br />

from the interface through the whole film structure.<br />

It is noted for completeness that the strong influence of<br />

the oxide/Si interface on the phase transition makes it difficult<br />

to assign a microscopic origin to the measured activation<br />

energy E 0 of 2.2 eV of the hex→cub Pr 2O 3 phase transition<br />

�Fig. 7�. A possible origin is based on the reported activation<br />

energies for ion diffusion in rare-earth oxide lattices, typically<br />

in the range of 0.8 to 1 eV for oxygen and several electron<br />

volts for cations. 9,41 The magnitude of the measured<br />

activation energy could therefore in principle indicate that<br />

the mobility of the Pr sublattice is the rate limiting step in the<br />

phase transition.<br />

V. CONCLUSION<br />

A preparation recipe for single-crystalline, type<br />

B-oriented cub-Pr 2O 3�111� films on Si�111� was developed<br />

which is based on inducing a hex-Pr 2O 3�0001�→cub-<br />

Pr 2O 3�111� phase transition in the as-deposited oxide film.<br />

The epitaxial relationships of the hex-Pr 2O 3�0001� and the<br />

cub-Pr 2O 3�111� layers on Si�111� were unequivocally determined<br />

by XRD and SR-GIXRD studies. TEM studies revealed<br />

that the hex-Pr 2O 3�0001�→cub-Pr 2O 3�111� phase<br />

transition crucially depends on the oxide/Si interface structure.<br />

As the interface structure plays an important role in the<br />

stabilization of the type B cub-Pr 2O 3�111� epilayer structure<br />

on Si�111�, in situ GIXRD phase transition studies will be<br />

applied in the future to clarify the fundamental mechanism at<br />

work. Besides potential applications in Si-based nanoelectronics,<br />

the Pr 2O 3/Si�111� system will become a suitable<br />

model system for condensed matter physicists interested in<br />

understanding the physics of phase transitions of epitaxial<br />

oxide layers on Si.<br />

1 T. Hori, Gate Dielectrics and MOS-ULSIs, Springer Series in Electronics<br />

and Physics Vol. 34 �Springer, New York, 1996�.<br />

2 R. C. Buchanan, Ceramic Materials for Electronics: Processing, Properties,<br />

Applications �Dekker, New York, 1991�.<br />

3 H. Frohlich, Theory of Dielectrics: Dielectric Constant and Dielectric<br />

Loss �Clarendon, Oxford, 1986�.<br />

4 M. Suzuki and T. Ami, Mater. Sci. Eng., B 41, 166 �1996�.<br />

5 R. Waser, Nanoelectronics and Information Technology-Advanced Electronic<br />

Materials and Novel Devices �Wiley-VCH, Weinheim, 2003�.<br />

6 Y. Tokura and N. Nagaosa, Science 288, 462 �2000�.<br />

7 D. G. Schlom, J. H. Haeni, J. Lettieri, C. D. Theis, W. Tian, J. C. Jiang,<br />

and X. Q. Pan, Mater. Sci. Eng., B 87, 282 �2001�.


8<br />

Y. Tokura, Phys. Today 56�7�, 50 �2003�.<br />

9<br />

H. R. Huff and D. C. Gilmer, High Dielectric Constant Materials: VLSI<br />

MOSFET Applications, Springer Series in Advanced <strong>Microelectronics</strong> Vol.<br />

16 �Springer, Berlin, <strong>2005</strong>�.<br />

10<br />

H. J. Osten, J. Dabrowski, H.-J. Muessig, A. Fissel, and V. Zavodinsky, in<br />

Predictive Simulation of Semiconductor Processing-Status and Challenges,<br />

Springer Series in Materials Science Vol. 72, edited by J. Dabrowski<br />

and E. Weber �Springer, New York, 2004�, Chap 7.<br />

11<br />

P. Zaumseil, E. Bugiel, J. P. Liu, and H.-J. Osten, Solid State Phenom.<br />

82–84, 789 �2002�.<br />

12<br />

T. Schroeder, T.-L. Lee, J. Zegenhagen, C. Wenger, P. Zaumseil, and H.-J.<br />

Muessig, Appl. Phys. Lett. 85, 1229 �2004�.<br />

13<br />

J. P. Liu, P. Zaumseil, E. Bugiel, and H.-J. Osten, Appl. Phys. Lett. 79,<br />

671 �2001�.<br />

14<br />

T. Schroeder, T.-L. Lee, L. Libralesso, I. Joumard, J. Zegenhagen, P.<br />

Zaumseil, Ch. Wenger, G. Lupina, G. Lippert, A. Mane, J. Dabrowski, and<br />

H.-J. Muessig, J. Appl. Phys. 97, 074906 �<strong>2005</strong>�.<br />

15<br />

R. C. Henderson, W. J. Polito, and J. Simpson, Appl. Phys. Lett. 16, 15<br />

�1970�.<br />

16<br />

J. Als-Nielsen and D. McMorrow, Elements of Modern X-Ray Physics<br />

�Wiley, New York, 2001�.<br />

17<br />

J. C. Ziegler, G. Scherb, O. Bunk, A. Kazimirov, L. X. Cao, D. M. Kolb,<br />

R. L. Johnson, and J. Zegenhagen, Surf. Sci. 452, 150 �2000�.<br />

18<br />

L. Pauling and M. D. Shappell, Z. Kristallogr. 69, 415 �1928�.<br />

19<br />

L. Eyring and N. C. Baenzinger, J. Appl. Phys. 33, 428 �1962�.<br />

20<br />

B. G. Hyde, D. J. M. Bevan, and L. Eyring, Philos. Trans. R. Soc. London,<br />

Ser. A 259, 583 �1968�.<br />

21<br />

B. E. Warren, X-Ray Diffraction �Dover, New York, 1990�.<br />

22<br />

R. M. Wallace and G. D. Wilk, CRC Crit. Rev. Solid State Mater. Sci. 28,<br />

231 �2003�.<br />

23<br />

R. T. Tung, J. C. Bean, J. M. Gibson, J. M. Poate, and D. C. Jacobson,<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

014101-9 Schroeder et al. J. Appl. Phys. 99, 014101 �2006�<br />

Appl. Phys. Lett. 40, 684 �1982�.<br />

24<br />

T. Schroeder, P. Zaumseil, Ch. Wenger, H.-J. Muessig, P. Storck, I. B.<br />

Ramsteiner, A. Stierle, and H. Dosch, J. Appl. Phys. �to be published�.<br />

25<br />

B. D. Cullity and S. R. Stock, Elements of X-Ray Diffraction �Prentice-<br />

Hall, Englewood Cliffs, NJ, 2001�.<br />

26<br />

R. T. Tung, J. M. Poate, J. C. Bean, J. M. Gibson, and D. C. Jacobson,<br />

Thin Solid Films 93, 77 �1982�.<br />

27<br />

K. Brunner, Rep. Prog. Phys. 65, 27 �2002�.<br />

28<br />

M. A. Olmstead, in Thin Films: Heteroepitaxial Systems, edited by W. K.<br />

Liu and M. B. Santos �World Scientific, Singapore, 1999�, Chap. 5.<br />

29<br />

X. Yongnian, Z. Kaiming, and X. Xide, Phys. Rev. B 33, 8602 �1986�.<br />

30<br />

S. I. Ohmi, K. Tsutsui, and S. Furukawa, Jpn. J. Appl. Phys., Part 1 33,<br />

1121 �1994�.<br />

31<br />

C. R. Wang, B. H. Mueller, and K. R. Hofmann, Thin Solid Films 410, 72<br />

�2002�.<br />

32<br />

A. I. Nikiforov, V. A. Markov, V. A. Cherepanov, and O. P. Pchelyakov,<br />

Thin Solid Films 336, 183 �1998�.<br />

33<br />

Y. Shigeta, Y. Fukaya, H. Mitsui, and K. Nakamura, Surf. Sci. 402–404,<br />

313 �1998�.<br />

34<br />

R. L. Headrick, B. E. Weir, J. Bevk, B. S. Freer, D. J. Eaglesham, and L.<br />

C. Feldman, Phys. Rev. Lett. 65, 1128 �1990�.<br />

35<br />

A. Sakai, S. Sakashita, M. Sakashita, Y. Yasuda, S. Zaima, and S.<br />

Miyazaki, Appl. Phys. Lett. 85, 5322 �2004�.<br />

36<br />

H. Inaba and K. Naito, J. Solid State Chem. 50, 100 �1983�.<br />

37<br />

H. Inaba and K. Naito, J. Solid State Chem. 50, 111 �1983�.<br />

38<br />

R. G. Biswas, M. Rajendran, G. S. Walker, E. Williams, and A. K. Bhattacharya,<br />

J. Mater. Sci. 33, 3001 �1998�.<br />

39<br />

F. Frey, W. Prandl, J. Schneider, C. Zeyen, and K. Ziebeck, J. Phys. F:<br />

Met. Phys. 9, 603 �1979�.<br />

40<br />

M. T. Sebastian and P. Krishna, Phys. Status Solidi A 101, 329 �1987�.<br />

41<br />

T. Norby and P. Kofstad, J. Am. Ceram. Soc. 67, 786 �1984�.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 125


Nachdrucke<br />

ausgewählter Publikationen<br />

126<br />

Abstract<br />

Reprints of<br />

Selected Publications<br />

Dislocation Engineering for a Silicon-Based Light Emitter at 1.5 µm<br />

M. Kittler 1,2 , M. Reiche 3 , T. Arguirov 2 , W. Seifert 1,2 and X. Yu 1,2<br />

A new concept for a Si light emitting diode (LED) capable of<br />

emitting at 1.5 µm efficiently is proposed. It utilizes radiation<br />

from a well-defined dislocation network created in a<br />

reproducible manner by Si wafer direct bonding. The<br />

wavelength of the light emitted from the network can be<br />

tailored by adjusting the misorientation between the Si<br />

wafers.<br />

Introduction<br />

Currently used interconnects based on Cu wiring will cause<br />

serious problems in the future such as heat penalty, nonacceptable<br />

delay and complexity, crosstalk etc. On-chip<br />

optical interconnects are able to overcome these problems<br />

and will be essential for future integrated circuits. Several<br />

CMOS-technology compatible key components have already<br />

been demonstrated, as for example a fast Si based electrooptical<br />

modulator (1), allowing the use of continuous-wave<br />

light sources. However, a silicon based light emitter, which is<br />

compatible with CMOS technology, is still lacking. The<br />

recently demonstrated first Si-based Raman laser cannot be<br />

used for this purpose because it is optically pumped (2).<br />

Different approaches for light emitters have been studied (3).<br />

Recently, light-emitting diodes (LED) made either by boron<br />

(4-8) or by phosphorus (8) implantation into Si attract special<br />

interest, allowing efficient room temperature (RT) electroluminescence<br />

(EL) of the Si band-to-band (BB) line at 1.1<br />

µm. However, the desired light emitter, besides exhibiting<br />

high luminescence efficiency at RT, should also be spatially<br />

confined and should emit at about 1.5 µm. The D1 emission<br />

close to 1.5 µm, related to dislocations in Si, is a suitable<br />

candidate for the light emitter (9), but application of<br />

dislocations as active device components requires their<br />

reproducible formation. The present paper demonstrates that<br />

Si wafer bonding allows the reproducible formation of<br />

dislocation networks that exhibit a dominating light emission<br />

at the desired wavelength of about 1.5 µm.<br />

Critical analysis of Si LED made by ion implantation<br />

Light sources made by ion implantation are reported for<br />

example in (4-8). The achievement of intense BB radiation at<br />

RT after boron implantation and annealing has been<br />

attributed to the formation of dislocation loops which<br />

1 <strong>IHP</strong> microelectronics, Im Technologiepark 25<br />

15236 Frankfurt (Oder), Germany<br />

2 <strong>IHP</strong>/BTU Joint Lab, Konrad-Wachsmann-Allee 1, 03046 Cottbus, Germany<br />

3 MPI für Mikrostrukturphysik, Weinberg 2, 06120 Halle, Germany<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

introduce a local strain field modifying the Si band structure<br />

locally, thus preventing non-radiative recombination (4).<br />

Furthermore, it was stated that engineering of {113} defects<br />

formed during the post anneal of the boron implant are the<br />

reason for an even stronger BB emission (7). Despite the<br />

observation of strong BB radiation in conjunction with<br />

defects/dislocations its straight ascription to defects, as stated<br />

in (4,7), is misleading.<br />

Luminescence intensity [a.u.]<br />

1000<br />

900<br />

800<br />

700<br />

600<br />

500<br />

400<br />

300<br />

200<br />

100<br />

0<br />

0-7803-9269-8/05/$20.00 (c) <strong>2005</strong> IEEE<br />

D1<br />

80 K B B<br />

300 K<br />

0.8 0.9 1.0 1.1 1.2 1.3<br />

Photon energy [eV]<br />

Fig. 1<br />

Defect and band-to-band emission in a Si diode made by ion implantation:<br />

Photoluminescence spectra at 80 and 300 K, exhibiting BB and D1 line at<br />

1.1 µm and 1.5 µm, respectively.<br />

implanted BB<br />

region emission<br />

Fig. 2<br />

Example of crystal defects after ion implantation and post anneal: Crosssectional<br />

TEM micrograph (dark field) of a sample after P implantation (750<br />

keV, 2x10 14 cm -2 ) and furnace anneal (1000 °C, 30 min). The region where<br />

the BB line mainly arises is also indicated.<br />

As seen from Figs. 1 and 2, the crystal defects do not emit the<br />

BB line but give rise to an additional radiation, namely the<br />

D1 line. Moreover, BB emission arises mainly from the<br />

defect-free region. Fig. 3 shows that the EL efficiency of the


BB line in the LED increases with temperature T (anomalous<br />

behavior). For comparison, the ordinary temperature behavior<br />

of luminescence in as-grown Si is shown in the insert.<br />

Our model suggests different explanation of the results (8). It<br />

takes into account the three recombination components in Si,<br />

namely Shockley-Read-Hall (SRH) recombination via deep<br />

levels in the band-gap, Auger recombination with the energy<br />

given to a third carrier and the radiative BB recombination<br />

(10).<br />

Fig. 3<br />

Efficiency of electro-luminescence: Anomalous T behavior of the BB line<br />

(1.1 µm) of EL observed at 1.2 V forward bias in a diode made by P<br />

implantation into p-Si (10 Ωcm); implantation at 500 keV, 4x10 14 cm -2<br />

followed by furnace anneal (1000°C, 30 min). The insert represents the<br />

ordinary T behavior of luminescence in as-grown Si.<br />

300 K<br />

Fig. 4<br />

Internal quantum efficiency vs. excess carrier concentration calculated with<br />

SRH lifetime as parameter. Experimental data points for diodes implanted<br />

with P at energies of 135 and 500 keV, respectively, are shown together with<br />

data for B implantation from Ng et al. (4).<br />

The recombination rates R of these mechanisms depend on<br />

the excess carrier concentration ∆n. For the SRH<br />

recombination the rate is given by RSRH = ∆n . 1/τSRH, where<br />

τSRH denotes the SRH lifetime. The dependence of the<br />

radiative recombination rate and the Auger recombination<br />

rate on ∆n are given by RBB ~ ∆n 2 . B or RAuger ~ ∆n 3 . C,<br />

respectively. Here, B denotes the radiative recombination<br />

coefficient (for BB recombination) and C is the Auger<br />

recombination coefficient. The internal quantum efficiency is<br />

defined by the ratio of the radiative recombination rate RBB<br />

and the overall recombination rate, i.e. ηi = RBB / (RSRH + RBB<br />

+ RAuger). Fig. 4 depicts the calculated internal quantum<br />

efficiency ηi as a function of the excess charge carrier density<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

∆n, e.g. the carriers formed close to a forward biased p-n<br />

junction. The calculation was done for 300 K by using for the<br />

coefficients B = 10 -14 cm 3 s -1 (11) and C = 10 -31 cm 6 s -1 (12),<br />

with the SRH lifetime τSRH as parameter. Note that 1/τSRH is<br />

proportional to the concentration NT of traps/impurities<br />

characterizing the quality of the bulk material. Also the<br />

coefficients B and C reflect bulk properties. Hence, the model<br />

postulates that the intensive EL of the BB line escapes from<br />

the Si bulk (below the p-n junction) and is not formed<br />

at/around crystal defects as stated in (4,7). The efficiency for<br />

light emission is largely governed by the SRH lifetime. The<br />

implantation-related crystal defects may improve τSRH in the<br />

bulk due to their gettering action, i.e. they support reaching a<br />

strong BB emission in an indirect way. In nearly perfect Si<br />

bulk material with τSRH = 10 -3 s the maximum of the quantum<br />

efficiency ηi is expected to reach about 30% at 300 K. This<br />

value is in agreement with Trupke et al. who concluded from<br />

their experimental data that the internal quantum efficiency<br />

of Si at 300 K might exceed 20% (13).<br />

Fig. 4 demonstrates the agreement of our experimental data<br />

(circles) with the model. The efficiency values η have been<br />

measured and the corresponding values of the excess carrier<br />

density ∆n have been calculated with the process and device<br />

simulator ‘ISE TCAD’ for the process conditions applied to<br />

fabricate the diodes and for the applied forward bias of 1.2 V.<br />

There is also a good correspondence of the model with<br />

experimental data published by Ng. et al. in (4). The lifetime<br />

τSRH = 10 µs deduced by the model for the sample used in (4)<br />

(black square) is in a fairly good agreement with the<br />

measured lifetime of τSRH = 18 µs reported in (4). The model<br />

allows explaining the anomalous T-behavior of the BB line as<br />

well, which is another argument for its validity (8).<br />

Summarizing, this “bulk model” claims that the BB radiation<br />

is not spatially confined at/around the implantation related<br />

defects. It predicts that the BB light is generated in the<br />

interior of the Si bulk below the p-n junction. This was<br />

verified experimentally as well (14). Both, missing<br />

confinement of the BB radiation and a wavelength of about<br />

1.1 µm are strong arguments against the applicability of a Si<br />

LED made by ion implantation as on-chip light emitter. In<br />

contrast, a light emitter based on dislocation-related<br />

luminescence exhibits a promising candidate for this task.<br />

Luminescence intensity [a.u.]<br />

D1<br />

D2<br />

D3<br />

D4<br />

0.7 0.8 0.9 1.0 1.1 1.2 1.3<br />

Energy [eV]<br />

Fig. 5<br />

D-band luminescence: A typical luminescence spectrum of dislocated Si,<br />

exhibiting the D1-D4 lines formed by the dislocations and BB radiation.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 127<br />

BB


Nachdrucke<br />

ausgewählter Publikationen<br />

128<br />

Reprints of<br />

Selected Publications<br />

A Si based light emitter made by dislocation engineering<br />

using wafer direct bonding<br />

A typical luminescence spectrum for dislocated Si with the<br />

quartet of defect-related D1-D4 lines (15), and the BB<br />

luminescence is shown in Fig. 5. The D1 line appears at<br />

about 1.5 µm, the wavelength suitable for the light emitters.<br />

Recently, an external efficiency > 0.1% at RT (corresponding<br />

to a few percent internal efficiency) has been reported for the<br />

emission in a LED utilizing glide dislocations (9). Here the<br />

D1 line dominates and a weak D2 line appears while the D3,<br />

D4 and BB lines are completely absent. However, a<br />

drawback in that case is that the dislocations acting in this<br />

device have been introduced by plastic deformation, which is<br />

not really reproducible in terms of device fabrication.<br />

Using Si wafer direct bonding can solve this reproducibility<br />

problem. In this method, depending on the misorientation<br />

between the wafers subjected to bonding, a regular<br />

dislocation network is formed. For the case of two (100)oriented<br />

Si wafers the periodic dislocation network consists<br />

of screw dislocations accommodating the twist component<br />

and of 60° dislocations compensating the tilt. The distances,<br />

d, between the dislocations depend on the misorientation<br />

angles, α, according to d ~ b/sin α (b = a/2 denotes the<br />

Burgers vector and is related to the Si lattice constant a =<br />

0.543 nm). Consequently, the distance between the<br />

dislocations can be controlled in a wide range by adjusting α.<br />

For example, for a misorientation of 2° the distance between<br />

the dislocations yields about d ~ 10 nm.<br />

Czochralski-grown (100)-oriented Si wafers with p-type<br />

conductivity and a resistivity of about 25 Ωcm were used for<br />

the experiments. After cleaning in standard RCA 1, 2<br />

solutions the wafers were dipped into 1% HF for 2 minutes.<br />

The wafers were then bonded using a commercial bonding<br />

equipment ‘CL 200’. After bonding the wafer pairs were<br />

annealed for 2 hours at 1000 °C under inert atmosphere to<br />

increase the bonding strength. Pairs of wafers with the<br />

required tilt angles were selected by X-ray diffraction from<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

commercially available mirror-polished wafers. The twist<br />

between the wafers was adjusted prior to bonding. More<br />

details of the bonding treatment used are described in (16).<br />

An example of a periodic dislocation network consisting of<br />

closely spaced screw dislocations and of 60° dislocations is<br />

represented in Fig. 6.<br />

The dislocation network for the given misorientation (twist<br />

and tilt α value, respectively) can be well reproduced. Fig. 7<br />

shows that different misorientations result in different<br />

spectra. Hence, the luminescence spectrum can be tailored by<br />

the misorientation.<br />

Luminescence intensity [a.u.]<br />

# 2<br />

D1<br />

# 1<br />

Fig. 6<br />

Example of a network<br />

formed by Si wafer direct<br />

bonding and scheme:<br />

The TEM micrograph<br />

(plan view) shows a close<br />

mesh of screw<br />

dislocations due to a twist<br />

of ~ 1.7° and two<br />

horizontal lines consisting<br />

of 60° dislocations due to<br />

a tilt of ~ 0.07°.<br />

BB<br />

# 3<br />

0.75 0.80 0.85 0.90 0.95 1.00 1.05 1.10 1.15 1.20<br />

Photon energy [eV]<br />

Fig. 7<br />

Tailoring the luminescence spectrum by the choice of misorientation:<br />

Radiation in samples containing different dislocation networks, formed by<br />

direct bonding of p-type Si wafers (25 Ωcm).<br />

For the proper misorientation, the D1 radiation is the<br />

dominating feature even at RT, see Fig. 8. Such result was<br />

achieved by using dislocation engineering for the first time.<br />

The process of radiative recombination, causing the emission<br />

at about 1.5 µm, originates from the network, i.e. in a<br />

spatially confined region and not in the interior of the Si bulk.


To position the dislocation network close to the wafer<br />

surface, the wafer pair was subjected to a layer transfer<br />

treatment (17). Depth positions of the network in the range<br />

between less than 100 nm and 1 µm can be achieved in such<br />

a way. This allows fabricating the network close to a p-n<br />

junction (Fig. 9) so that carriers injected by forward biasing<br />

recombine radiatively at the network.<br />

Fig. 8<br />

Dominance of D1 radiation at 1.5 µm for a dedicated network: Intensity of<br />

the defect D1 line in sample # 2 at 80 K, 140 K and 290 K, respectively. The<br />

D1 radiation dominates also at room temperature.<br />

To estimate the achievable quantum efficiency of the D1<br />

radiation we use the fact that the efficiency of the BB<br />

emission, observed at RT, was at least 10 times smaller (see<br />

Fig. 8), i.e. there holds η(D1) / η(BB) > 10. The BB<br />

efficiency exhibits an internal reference depending on τSRH<br />

and ∆n (see above). For an effective lifetime of τSRH = 5 µs<br />

one gets together with an excess carrier density of ∆n = 10 17<br />

cm -3 an internal BB efficiency of η(BB) ~ 0.5% (compare<br />

Fig. 4). Hence, η(D1) yields about 5%. The value of τSRH = 5<br />

µs used here for the effective lifetime seems to be reasonable.<br />

Indeed, for a trap density NT = 2 x 10 13 cm -3 , a carrier capture<br />

cross-section σ = 10 -15 cm 2 and a carrier thermal velocity Vth<br />

= 10 7 cm/s one calculates from τSRH = 1 / (NT . σ . Vth ) a<br />

lifetime of 5 µs. The trap density NT = 2 x 10 13 cm -3 results<br />

from the assumption that the dislocation network, with a<br />

distance of 10 nm between the dislocations, dominates the<br />

recombination in a volume of 100 µm thickness (diffusion<br />

length which corresponds to τSRH = 5 µs) and that each<br />

dislocation exhibits 10 5 states/traps per cm dislocation length,<br />

which is a reasonable value, see (18). Summarizing our<br />

estimates, we expect that an internal RT efficiency of the D1<br />

emission of a few % can be achieved.<br />

We believe that we have proposed a promising concept for<br />

the realization of a Si-based on-chip light emitter. Further<br />

increase of efficiency may be achieved by a ‘nanosystem’ of<br />

closely spaced stacks of well-defined dislocation networks.<br />

Nachdrucke<br />

ausgewählter Publikationen<br />

Reprints of<br />

Selected Publications<br />

Fig. 9<br />

Schematic view of a Si LED based on D1 emission generated by a<br />

dislocation network.<br />

Acknowledgements<br />

The authors would like to thank D. Bolze for ion<br />

implantation, A. Fischer for process and device simulation, P.<br />

Formanek for TEM on P implanted samples, R. Kurps for<br />

SIMS measurements and T. Mtchedlidze for critical reading<br />

the manuscript. Parts of this work have been supported by the<br />

Volkswagenstiftung Hannover, Germany.<br />

References<br />

(1) A. Liu, R. Jones, L. Liao, D. Samara-Rubio, D. Rubin, O. Cohen, R.<br />

Nicolaescu, M. Pannicia, Nature, vol. 427, 615 (2004)<br />

(2) H. Rong, A. Liu, R. Jones, O. Cohen, D. Hak, R. Nicolaescu, A. Fang,<br />

M. Pannicia, Nature, vol. 433, 292 (<strong>2005</strong>)<br />

(3) L. Pavesi, J. Phys.: Condens. Matter, vol. 5, R1169 (2003)<br />

(4) W.L. Ng, M.A. Lourenco, R.M. Gwilliam, S. Ledain, G. Shao, K.P.<br />

Homewood, Nature, vol. 410, 192 (2001)<br />

(5) J.M. Sun, T. Dekorsky, W. Skorupa, B. Schmidt, M. Helm, Appl. Phys.<br />

Lett., vol. 83, 3885 (2003)<br />

(6) N.A. Sobolev, A.M. Emel’yanov, E.I. Shek, V.I. Vdovin, Solid State<br />

Phenomena, vols. 95-96, 283 (2004)<br />

(7) G.Z. Pan, R.P. Ostroumov, Y.G. Lian, K.N. Tu, K.L. Wang, IEDM<br />

Tech. Digest (2004), pp. 343-346<br />

(8) M. Kittler, T. Arguirov, A. Fischer, W. Seifert, Optical Materials, vol.<br />

27, 967 (<strong>2005</strong>)<br />

(9) V. Kveder, M. Badylevich, E. Steinman, A. Izotov, M. Seibt, W.<br />

Schröter, Appl. Phys. Lett., vol. 84, 2106 (2004)<br />

(10) D.K. Schroder, Solid State Phenomena, vols. 6-7, 383 (1989)<br />

(11) H. Schlangenotto, H. Maeder, W. Gerlach, Phys. Stat. Sol. (a), vol. 21,<br />

357 (1974)<br />

(12) J. Dziewor, W. Schmid, Appl. Phys. Lett., vol. 31, 346 (1977)<br />

(13) T. Trupke, J. Zhao, J. Zhao, A. Wang, R. Corkish, M.A. Green, Appl.<br />

Phys. Lett., vol. 82, 2996 (2003)<br />

(14) T. Arguirov, M. Kittler, W. Seifert, unpublished work<br />

(15) N.A. Drozdov, A.A. Patrin, V.D. Takhev, JETP Lett., vol. 23, 597<br />

(1976)<br />

(16) M. Reiche, K. Scheerschmidt, D. Conrad, R. Scholz, A. Plößl, U.<br />

Gösele, K.N. Tu, Inst. Phys. Conf. Ser., vol. 157, 447 (1997)<br />

(17) B. Aspar, M. Bruel, Moriceau, C. Maleville, T. Poumerol, A.M. Papon,<br />

A. Claverie et al., Microeletr. Eng., vol. 36, 233 (1997)<br />

(18) V. Kveder, M. Kittler, W. Schröter, Phys. Rev. B, vol. 63, 115208<br />

(2001)<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 129


130<br />

Erschienene Publikationen Published Papers<br />

Erschienene Publikationen<br />

Published Papers<br />

(1) FTIR Study of Precipitation of Implanted<br />

Nitrogen in CZ-Si Annealed under High Hydro-<br />

static Pressure<br />

V.D. Akhmetov, A. Misiuk, H. Richter<br />

Solid State Phenomena 108-109, 157 (<strong>2005</strong>)<br />

The evolution of nitrogen related infrared vibrational<br />

spectra of CZ-Si implanted with nitrogen, with doses<br />

10 17 ion/cm 2 and 10 18 ion/cm 2 , at 140 keV, was studied<br />

after annealing at 1130 °C/5h under different hydrostatic<br />

pressures, from 1 bar to 10.7 kbar. It was found<br />

for each pressure applied, that the increased nitrogen<br />

dose leads to transformation of broadband spectra to<br />

the fine structure ones, corresponding to crystalline<br />

silicon nitride. The spectral position of observed sharp<br />

peaks in the investigated pressure region is red shifted<br />

in comparison to the peaks of crystalline silicon<br />

oxynitride found recently by other investigators in nitrogen-containing<br />

poly-Si as well as in a residual melt<br />

of nitrogen-doped CZ-Si. The application of pressure<br />

during annealing results in further red shift of the nitrogen-related<br />

bands. The observed decrease of frequency<br />

of vibrational bands is explained in terms of<br />

the pressure induced lowered incorporation of oxygen<br />

into growing oxynitride phase.<br />

(2) Enhanced Silicon Band Edge Related Radia-<br />

tion: Origin and Applicability for Light<br />

Emitters<br />

T. Arguirov, M. Kittler, W. Seifert, X. Yu<br />

Materials Science and Engineering B 124-125,<br />

431 (<strong>2005</strong>)<br />

We have investigated the influence of phosphorous<br />

implantation and annealing on the photoluminescence<br />

spectra of Si. The implantation was carried out at<br />

750 keV with doses between 1x10 13 and 2x10 14 cm -2 .<br />

We show that the band edge luminescence of the implantation<br />

modified layer at room temperature is low<br />

compared to the luminescence from the substrate.<br />

The photoluminescence spectra at 80 K are found to<br />

depend strongly on the annealing treatment performed<br />

(rapid thermal versus furnace annealing). For high<br />

implantation doses, a shift in the two-phonon-assisted<br />

line is observed and associated with a strong strain<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

field. The band edge luminescence does not show<br />

quenching, but increases upon increase of temperature<br />

for the highest implantation dose.<br />

(3) Accurate Modeling of Low-Cost SiGe:C-HBTs<br />

Using Adaptive Neuro-Fuzzy Inference System<br />

A. Chakravorty, R.F. Scholz, B. Senapati, D. Knoll,<br />

A. Fox, R. Garg, C.K. Maiti<br />

Materials Science in Semiconductor Proces-<br />

sing 8(1-3), 307 (<strong>2005</strong>)<br />

A low-cost BiCMOS SiGe:C-HBT is accurately modeled<br />

using adaptive neuro-fuzzy inference system (ANFIS)<br />

for the first time. The Volterra kernel-based approach<br />

can be suitable for this new kind of modeling. The model<br />

has been trained and tested with different sets of<br />

input/output data. Accuracy of the model is checked<br />

for all the DC and S parameters in a wide range of bias<br />

and frequencies. On the validation of the ANFIS model,<br />

the average error is found to be less than 4 %. Especially<br />

in high-current and high-frequency regions, the<br />

ANFIS model is proved to be excellent unlike most of<br />

the physics-based equivalent circuit models that fail to<br />

track the actual device behavior.<br />

(4) Strained Silicon on Insulator (SSOI) by Wafer-<br />

bonding<br />

S.H. Christiansen, R. Singh, I. Radu, M. Reiche,<br />

U. Gösele, D. Webb, S. Bukalo, B. Dietrich<br />

Materials Science in Semiconductor Proces-<br />

sing 8(1-3), 197 (<strong>2005</strong>)<br />

Strained silicon devices provide for an enhanced carrier<br />

mobility compared to that of unstrained silicon<br />

devices of identical dimensions. The device performance<br />

gets even better when using strained silicon on<br />

insulator material. We report experimental procedures<br />

based on wafer bonding, smart cutting and selective<br />

chemical etching to obtain thin strained silicon (15 nm)<br />

on insulator wafers. The starting material is an 8˝ wafer<br />

with pseudomorphically grown strained silicon on a<br />

so-called virtual substrate as realized by epitaxial chemical<br />

vapor deposition of relaxed SiGe (grown with a<br />

grading rate of 10 % Ge in the SiGe-alloy per 1 µm layer<br />

deposition) on a Si(001) substrate. The starting and<br />

bonded wafers are characterized: (i) structurally using<br />

transmission electron microscopy, (ii) topographically,<br />

using atomic force microscopy and (iii) the strain is<br />

quantified using UV-Raman spectroscopy.


(5) Mechanisms of B Deactivation Control by<br />

F Co-Implantation<br />

N.E.B. Cowern, B. Colombeau, J. Benson, and<br />

A. J. Smith, W. Lerch, S. Paul, T. Graf, F. Cris-<br />

tiano, X. Hebras, D. Bolze<br />

Applied Physics Letters 86, 101905 (<strong>2005</strong>)<br />

Thermal annealing after preamorphization and solidphase<br />

epitaxy of ultrashallow B implants leads to deactivation<br />

and diffusion driven by interstitials released<br />

from end-of-range defects. F inhibits these processes<br />

by forming small clusters that trap interstitials.<br />

A competing B&F interaction causes deactivation<br />

when F and B profiles overlap. Both pathways suppress<br />

B transient enhanced diffusion.<br />

(6) Atomic-Scale Properties of High-k Dielectrics:<br />

ab initio Study for Pr-based Materials<br />

J. Dabrowski, A. Fleszar, G. Lippert, G. Lupina,<br />

A. Mane, H.J. Müssig, T. Schröder, R. Sorge,<br />

H. Thieme, C. Wenger, P. Zaumseil<br />

Advances in Solid State Physics 45, 339<br />

(<strong>2005</strong>)<br />

We discuss the atomic and electronic structures and<br />

energetics of native point defects and of impurities<br />

(Si, Ti, B, moisture) in Pr 2 O 3 and, to some extent, also<br />

in PrO x and in Pr 2 Si 2 O 7 , as obtained from ab initio total<br />

energy calculations. We introduce the concept of<br />

Silicon-related Nitrogen-Coordinated Oxygen (SiNCO)<br />

which we then use to explain the origin of fixed charge<br />

in classical SiO 2 films thermally grown on Si substrates<br />

and in high-k dielectrics deposited on Si substrates.<br />

(7) Paving the Way for Wireless Gigabit Networking<br />

J.-P. Ebert, E. Grass, R. Irmer, G. Fettweis,<br />

R. Kraemer<br />

IEEE Communication Magazine, GCN (Global<br />

Communications Newsletters) 43(4), 27 (<strong>2005</strong>)<br />

Wired LANs soared to the gigabit level some years<br />

ago, and terabit networks are in place for wide area<br />

networking. However, in terms of data rate, wireless<br />

short-range networks tend to lag one generation behind<br />

wired LANs. The recent second generation of<br />

wireless short-range networks offers transmission<br />

rates of up to 54 Mb/s. The third wireless LAN ge-<br />

Erschienene Publikationen Published Papers<br />

neration is under development and will materialize in<br />

the IEEE 802.11n standard in about two years. IEEE<br />

802.11n WLANs will offer a few hundred megabits per<br />

second, but the performance gap from wired networks<br />

remains. The recently started project Wireless Gigabit<br />

with Advanced Multimedia (WIGWAM) aims to close<br />

this gap with a heterogeneous 1 Gb/s fourth-generation<br />

system based on high-data-rate orthogonal<br />

OFDM transmission, MIMO, and efficient MAC protocol<br />

techniques.<br />

(8) Preferred Orientation and Anisotropic Growth<br />

in Polycrystalline ZnO:Al Films Prepared by<br />

Magnetron Sputtering<br />

F. Fenske, B. Selle, M. Birkholz<br />

Japanese Journal of Applied Physics Letters 44,<br />

L662 (<strong>2005</strong>)<br />

A thorough growth study of thin polycrystalline ZnO:<br />

Al samples prepared by DC magnetron sputtering is<br />

presented. The atomic areal density as a function of<br />

deposition time t was determined by Rutherford backscattering<br />

(RBS), from which a growth rate G(t) can be<br />

defined. It was found, that in the initial stages of film<br />

growth G(t) increases with increasing deposition time<br />

up to a thickness of about 300 nm, although the process<br />

conditions were kept constant. In addition, the<br />

preferred orientation of each sample, as characterized<br />

by a < 00.l> fiber texture, was quantified by evaluating<br />

the texture index J for each sample. The course of J(t)<br />

was identified to concomitantly increase with G(t). The<br />

variation of both, growth rate and preferred orientation,<br />

with deposition time is interpreted to be caused by<br />

an anisotropic growth velocity of ZnO grains. It seems<br />

that such a close correlation between growth rate and<br />

texture has not been observed so far.<br />

(9) SiGe:C BiCMOS-Technology for 77-81 GHz<br />

Automotive Radar Applications<br />

G.G. Fischer<br />

Micromaterials and Nanomaterials 4, 54 (<strong>2005</strong>)<br />

The introduction of radar sensors into the modern car<br />

will lead to significant improvements of comfort (parking<br />

aid) and security (collision warning). Although systems<br />

with 24 GHz carrier frequency are already in use<br />

their maximum car park penetration cannot surpass<br />

7 % because of regulatory issues. Therefore, a switchover<br />

to 77 – 81 GHz until 2014 is mandatory.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 131


132<br />

Erschienene Publikationen Published Papers<br />

Recent improvements in the high-frequency performance<br />

of SiGe:C hetero bipolar transistors (HBT) allow<br />

Si microelectronics to advance into areas previously<br />

restricted to compound semiconductor devices and<br />

make it a strong competitor for the application in<br />

77 GHz and 79 GHz voltage controlled oscillators (VCO)<br />

– the core circuits of high-frequency radar sensors.<br />

VCOs manufactured with <strong>IHP</strong>’s 0.25 µm SiGe:C BiCMOS<br />

technology showed good functionality around 77 GHz.<br />

Further research includes improving output power and<br />

tests of the stability and reliability of the devices under<br />

the requirements defined by customer specifications.<br />

With the funding of the German Ministry of Education<br />

and Research (B<strong>MB</strong>F) the joint research project KOKON<br />

(www.kokon-project.com) was started October 2004<br />

to develop and integrate technologies (with focus on<br />

SiGe circuits) for 77/79 GHz radar sensors. The <strong>IHP</strong> –<br />

a member of the Leibniz Association – is partner in the<br />

KOKON consortium.<br />

(10) Direct Evidence of Internal Schottky Barriers<br />

at NiSi Precipitates in Si by Electron<br />

Holography<br />

P. Formanek, M. Kittler<br />

Journal of Applied Physics 97, 063707 (<strong>2005</strong>)<br />

Thin NiSi 2 precipitates in n-type Si were analyzed by<br />

electron holography. A phase shift of the electron wave<br />

was observed around the precipitate and gives direct<br />

evidence about the existence of an internal Schottky<br />

barrier. The barrier at the interface between the precipitate<br />

and the Si matrix, doped with 4x10 14 cm -3 phosphorus,<br />

was estimated to yield about 90 mV. This value<br />

is about five times smaller than the dark barrier.<br />

The lowering of the barrier can be explained as a consequence<br />

of excess charge carriers generated by the<br />

incident electron beam.<br />

(11) Application of Electron Holography to Extended<br />

Defects<br />

P. Formanek, M. Kittler<br />

Physica Status Solidi C2(6), 1878 (<strong>2005</strong>)<br />

Thin NiSi 2 precipitates in n-type Si were analyzed by<br />

electron holography. A phase shift of the electron wave<br />

was observed around the precipitate and gives direct<br />

evidence about the existence of an internal Schottky<br />

barrier. The barrier at the interface between the precipitate<br />

and the Si matrix, doped with 4x10 14 cm -3 phosphorus,<br />

was estimated to be about 90 mV. This value<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

is about five times smaller than the dark barrier. The<br />

lowering of the barrier can be explained as a consequence<br />

of excess charge carriers generated by the<br />

incident electron beam. Additional artifacts like variation<br />

of mean inner potential, dead layers, and dynamical<br />

diffraction are discussed as well.<br />

(12) Potential and Limitation of Electron Holography<br />

in Si Research<br />

P. Formanek, M. Kittler<br />

Solid State Phenomena 108-109, 603 (<strong>2005</strong>)<br />

We report on electron holography as a promising candidate<br />

for diagnostics in future silicon technology and<br />

research. The electron holography determines the local<br />

phase shift of the electron wave passing through a<br />

sample. The phase is proportional to the 2D projected<br />

electrostatic potential in the sample and thus reveals<br />

p-n junctions and, indirectly, doping. We demonstrate<br />

detection of sub-monolayer boron layers in Si and<br />

SiGe, measurement of Ge concentration in SiGe and<br />

qualitative 2D oxygen mapping in SiO 2 /Si structures<br />

with 0.5 nm resolution, and comparison of doping in<br />

two bipolar transistors with different base implant. Resolution<br />

and noise limits are discussed.<br />

(13) Spectroscopic Ellipsometry for In-Line Process<br />

Control of SiGe:C HBT Technology<br />

O. Fursenko, J. Bauer, P. Zaumseil, D. Krüger,<br />

A. Goryachko, Y. Yamamoto, K. Köpke , B. Tillack<br />

Materials Science in Semiconductor Proces-<br />

sing 8(1-3), 273 (<strong>2005</strong>)<br />

Spectroscopic ellipsometry (SE) was successfully applied<br />

for in-line thickness and composition control<br />

of graded SiGe:C heterojunction bipolar transistors<br />

(HBTs). We have calculated a thickness of Si-cap and<br />

SiGe:C base, split into the gradient and plateau part<br />

and Ge content in the plateau. The procedure included<br />

the creation of databases for the refractive index dispersion<br />

of all components of HBT stacks using simple<br />

one-layer structures, with thickness and composition<br />

calibrated by X-ray diffractometry (XRD). These databases<br />

(e.g. SiGe:C optical constants vs. Ge content)<br />

were applied for thickness and composition characterization<br />

of graded HBTs with different profile shapes.<br />

The difference between SE and XRD for the estimation<br />

of the main structural parameters is discussed. Finally,<br />

the suitability of SE for measuring wafer uniformity<br />

of HBT layer thickness and composition was demons-


trated, allowing a proper and efficient fine-tuning of<br />

the epitaxial growth process.<br />

(14) A DC-10 GHz Amplifier With Digital Offset<br />

Correction<br />

H. Gustat<br />

Materials Science in Semiconductor Proces-<br />

sing 8(1-3), 439 (<strong>2005</strong>)<br />

This work presents a SiGe:C wideband amplifier with a<br />

binary CMOS counter for digital offset adjustment. The<br />

application of the radix


134<br />

Erschienene Publikationen Published Papers<br />

de precipitation in nitrogen-doped silicon, the results<br />

clearly demonstrate that Ostwald ripening takes place<br />

during annealing of N-doped silicon wafers at 1000 °C<br />

and 1100°C. The higher the nitrogen doping and the<br />

higher the temperature the faster the oxide precipitates<br />

grow and the faster they split into two fractions.<br />

One fraction is growing at the expense of the other.<br />

(19) Oxygen Precipitation in Nitrogen Doped Silicon<br />

G. Kissinger, T. Müller, A. Sattler, W. Häckl,<br />

M. Weber, U. Lambert, A. Huber, P. Krottenthaler,<br />

H. Richter, W. von Ammon<br />

Solid State Phenomena 108-109, 17 (<strong>2005</strong>)<br />

Nitrogen doping of CZ silicon results in an early formation<br />

of large precipitate nuclei during crystal cooling,<br />

which are stable at 900 °C. These are prone to develop<br />

stacking faults and high densities of defects inside<br />

defect denuded zones of CZ silicon wafers. Simultaneous<br />

doping of FZ silicon with nitrogen and oxygen<br />

results in two main stages of precipitate nucleation<br />

during crystal cooling, an enhanced nucleation around<br />

800 °C, which is nitrogen induced, and a second enhancement<br />

around 600 °C, which depends on the concentration<br />

of residual oxygen on interstitial sites. A<br />

combined technique of ramping with 1 K/min from 500-<br />

1000 °C with a final anneal at 1000 °C for 2 h and lateral<br />

BMD measurement by SIRM provides a possibility to delineate<br />

v/G on nitrogen-doped silicon wafers. Surface<br />

segregation of nitrogen and oxygen during out-diffu-<br />

sion can explain the enhanced BMD formation in about<br />

10 µm depth and the suppressed BMD formation in<br />

about 40 µm depth below the surface. The precipitate<br />

growth is enhanced in regions where nitrogen is filled<br />

up again after a preceding out-diffusion.<br />

(20) Silicon-based Light Emission after Ion Implantation<br />

M. Kittler, T. Arguirov, A. Fischer, W. Seifert<br />

Optical Materials 27, 967 (<strong>2005</strong>)<br />

Electroluminescence of boron and phosphorus implanted<br />

samples has been studied for various implantation<br />

and annealing conditions. Phosphorus implantation is<br />

found to have a similar effect on light emission as boron<br />

implantation. The band-to-band luminescence of<br />

phosphorus implanted diodes is observed to increase<br />

by more than one order of magnitude upon rising<br />

the sample temperature from 80 K to 300 K and a<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

maximum internal quantum efficiency of 2 % has been<br />

reached at 300 K. The remarkably high band-to-band<br />

luminescence is attributed to a high bulk Shockley-<br />

Read-Hall lifetime, likely promoted by the gettering action<br />

of the implanted phosphorus. The anomalous temperature<br />

behavior of the efficiency can be explained<br />

by a temperature dependence of the lifetime characteristic<br />

of shallow traps.<br />

(21) Silicon Based Light Emitters for On-Chip<br />

Optical Interconnects<br />

M. Kittler, T. Arguirov, W. Seifert, X. Yu, M. Reiche<br />

Solid State Phenomena 108-109, 749 (<strong>2005</strong>)<br />

Electroluminescence of B and P implanted samples<br />

has been studied. P implantation is found to have a similar<br />

effect on light emission as B implant. The bandto-band<br />

(BB) luminescence of P implanted diodes is<br />

observed to increase by more than one order of magnitude<br />

upon rising the temperature and an internal efficiency<br />

of 2 % has been reached at 300 K. An efficiency<br />

larger than 5 % seems to be reachable. The strong<br />

BB line emission at 1.1 µm is attributed to high bulk<br />

SRH lifetime. The BB line escapes from the substrate<br />

below the p-n junction. It is not due to the implantationrelated<br />

defects/dislocations. The luminescence spectrum<br />

can be tailored to achieve dominance of the dislocation-related<br />

D1 line at about 1.5 µm. It is observed<br />

that a regular periodic dislocation network, formed by<br />

Si wafer direct bonding with a specific misorientation,<br />

exhibits even at 300 K only D1 photoluminescence.<br />

Such a dislocation network is believed to be a serious<br />

candidate to gain an efficient Si-based light emitter.<br />

(22) Advanced Activation of Ultra-Shallow Junctions<br />

Using Flash-assisted RTP<br />

W. Lerch, S. Paul, J. Niess, S. McCoy, T. Selinger,<br />

J. Gelpey, F. Cristiano, F Severac, M. Govelle,<br />

S. Boninelli, P. Pichler, D. Bolze<br />

Materials Science and Engineering B 124-125,<br />

24 (<strong>2005</strong>)<br />

A key issue associated with the continous reduction of<br />

dimensions of CMOS transistors is the realization of<br />

highly conductive, ultra-shallow junctions for source/<br />

drain extensions. Millisecond annealing as an equipment<br />

technology provides and ultra-sharp temperature<br />

peak of 1.6 ms width which favors dopant activation but<br />

nearly suppresses dopant diffusion to form extremely<br />

shallow, highly electrically-activated junctions without


melting the substrate. On boron beamline implanted<br />

wafers the formation of junctions at peak temperatures<br />

ranging from 1275 up to 1325 ° C was investigated.<br />

In the special case of boron, silicon wafers deeply<br />

pre-amorphized with Ge were also used. The thermal<br />

stability of these boron profile distributions was evaluated<br />

by subsequent thermal anneals ranging from<br />

250 ˚C to 1050 °C with times from a few seconds to<br />

several hundred seconds From these experiments the<br />

deactivation/re-activation mechanism for subsequent<br />

annealing can be explained. All the junctions were<br />

analyzed by four-point probe measurements; selec-<br />

ted samples were analyzed by Hall-effect, secondary<br />

ion mass spectrometry (SIMS), and transmission electron<br />

microscopy (TEM).<br />

(23) Initial Stages of the Epitaxial Growth of<br />

Pr 2 O 3 on Si(111) Studied by LEED and STM<br />

L. Libralesso, T. Schröder, T.-L. Lee, J. Zegenhagen<br />

Surface Science 598, L347 (<strong>2005</strong>)<br />

The initial stages of the molecular beam epitaxy<br />

growth of Pr 2 O 3 on atomically clean Si(111) have been<br />

studied in ultra-high vacuum by low energy electron<br />

diffraction and scanning tunneling microscopy. At very<br />

low coverages, the oxide nuclei decorate the dimer<br />

rows of the silicon surface as line structure forming<br />

open triangles. At higher coverages, two-dimensional,<br />

equilateral, triangular islands with a fairly narrow size<br />

distribution and a well defined thickness are observed.<br />

Island nucleation occurs both at step edges and on the<br />

terraces. Upon coalescence at coverages beyond one<br />

monolayer, the surface is covered by a flat and pseudomorphic<br />

oxide film with a (111) surface unit cell.<br />

(24) Si Segregation into Pr 2 O 3 and La 2 O 3 High-k<br />

Gate Oxides<br />

G. Lippert, J. Dabrowski, V. Melnik, R. Sorge,<br />

Ch. Wenger, P. Zaumseil, H.-J. Müssig<br />

Applied Physics Letters 86(4), 042902 (<strong>2005</strong>)<br />

Pr and La oxide thin films were investigated in the<br />

context of their application as high-k dielectrics in<br />

Complementary Metal Oxide (CMOS) technology.<br />

The films were deposited by Molecular Beam Epitaxy<br />

(<strong>MB</strong>E) on bare and TiN-covered Si(001). The influence<br />

of growth and post-deposition annealing on the composition<br />

and electrical parameters was studied. We<br />

observed Si penetration from bare Si(001) into the<br />

Erschienene Publikationen Published Papers<br />

growing film. Based on the results of capacitance-voltage<br />

(CV) measurements and ab initio calculations we<br />

conclude that Si is a source of defects responsible for<br />

leakage currents.<br />

(25) Preparation of Praseodymium Silicate Dielectrics<br />

with an Atomically Abrupt Interface<br />

on Si(100)<br />

G. Lupina, T. Schröder, J. Dabrowski, C. Wenger,<br />

A. Mane, G. Lippert, H.-J. Müssig, P. Hoffmann,<br />

D. Schmeisser<br />

Applied Physics Letters 87(9), 092901 (<strong>2005</strong>)<br />

Synchrotron radiation x-ray photoelectron spectroscopy<br />

was applied to study the solid state reaction between<br />

praseodymium and thin silicon dioxide layers on<br />

Si(100). Nondestructive depth profiling studies by varia-<br />

tion of the incident photon energy indicate after praseo-<br />

dymium deposition at room temperature the reaction<br />

of the upper silicon dioxide to praseodymium oxide and<br />

silicide. High-temperature annealing of films with an appropriate<br />

praseodymium / silicon dioxide ratio results<br />

in homogeneous praseodymium silicate films with an<br />

atomically abrupt interface. Ab initio calculations corroborate<br />

the results of the photoemis-sion study.<br />

(26) Modified Virtually Scaling Free Adaptive<br />

CORDIC Rotator Algorithm and Architecture<br />

K. Maharatna, S. Banerjee, E. Grass, M. Krstic,<br />

A. Troya<br />

IEEE Transactions on Circuits and Systems for<br />

Video Technology (CSVT) 15(11), 1463 (<strong>2005</strong>)<br />

In this paper, we proposed a novel Coordinate Rotation<br />

Digital Computer (CORDIC) rotator algorithm that<br />

converges to the final target angle by adaptively executing<br />

appropriate iteration steps while keeping the scale<br />

factor virtually constant and completely predictable.<br />

The new feature of our scheme is that, depending<br />

on the input angle, the scale factor can assume only<br />

two values, viz., 1 and 1/sqrt2, and it is independent<br />

of the number of executed iterations, nature of itera-<br />

tions, and word length. In this algorithm, compared to<br />

the conventional CORDIC, a reduction of 50 % iteration<br />

is achieved on an average without compromising<br />

the accuracy. The adaptive selection of the appro-<br />

priate iteration step is predicted from the binary representation<br />

of the target angle, and no further arithmetic<br />

computation in the angle approximation datapath<br />

is required. The convergence range of the proposed<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 135


136<br />

Erschienene Publikationen Published Papers<br />

CORDIC rotator is spanned over the entire coordinate<br />

space. The new CORDIC rotator requires 22 % less<br />

adders and 53 % less registers compared to that of<br />

the conventional CORDIC. The synthesized cell area<br />

of the proposed CORDIC rotator core is 0.7 mm 2 and<br />

its power dissipation is 7 mW in <strong>IHP</strong> in-house 0.25 µm<br />

BiCMOS technology.<br />

(27) A CMOS Process-Compatible Wet-Etching<br />

Recipe for the High-k Gate Dielectrics Pr 2 O 3<br />

and Pr 2-x Ti x O 3<br />

A.U. Mane, Ch. Wenger, T. Schröder, P. Zaumseil,<br />

G. Lippert, G. Weidner, H.-J. Müssig<br />

Journal of the Electrochemical Society 152(6),<br />

C399 (<strong>2005</strong>)<br />

The fabrication of complementary metal oxide semiconductor<br />

(CMOS) structures with praseodymium oxide<br />

(Pr 2 O 3 ) or titanium-doped praseodymium oxide<br />

(Pr 2-x Ti x O 3 ) (0x1) layers as integrated high-k gate dielec-<br />

trics requires the development of a process-compatible<br />

etching recipe. Different wet-etching processes<br />

in acid-based chemistry were evaluated and solu-<br />

tions of diluted sulfuric acid were identified as suitable<br />

etchants for Pr 2 O 3 and Pr 2-x Ti x O 3 layers on Si substrates.<br />

Metal-oxide-semiconductor stacks with poly-Si<br />

as the potential gate electrode were patterned with<br />

the help of tetramethyl ammonium hydroxide as the<br />

selective etchant attacking the poly-Si gate electrode<br />

material but not the underlying Pr-based high-k gate<br />

dielectric layers.<br />

(28) Process Integration of Pr-Based High-k Dielectrics<br />

A.U. Mane, C. Wenger, G. Lupina, T. Schröder,<br />

G. Lippert, R. Sorge, P. Zaumseil, G. Weidner,<br />

J. Dabrowski, H.-J. Müssig<br />

Microelectronic Engineering 82, 148 (<strong>2005</strong>)<br />

We present microprocessing compatibility of Pr-based<br />

high-k gate dielectrics for complementary metal-oxide-semiconductor<br />

(CMOS) devices. MOS structures<br />

integrated with boron-doped poly-Si and Pr-based<br />

oxides layers were deposited by molecular beam epitaxy<br />

(<strong>MB</strong>E) process. Reactive ion etching (RIE) with<br />

CF 4 /O 2 plasma was used to etch the poly-Si layer selectively.<br />

Diluted H 2 SO 4 based solutions was used to<br />

etch Pr-based oxides layers. Details of etch kinetics of<br />

Pr-based oxides layers, poly-Si and electrical properties<br />

of MOS devices are presented.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

(29) Electric-dipole Spin Resonance Signals Related<br />

to Extended Agglomerates of Interstitial<br />

in Silicon<br />

T. Mchedlidze, S. Binetti, A. Le Donne, S. Pizzini,<br />

M. Suezawa<br />

Journal of Applied Physics 98, 043507 (<strong>2005</strong>)<br />

Three electric-dipole spin resonance (EDSR) signals<br />

from defects having C1h symmetry were detected in<br />

Czochralski-grown silicon (Cz-Si) samples. The signals<br />

labelled TU7, TU8 and TU9 were detected after subjecting<br />

of the samples to two-step annealing procedures<br />

at 450 °C and at 650 °C for prolonged times.<br />

Formation and structural evolution of large interstitial<br />

agglomerates known as rod-like defects (RLD) occur<br />

in Cz-Si during analogous annealing procedures. Comparison<br />

of the EDSR signal details with the formation<br />

peculiarities and the defect structures of the RLDs, inferred<br />

from previous investigations, allows assigning<br />

of the TU7, TU8 and TU9 spectra to the line-interstitial<br />

defects, the planar defects and the dislocation dipoles,<br />

respectively. Correlations of the EDSR signals<br />

and peculiarities in the PL spectra for the samples<br />

are reported.<br />

(30) Formation and Properties of Iron-Phosphorus<br />

and Iron-Phosphorus-Hydrogen Complexies<br />

in Silicon<br />

T. Mchedlidze<br />

Solid State Phenomena 108-109, 379 (<strong>2005</strong>)<br />

Hitherto unreported ESR signal, labelled TU10, was<br />

detected after annealing of electron-irradiated silicon<br />

samples doped with phosphorus, iron and hydrogen.<br />

The ESR spectrum corresponds to a complex having<br />

monoclinic-I symmetry and S = 3/2 spin-state. Hyperfine<br />

structure of the TU10 spectrum suggests participation<br />

of two nucleus with spin I = 1/2 and 100 %<br />

abundance in the core of the related defect. Doping<br />

of samples with hydrogen-deuterium mixture revealed<br />

presence of one hydrogen atom in the complex. The<br />

second nucleus with I = 1/2 is apparently a phosphorus<br />

atom. Presence of single iron atom was verified by<br />

doping with iron heaving modified isotope content. An<br />

intensity of the previously reported TU6 signal, related<br />

to iron-phosphorus complex, was significantly suppressed<br />

in hydrogen-doped samples.


(31) Precipitation Enhancement of so Called Defect-Free<br />

Czochralski Silicon Material<br />

T. Müller, G. Kissinger, P. Krottenthaler, C. Seuring,<br />

R. Wahlich, W. von Ammon<br />

Solid State Phenomena 108-109, 11 (<strong>2005</strong>)<br />

Thermal treatments to enhance precipitation like RTA,<br />

ramp anneal and argon anneal were performed on low<br />

oxygen 300 mm wafers without vacancy or interstitial<br />

agglomerates (so called defect-free material). Best results<br />

were achieved using high temperature argon anneal<br />

leading to a homogenous BMD and denuded zone<br />

formation. Furthermore the getter efficiency was positively<br />

tested by intentional Ni-contamination.<br />

Concepts to overcome the slip danger like improved<br />

support geometries and nitrogen codoping were also<br />

evaluated and are seen to be beneficial.<br />

(32) Enhanced Relaxation of SiGe Layers by He<br />

Implantation Supported by in situ Ultrasonic<br />

Treatments<br />

B. Romanjuk, V. Kladko, V. Melnik, V. Popov,<br />

V. Yukhymchuk, A. Gudymenko, Ya. Olikh,<br />

G. Weidner, D. Krüger<br />

Materials Science in Semiconductor Proces-<br />

sing 8(1-3), 171 (<strong>2005</strong>)<br />

Helium implantation-enhanced strain relaxation of SiGe<br />

layers grown pseudomorphically on Si substrates is an<br />

interesting alternative for the creation of strained Si<br />

CMOS structures. Here we demonstrate the applica-<br />

tion of additional in situ ultrasonic treatment (UST) during<br />

He ion implantation for the formation of relaxed<br />

Si 0.8 Ge 0.2 buffer layers. By Raman spectroscopy and<br />

X-ray diffraction we show increased relaxation of the<br />

SiGe layers under the influence of UST. A rectangular<br />

dislocation network with a high dislocation density<br />

of about 10 9 -10 10 cm -2 concentrated near the interface<br />

between the SiGe layer and the Si substrate is<br />

shown by TEM for 100 nm SiGe/Si heterostructures<br />

after heat treatment at 750 °C, 60 s. Application of<br />

ultrasonic waves during He implantation keeps a low<br />

surface roughness of about 0.5 nm.<br />

(33) High-Frequency SiGe:C HBTs With Elevated<br />

Extrinsic Base Regions<br />

H. Rücker, B. Heinemann, R. Barth, D. Knoll,<br />

P. Schley, R. Scholz, B. Tillack , W. Winkler<br />

Materials Science in Semiconductor Proces-<br />

sing 8(1-3), 279 (<strong>2005</strong>)<br />

Erschienene Publikationen Published Papers<br />

This paper reports on the transistor design of highspeed<br />

SiGe HBTs with low parasitic resistances and<br />

capacitances. Elevated extrinsic base regions and a<br />

low-resistance collector design were integrated in a<br />

SiGe:C BiCMOS technology to simultaneously minimize<br />

base and collector resistances and base-collector<br />

capacitance. This technology features CML ring oscillator<br />

delays of 3.6 ps per stage for HBTs with f T /f max<br />

values of 190/243 GHz and a BV CEO of 1.9 V.<br />

(34) Titanium-Added Praseodymium Silicate<br />

High-k Layers on Si(001)<br />

T. Schröder, G. Lupina, J. Dabrowski, A. Mane,<br />

C. Wenger, G. Lippert, H.-J. Müssig<br />

Applied Physics Letters 87(2), 022902 (<strong>2005</strong>)<br />

Titanium-added praseodymium silicate layers on<br />

Si(001) are promising high-k insulators for siliconbased<br />

nanoelectronic devices. Synchrotron radiation<br />

x-ray photoelectron spectroscopy was applied to study<br />

the effect of titanium additives on the praseodymium<br />

silicate/Si system. Nondestructive depth profiling<br />

by variation of the photon energy shows that thermal<br />

annealing activates the diffusion of deposited titanium<br />

into the praseodymium silicate. A homogeneous praseodymium<br />

titanium silicate layer is formed that shows<br />

high-quality electrical properties.<br />

(35) Structure, Twinning Behaviour and Interface<br />

Composition of Epitaxial Si(111) Films<br />

on Pr 2 O 3 (0001)/Si(111) Support Systems<br />

T. Schröder, P. Zaumseil, G. Weidner, G. Lupina,<br />

C. Wenger, H.-J. Müssig, P. Stork,<br />

Journal of Applied Physics 98, 123513 (<strong>2005</strong>)<br />

The structure of epitaxial Si overlayers on a hexagonal<br />

Pr 2 O 3 (0001)/Si(111) substrate system was investigated<br />

by a combination of x-ray reflectivity, specular<br />

x-ray diffraction, off-specular grazing incidence x-ray<br />

diffraction, and transmission electron microscopy.<br />

The Pr 2 O 3 film grows on the Si(111) substrate in the<br />

(0001)-oriented hexagonal phase matching the in-plane<br />

symmetry by aligning the [100] oxide along the bulk<br />

[01] Si direction. The hexagonal Pr 2 O 3 (0001) surface<br />

induces the growth of [111]-oriented cubic-Si epilayers<br />

exhibiting a microstructure which is composed of two<br />

types of domains. The ABC-stacked domains preserve<br />

the crystal orientation of the substrate, while the CBAstacked<br />

domains are rotated by 180°. A depth profile<br />

of the chemical composition of the epi-Si/Pr 2 O 3 /<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 137


138<br />

Erschienene Publikationen Published Papers<br />

Si(111) material stack was recorded by combining ionbeam<br />

sputtering techniques with x-ray photoelectron<br />

spectroscopy.<br />

(36) Structure and Strain Relaxation Mechanisms<br />

of Ultrathin Epitaxial Pr 2 O 3 Film on<br />

Si(111)<br />

T. Schröder, T.-L. Lee, L. Libralesso, I. Joumard,<br />

J. Zegenhagen, P. Zaumseil, C. Wenger, G. Lippert,<br />

J. Dabrowski, H.-J. Müssig<br />

Journal of Applied Physics 97(7), 074906 (<strong>2005</strong>)<br />

The structure of ultrathin epitaxial Pr 2 O 3 films on<br />

Si(111) was studied by synchrotron radiation-grazing<br />

incidence x-ray diffraction. The oxide film grows as<br />

hexagonal Pr 2 O 3 phase with its (0001) plane attached<br />

to the Si(111) substrate. The hexagonal (0001) Pr 2 O 3<br />

plane matches the in-plane symmetry of the hexagonal<br />

Si(111) surface unit cell by aligning the [1010] Pr 2 O 3<br />

along the [112] directions. The small lattice mismatch<br />

of 0.5 % results in the growth of pseudomorphic oxide<br />

films of high crystalline quality with an average domain<br />

size of about 50 nm. The critical thickness tc<br />

for pseudomorphic growth amounts to 3.0±0.5 nm.<br />

The relaxation of the oxide film from pseudomorphism<br />

to bulk behavior beyond t c causes the introduction of<br />

misfit dislocations, the formation of an in-plane small<br />

angle mosaicity structure, and the occurence of a phase<br />

transition towards a (111) oriented cubic Pr 2 O 3 film<br />

structure. The observed phase transition highlights<br />

the influence of the epitaxial interface energy on the<br />

stability of Pr 2 O 3 phases on Si(111). A mechanism is<br />

proposed which transforms the hexagonal (0001) into<br />

the cubic (111) Pr 2 O 3 epilayer structure by rearranging<br />

the oxygen network but leaving the Pr sublattice almost<br />

unmodified.<br />

(37) Impact of Low Temperature Hydrogenation<br />

on Recombination Activity of Dislocations<br />

in Silicon<br />

O.F. Vyvenko, M. Kittler, W. Seifert<br />

Solid State Phenomena 108-109, 151 (<strong>2005</strong>)<br />

Silicon samples doped with gallium and intentionally<br />

contaminated with iron have been studied by means of<br />

electron beam current (EBIC), capacitance voltage (CV)<br />

and deep level transient spectroscopy (DLTS) methods.<br />

Reverse bias anneal (RBA) treatments at temperatures<br />

of 390-420 K were used to move hydrogen and dissolved<br />

iron atoms away from the surface. A new proce-<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

dure was developed to find dislocations lying on desirable<br />

depth from the surface and to analyze the depth<br />

distribution of their recombination contrast. Iron contaminated<br />

dislocations do not noticeably change their<br />

recombination activity when kept in an electrical field<br />

as high as 104 V/cm at 420 K for several hours. This<br />

implies a tight binding of iron atoms at dislocations.<br />

The binding energy of iron with dislocations seems<br />

to be much larger than for Fe-Ga and H-Ga pairs. Low<br />

temperature hydrogenation of iron contaminated dislocations<br />

does not produce any passivation effect. In opposite,<br />

the recombination activity of the dislocations<br />

significantly increases after RBA treatment.<br />

(38) Recombination Activity and Electrical Levels<br />

of Dislocations in p-Type SiGe Structures:<br />

Impact of Copper Contamination and Hydrogenation<br />

O.F. Vyvenko, M. Kittler, W. Seifert, M.V. Trushin<br />

Physica Status Solidi C2 (6), 1852 (<strong>2005</strong>)<br />

Deep levels, associated with misfit dislocations in clean<br />

and copper contaminated p-type Si/Si 0.98 Ge 0.02 /Si structures,<br />

are under consideration. In the as-grown (noncontaminated)<br />

samples dislocations were found to exhibit<br />

a very low recombination activity, detectable with<br />

the electron-beam-induced current technique only at<br />

low temperatures. Deep-level-transient spectroscopy<br />

revealed a dislocation-related hole trap level at E t = E v<br />

+ 0.2 eV which was identified as band-like. The position<br />

of the observed level is close to the theoretically<br />

predicted hole trap state of the intrinsic stacking<br />

fault between a dissociated dislocation. Contamination<br />

with a low copper concentration (5 ppb) gave rise<br />

to a large increase of the recombination activity of<br />

the dislocations and to the appearance of another dislocation-related<br />

defect level at E t = E v + 0.32 eV. Hydrogenation<br />

of the copper contaminated sample by<br />

a treatment with an acid solution and subsequent reverse-bias<br />

anneal at 380 K resulted in the evolution of<br />

the levels of substitutional copper and its complexes<br />

with hydrogen.<br />

(39) MIM Capacitors Using Amorphous High-k<br />

PrTi x O y Dielectrics<br />

C. Wenger, R. Sorge, T. Schröder, A.U. Mane,<br />

G. Lippert, G. Lupina, J. Dabrowski, P. Zaumseil,<br />

H.-J. Müssig<br />

Microelectronic Engineering 80, 313 (<strong>2005</strong>)


Capacitor performance of amorphous PrTi x O y dielectric<br />

films deposited on TiN x metal electrodes to form MIM<br />

structures with Al top electrodes is demonstrated for<br />

the first time. The PrTi x O y capacitors were fabricated<br />

within the temperature budget of back end processes.<br />

Preliminary data on the composition of the dielectric layers<br />

and the interaction of water with the films was obtained<br />

by X-ray photoelectron spectroscopy (XPS). The I(V)<br />

and C(V) device characteristics are discussed.<br />

(40) A 117 GHz LC-Oscillator in SiGe:C BiCMOS<br />

Technology<br />

W. Winkler, J. Borngräber, B. Heinemann<br />

Materials Science in Semiconductor Processing<br />

8(1-3), 459 (<strong>2005</strong>)<br />

In this paper a voltage-controlled oscillator (VCO) is<br />

presented reaching oscillation frequencies well above<br />

100 GHz. The oscillator has been fabricated in a 200 GHz<br />

SiGe:C BiCMOS technology with 0.25 µm minimum feature<br />

size. In the design of the VCO two circuit approaches<br />

were considered. The first used transmissionlines<br />

in the resonator and the second used inductors<br />

above the silicon substrate. It is shown by simulation<br />

that by using inductors a higher oscillation frequency<br />

can be obtained. The fabricated oscillator has a tuning<br />

range from 113.2 to 117.2 GHz at a supply voltage of<br />

-3 V. This oscillation frequency is the highest reported<br />

so far for a silicon-based transistor technology.<br />

(41) High Resolution XRD Characterization of<br />

SiGeC Structures for High Frequency <strong>Microelectronics</strong><br />

Applications<br />

P. Zaumseil<br />

Journal of Alloys and Compounds 401, 254<br />

(<strong>2005</strong>)<br />

It is demonstrated how high resolution X-ray diffractometry<br />

(XRD) in comparison to different other characterization<br />

techniques, reflectometry, spectroscopic ellipsometry,<br />

Auger electron spectroscopy, secondary ion<br />

mass spectroscopy, and transmission electron microscopy,<br />

can be used to analyze the layer properties of<br />

typical SiGeC hetero-bipolar transistor (HBT) structures.<br />

For three different HBTs the parameters of Si cap<br />

and total SiGeC layer thickness, and the maximum Ge<br />

content are measured and the error limits of the different<br />

techniques are discussed. The values obtained<br />

agree very well within the error limits. Concerning layer<br />

thickness an achievable accuracy of about 1 nm is<br />

Erschienene Publikationen Published Papers<br />

realistic and reproducible in a routine process. The<br />

highest accuracy in Ge content determination of about<br />

0.5 % can be realized by XRD and well-calibrated spectroscopic<br />

ellipsometry. XRD measurements in small<br />

(0.5x0.5 mm 2 ) structures show comparable results<br />

with a laboratory source and synchrotron radiation.<br />

(42) A Complex X-Ray Characterization of Epitaxially<br />

Grown High-k Gate Dielectrics<br />

P. Zaumseil, T. Schröder<br />

Journal of Physics D 38, A179 (<strong>2005</strong>)<br />

Different x-ray techniques are used to characterize<br />

Pr 2 O 3 layers epitaxially grown on Si substrates. X-ray<br />

reflectometry is the preferred technique to determine<br />

the layer thickness and to detect and characterize<br />

possible interface layers. With standard x-ray diffraction<br />

(XRD), we found for 100 Si substrates that Pr 2 O 3<br />

grows in its cubic phase with the 110 direction perpendicular<br />

to the surface, while the hexagonal phase<br />

in 0001 orientation is preferred for 111 Si. In the thickness<br />

range of microelectronics applications, Pr 2 O 3 layers<br />

can be considered as well-ordered heteroepitaxial<br />

structures. The relaxation of the oxide layer from<br />

pseudomorphism to bulk behaviour was studied in the<br />

technologically important thickness range(1-10 nm) by<br />

synchrotron radiation grazing incidence XRD.<br />

(43) Structural Characterization of Epitaxial<br />

Si/Pr 2 O 3 /Si(111) Heterostructures<br />

P. Zaumseil, T. Schröder, G. Weidner<br />

Solid State Phenomena 108-109, 741 (<strong>2005</strong>)<br />

The use of heteroepitaxial Si/Pr 2 O 3 /Si(111) systems as<br />

semiconductor-insulator-semiconductor (SIS) stacks in<br />

future applications requires a detailed structural characterization.<br />

We used X-ray reflectivity (XRR) to control<br />

layer thickness and interface roughness, standard<br />

X-ray diffraction (XRD) to analyze the Pr 2 O 3 phase, orien-<br />

tation and crystal perfection, and grazing incidence<br />

XRD to study the thin epitaxial Si top layer. Transmission<br />

electron microscopy (TEM) was used to prove<br />

the results by direct imaging on a microscopic scale.<br />

Pr 2 O 3 grows epitaxially in its hexagonal phase and<br />

(0001) orientation on Si(111) substrates. An epitaxial<br />

Si overgrowth in (111) orientation and good perfection<br />

is possible, but such Si layers exhibit two stacking<br />

twins, one with the same in-plane orientation as the<br />

substrate and one rotated by 180° around the Si [111]<br />

direction.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 139


140<br />

Erschienene Publikationen Published Papers<br />

(44) FTIR Spectroscopic System with Improved<br />

Sensitivity<br />

V.D. Akhmetov, H. Richter<br />

Proc. DRIP XI, 37 (<strong>2005</strong>)<br />

(45) Optimization of Anti-reflective Coatings for<br />

Lithography Applications<br />

J. Bauer, S. Virko, B. Kuck, T. Grabolla, V. Melnik,<br />

O. Fursenko, W. Mehr<br />

Proc. SPIE 5835, 263 (<strong>2005</strong>)<br />

(46) Optimization of Anti-reflective Coatings for<br />

Lithography Application<br />

J. Bauer, S. Virko, B. Kuck, T. Grabolla, V. Melnik,<br />

O. Fursenko, W. Mehr<br />

GMM-Fachberichte, 177 (<strong>2005</strong>)<br />

(47) A Low-Power, 10 Gs/s Track-and-Hold Amplifier<br />

in SiGe BiCMOS Technology<br />

Y. Borokhovych, H. Gustat, B. Tillack, B. Heinemann,<br />

Y. Lu, W.-M. Lance Kuo, X. Li, R. Krithi-<br />

vasan, J.D. Cressler<br />

Proc. ESSCIRC, 263 (<strong>2005</strong>)<br />

(48) Area Efficient Hardware Implementation of<br />

Elliptic Curve Cryptography by Iteratively<br />

Applying Karatsuba‘s Method<br />

Z. Dyka, P. Langendörfer<br />

Proc. Design Automation and Test (DATE), 70<br />

(<strong>2005</strong>)<br />

(49) Enhanced GALS Techniques for Datapath Applications<br />

E. Grass, F. Winkler, M. Krstic, A. Julius, C. Stahl,<br />

M. Piz<br />

Integrated Circuit and System Design, 15 th Int.<br />

Workshop PATMOS, Berlin Springer Verlag, 581<br />

(<strong>2005</strong>)<br />

(50) NOR/OR Register Based ECL Circuits for<br />

Maximum Data Rate<br />

H. Gustat, J. Borngräber<br />

Proc. BCTM, 90 (<strong>2005</strong>)<br />

(51) System-Level Simulation of a Noisy Phase-<br />

Locked Loop<br />

F. Herzel<br />

Proc. 13 th European Gallium Arsenide and other Semiconductor<br />

Application Symposium, 193 (<strong>2005</strong>)<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

(52) Frequency Synthesis for 60 GHz OFDM Systems<br />

F. Herzel, M. Piz, E. Grass<br />

Proc.10 th International OFDM Workshop, 303<br />

(<strong>2005</strong>)<br />

(53) Combination of Optical Measurements and<br />

Precipitation Theory to Overcome the Obstacles<br />

of Detection Limits<br />

G. Kissinger, T. Müller, A. Sattler, W. Häckl, P. Krottenthaler,<br />

T. Grabolla, H. Richter, W. von Ammon<br />

Proc. DRIP XI, 67 (<strong>2005</strong>)<br />

(54) Dislocation Engineering for a Si-Based Light<br />

Emitter at 1.5 µm<br />

M. Kittler, M. Reiche, T. Arguirov, W. Seifert, X. Yu<br />

Technical Digest IEDM, 1027 (<strong>2005</strong>)<br />

(55) A Low-Cost SiGe:C BiCMOS Technology with<br />

Embedded Flash Memory and Complementary<br />

LDMOS Module<br />

D. Knoll, A. Fox, K.-E. Ehwald, R. Barth, A. Fischer,<br />

B. Heinemann, H. Rücker, P. Schley, R. Scholz,<br />

F. Korndörfer, B. Senapati, V.E. Stikanov, B. Tillack,<br />

W. Winkler, Ch. Wolf, P. Zaumseil<br />

Proc. BCTM, 132 (<strong>2005</strong>)<br />

(56) BIST Testing for GALS Systems<br />

M. Krstic, E. Grass<br />

Proc. 8 th EUROMICRO Conference on Digital System<br />

Design - Architecture, Methods and Tools<br />

(DSD), 10 (<strong>2005</strong>)<br />

(57) Request-driven GALS Technique for Wireless<br />

Communication System<br />

M. Krstic, E. Grass, C. Stahl<br />

Proc. IEEE International Symposium on Asynchronous<br />

Circuits and Systems (ASYNC), 76 (<strong>2005</strong>)<br />

(58) Privacy and Convenient Up Time of Mobile<br />

Devices: An Antagonism?<br />

P. Langendörfer<br />

Proc. Research Trends in Science and Technology<br />

(RTST), Abstract book, 3 (<strong>2005</strong>)<br />

(59) More Privacy in Context-aware Platforms:<br />

User Controlled Access Right Delegation<br />

Using Kerberos<br />

P. Langendörfer, K. Piotrowski


Proc. 4 th WSEAS International Conference on Information<br />

Security, Communications and Computers<br />

(ISCOCO), 542 (<strong>2005</strong>)<br />

(60) Charged Location Aware Services<br />

P. Langendörfer, K. Piotrowski, M. Maaser<br />

Proc. IEEE International Conference on Mobile<br />

Business (IC<strong>MB</strong>), 116 (<strong>2005</strong>)<br />

(61) Implementation Independent Profiling of<br />

SDL Specifications<br />

P. Langendörfer, M. Lehmann<br />

Proc. Software Engineering, 155 (<strong>2005</strong>)<br />

(62) Is the IEEE 802.11 MAC Layer Suitable for<br />

Car-to-Car Communication ?<br />

W. Lohmann, J.-P. Ebert, M. Grade, A. Lübke,<br />

R. Kraemer<br />

Proc. 1 st Workshop on Wireless Vehicular Communications<br />

and Services for Breakdown Support<br />

and Car Maintenance (W-CarsCare‘05), 28<br />

(<strong>2005</strong>)<br />

(63) A 8-bit, 12 GSample/sec SiGe Track-and-<br />

Hold Amplifier<br />

Y. Lu, W-M. L. Kuo, X. Li, R. Krithivasan, J.D.<br />

Cressler, Y. Borokhovych, H. Gustat, B. Tillack,<br />

B. Heinemann<br />

Proc. BCTM, 148 (<strong>2005</strong>)<br />

(64) Automated Negotiation of Privacy Contracts<br />

M. Maaser, P. Langendörfer<br />

Proc. 29 th <strong>Annual</strong> International Computer Software<br />

and Applications Conference, 505 (<strong>2005</strong>)<br />

(65) An Efficient Strategy of Processing Distributed<br />

Location Based Events<br />

O. Maye<br />

Proc. IEEE International Conference on Pervasive<br />

Services (ICPS‘05), 218 (<strong>2005</strong>)<br />

(66) The Impact of Channel Engineering on the<br />

Performance and Reliability of LDMOS Transistors<br />

N.R. Mohapatra, K.-E. Ehwald, R. Barth, H. Rücker,<br />

D. Bolze, P. Schley, D. Schmidt, H.-E. Wulf<br />

Proc. ESSDERC, 481 (<strong>2005</strong>)<br />

Erschienene Publikationen Published Papers<br />

(67) Design of Wireless Systems Utilizing<br />

Scratchpad Memories<br />

G. Panic, Z. Stamenkovic, K. Tittelbach-Helmrich,<br />

J. Lehmann, G. Schoof<br />

Proc. IP Based SoS Design (IP-SOC), 221 (<strong>2005</strong>)<br />

(68) Charged Location Aware Services<br />

K. Piotrowski, P. Langendörfer, M. Maaser,<br />

G. Spichal, P. Schwander<br />

Proc. International Workshop on Wireless Information<br />

Systems (WIS-<strong>2005</strong>), 33 (<strong>2005</strong>)<br />

(69) Plasma Etching of Carbon Hard Mask Stacks<br />

for Sub-100nm Technologies<br />

H.H. Richter, K.A. Pears, M. Markert, S. Günther,<br />

S. Marschmeyer, H. Silz, G. Weidner, H. Kirmse,<br />

W. Neumann<br />

Proc. 12. Bundesdeutsche Fachtagung Plasmatechnologie,132<br />

(<strong>2005</strong>)<br />

(70) A Class AB 6 th Order Log-Domain Filter in<br />

BiCMOS with 100-500 MHz Tuning Range<br />

K. Schmalz, M.A. Teplechuk, J.I. Sewell<br />

Proc. European Conference on Circuit Theory<br />

and Design (ECCTD) II, 111 (<strong>2005</strong>)<br />

(71) Hazard Detection in GALS Wrapper: A Case<br />

Study<br />

C. Stahl, W. Reisig, M. Krstic<br />

Proc. 5 th Int. Conf. on Application of Currency to<br />

System Design (ASCD), 234 (<strong>2005</strong>)<br />

(72) A Fully Integrated 60 GHz LNA in SiGe:C<br />

BiCMOS Technology<br />

Y. Sun, J. Borngräber, F. Herzel, W. Winkler<br />

Proc. IEEE Bipolar/BiCMOS Circuits and Technology<br />

(BCTM), 14 (<strong>2005</strong>)<br />

(73) Atomic Layer Processing for Doping of SiGe<br />

B. Tillack, Y. Yamamoto, D. Bolze, B. Heinemann,<br />

H. Rücker, J. Murota, W. Mehr<br />

Proc. 1 st International Workshop on New Group<br />

IV Semiconductor Nanoelectronics, Program &<br />

Abstracts, 11 (<strong>2005</strong>)<br />

(74) Atomic Control of SiGe Epitaxy and Doping<br />

B. Tillack, Y. Yamamoto, K.-D. Bolze, B. Heinemann,<br />

H. Rücker, D. Knoll, D. Wolansky, J. Murota,<br />

W. Mehr<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 141


Erschienene Publikationen Published Papers<br />

142<br />

Proc. of the 4 th International Conference on Silicon<br />

Epitaxy and Heterostructures (ICSI-4), Program<br />

& Abstracts, 82 (<strong>2005</strong>)<br />

(75) Low-Complexity Initialization of Adaptive<br />

Equalizers Using Approximate Channel Inverse<br />

G. Wang, R. Kraemer<br />

Proc. 5 th IEEE International Symposium on Signal<br />

Processing and Information Technology<br />

(IEEE ISSPIT <strong>2005</strong>), 694 (<strong>2005</strong>)<br />

(76) Low-Power 71 GHz Static Frequency Divider<br />

in SiGe:C HBT Technology<br />

L. Wang, J. Borngräber, G. Wang, Z. Gu, A. Thiede<br />

International Microwave Symposium (IEEE<br />

MTT-S) P. (<strong>2005</strong>)<br />

(77) 60 GHz Circuits in SiGe HBT Technology<br />

W. Winkler<br />

Proc. Compound Semiconductor IC Symposium<br />

(CSICS),109 (<strong>2005</strong>)<br />

(78) Millimeter-Wave Integrated Circuits in SiGe:C<br />

BiCMOS Technology<br />

W. Winkler<br />

Proc. Microwave Workshop and Exhibition (MWE<br />

<strong>2005</strong>), 459 (<strong>2005</strong>)<br />

(79) A Fully Integrated BiCMOS PLL for 60 GHz<br />

Wireless Applications<br />

W. Winkler, J. Borngräber, B. Heinemann, F. Herzel<br />

Proc. International Solid State Circuits Conference<br />

(ISSCC <strong>2005</strong>), 406 (<strong>2005</strong>)<br />

(80) Chemical Vapor Phase Etching of Polycrystalline<br />

Selective to Epitaxial SiGe<br />

Y. Yamamoto, B. Tillack, K. Köpke, O. Fursenko<br />

Proc. 4 th International Conference on Silicon Epitaxy<br />

and Heterostructures (<strong>2005</strong>)<br />

(81) P Doping Control During SiGe:C Epitaxy<br />

Y. Yamamoto, B. Tillack, K. Köpke, R. Kurps<br />

Proc. of the 4 th International Conference on Silicon<br />

Epitaxy and Heterostructures, 200 (<strong>2005</strong>)<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

(82) X-Ray Reflectivity Characterization of Thin<br />

Film and Multilayer Structures<br />

P. Zaumseil<br />

Materials for Information Technology / E. Zschech,<br />

C. Whelan, T. Mikolajick. – Berlin, Springer Verlag<br />

(<strong>2005</strong>)


Eingeladene Vorträge<br />

Invited Presentations<br />

(1) Atomic-Scale Properties of High-k Dielectric<br />

for CMOS: ab-initio Study of Pr-based<br />

Materials<br />

J. Dabrowski, A. Fleszar<br />

69. <strong>Annual</strong> Meeting of the DPG, Berlin, March<br />

04-09, <strong>2005</strong>, Germany<br />

(2) Pseudopotential Studies of Pr Oxides: Electronic<br />

Properties, Native Defects and Impurities<br />

J. Dabrowski, A. Fleszar<br />

ESF Exploratory Workshop on: Rare Earth Oxide<br />

Thin Films: Growth, Characterization and Applications,<br />

San Remo, May 11-13, <strong>2005</strong>, Italy<br />

(3) On Emerging Automotive Safety by Sensorbased<br />

Assistance Technology<br />

J. deMeer<br />

Berlin – Prague – Vienna - European Competence<br />

and Vision for Future Transport Technologies<br />

– Workshop on Automotive Technologies,<br />

Brussels, September 22, <strong>2005</strong>, Belgium<br />

(4) Berichterstattung aus NI27b IT Sicherheitstechnologie<br />

J. deMeer<br />

DIN Normenausschuß Informationstechnik NI27<br />

– IT Sicherheitstechnologien und NI37 – Biometrie<br />

und Sicherheit, Frankfurt (Oder), Septem-<br />

ber 15-16, <strong>2005</strong>, Germany<br />

(5) On Automotive Safety Related to IST 7 th<br />

Framework Programme<br />

J. deMeer<br />

TSB - Arbeitskreis Verkehrstelematik & Logistik,<br />

Berlin, May 11, <strong>2005</strong>, Germany<br />

(6) Körpernahe Funknetze zur Fernüberwachung<br />

des Gesundheitszustandes von Patienten<br />

J.-P. Ebert, D. Dietterle<br />

14. Sommertagung der Berliner Chirurgischen<br />

Gesellschaft, September 01, <strong>2005</strong>, Frankfurt<br />

(Oder)<br />

Eingeladene Vorträge Invited Presentations<br />

(7) SiGe:C BiCMOS-Technologie für 77-81 GHz<br />

Radarsysteme im Automobilbau<br />

G.G. Fischer<br />

MicroCar <strong>2005</strong>, Leipzig, June 22, <strong>2005</strong>, Germany<br />

(8) Electron Holography in Si Research<br />

P. Formanek, M. Kittler<br />

2 nd Sino-German Symposium “The Silicon Age”,<br />

Cottbus, September 22, <strong>2005</strong>, Germany<br />

(9) European Research Institutes of Excellence<br />

H.G. Grimmeiss, W. Mehr<br />

University Madrid, October <strong>2005</strong>, Spain<br />

(10) Defects in Large Diameter CZ Silicon<br />

G. Kissinger<br />

2 nd Sino-German Symposium “The Silicon Age”,<br />

Cottbus, September 22, <strong>2005</strong>, Germany<br />

(11) Silicon-Based Light Emission after Ion Implantation:<br />

Role of Defects and of Crystalline<br />

Perfection<br />

M. Kittler<br />

CNR-IMM Bologna, February 2, <strong>2005</strong>, Italy<br />

(12) Self Organized Pattern Formation of Biomolecules<br />

at Si Surfaces<br />

M. Kittler, X. Yu, O.F. Vyvenko, M. Birkholz, W. Seifert,<br />

M. Reiche, T. Wilhelm, T. Arguirov, A. Wolff,<br />

W. Fritzsche, M. Seibt<br />

E-MRS Spring Meeting, Strasbourg, May 31 –<br />

June 03, <strong>2005</strong>, France<br />

(13) Location Based Services and Requirements<br />

on Positioning and Communication<br />

R. Kraemer<br />

Workshop on Positioning, Navigation and Communication<br />

<strong>2005</strong> (WPNC‘05), Hanover, March 17,<br />

<strong>2005</strong>, Germany<br />

(14) Am Anfang gab es nur eine Idee<br />

R. Kraemer<br />

Workshop Science2Market-Tag, Frankfurt (Oder),<br />

November 02, <strong>2005</strong>, Germany<br />

(15) From RFID to Flexible Sensor Networks,<br />

Models, Concepts, and Architectures<br />

R. Kraemer<br />

International Workshop on Radio Frequency Identification<br />

(RFID) and Wireless Sensors, Kanpur,<br />

November 11-13, <strong>2005</strong>, India<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 143


Eingeladene Vorträge Invited Presentations<br />

(16) A Highly Integrated Gbit Communication<br />

System in the 60 GHz Band<br />

R. Kraemer<br />

15 th Meeting of the WWRF, Paris, December<br />

08-09, <strong>2005</strong>, France<br />

(17) <strong>IHP</strong> – Europäisches Forschungs- und Innovationszentrum<br />

für drahtlose Kommunikation<br />

W. Mehr<br />

Technologietag „Halbleiterelektronik und Informationstechnologie<br />

in Mitteldeutschland“, Berlin,<br />

June 15, <strong>2005</strong>, Germany<br />

(18) SiGe-Technologien für Schaltkreise und Systeme<br />

der drahtlosen Kommunikation<br />

W. Mehr<br />

Forschungsseminar, TFH Wildau, December <strong>2005</strong>,<br />

Germany<br />

(19) <strong>IHP</strong> – Auf dem Weg zum europäischen Innovationszentrum<br />

W. Mehr<br />

Workshop Science2Market-Tag, Frankfurt (Oder),<br />

November 02, <strong>2005</strong>, Germany<br />

(20) SiGe HBT Integration in CMOS for Radio Frequency<br />

Applications<br />

W. Mehr, B. Tillack, D. Knoll, B. Heinemann,<br />

H. Rücker<br />

2 nd Sino-German Symposium “The Silicon Age”,<br />

Cottbus, September 22, <strong>2005</strong>, Germany<br />

(21) Solid Phase Reaction Growth of Rare Earth<br />

Oxides<br />

H.-J. Müssig<br />

ESF Exploratory Workshop on: Rare Earth Oxide<br />

Thin Films: Growth, Characterization and Applications,<br />

San Remo, May 11-13, <strong>2005</strong>, Italy<br />

(22) Dielectrics for Future Si-based Device Technology<br />

T. Schröder<br />

International Max-Planck Research Summer School<br />

Interfaces of Oxides, Stuttgart, August 4-8, <strong>2005</strong>,<br />

Germany<br />

(23) Preparation and Properties of Heteroepitaxial<br />

Praseodymium Oxide Films on Si(001)<br />

and Si(111): Basic Research for Industrial<br />

Applications<br />

144<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

T. Schröder, P. Zaumseil, C. Wenger, G. Lippert,<br />

G. Lupina, H.-J. Müssig<br />

Materialwissenschaftliches Seminar, Bremen,<br />

February 10, <strong>2005</strong>, Germany<br />

(24) Si-basierte Photovoltaik: Gegenwart und<br />

Zukunftsperspektiven<br />

W. Seifert, M. Kittler<br />

Innovationstag Energie <strong>2005</strong>, BTU Cottbus,<br />

June 01-02, <strong>2005</strong>, Germany<br />

(25) Atomically Controlled Processing for Si and<br />

SiGe Micro- and Nanotechnology<br />

B. Tillack, Y. Yamamoto, B. Heinemann, H. Rücker,<br />

D. Knoll, J. Murota<br />

Nanoscience <strong>2005</strong>, Lichtenwalde, October 05-08,<br />

<strong>2005</strong>, Germany<br />

(26) Atomic Layer Processing for Doping of SiGe<br />

B. Tillack, Y. Yamamoto, D. Bolze, B. Heinemann,<br />

H. Rücker, J. Murota, W. Mehr<br />

1 st International Workshop on New Group IV<br />

Semiconductor Nanoelectronics, Sendai, May 27-<br />

28, <strong>2005</strong>, Japan<br />

(27) Atomic Control of SiGe Epitaxy and Doping<br />

B. Tillack, Y. Yamamoto, K.-D. Bolze, H. Rücker,<br />

B. Heinemann, D. Knoll, D. Wolansky, J. Murota,<br />

W. Mehr<br />

4 th International Conference on Silicon Epitaxy and<br />

Heterostructures, Awaji Island, Hyogo, May 23-26,<br />

<strong>2005</strong>, Japan<br />

(28) 60 GHz Circuits in SiGe HBT Technology<br />

W. Winkler<br />

Compound Semiconductor IC Symposium<br />

(CSICS), Palm Springs, October 30, <strong>2005</strong>, USA<br />

(29) Millimeter-Wave Integrated Circuits in SiGe:<br />

C BiCMOS Technology<br />

W. Winkler<br />

MWE <strong>2005</strong>, Microwave Workshop and Exhibition<br />

<strong>2005</strong>, Yokohama, November 09-11, <strong>2005</strong>,<br />

Japan<br />

(30) Impacts on Contact Resistance for 0.25 µm<br />

AI-BEOL<br />

D. Wolansky<br />

Applied Materials PVD & MOCVD User Workshop,<br />

Dresden, May 11, <strong>2005</strong>, Germany


Vorträge<br />

Presentations<br />

(1) FTIR Study of Precipitation of Implanted Nitrogen<br />

in CZ-Si Annealed under High Hydrostatic<br />

Pressure<br />

V.D. Akhmetov , A. Misiuk, H. Richter<br />

11 th GADEST Conference, Giens, September 25-30,<br />

<strong>2005</strong>, France<br />

(2) FTIR Spectroscopic System with Improved<br />

Sensitivity<br />

V.D. Akhmetov, H. Richter<br />

DRIP XI, Beijing, September 15-19, <strong>2005</strong>, China<br />

(3) Pressure – Induced Transformations of Nitrogen<br />

Implanted into Silicon<br />

V.D. Akhmetov, A. Misiuk, A. Barcz, H. Richter<br />

2 nd Sino-German Symposium “The Silicon Age”,<br />

Cottbus, September 22, <strong>2005</strong>, Germany<br />

(4) Application of Photoluminescence for Silicon<br />

Materials Research<br />

T. Arguirov, M. Kittler, W. Seifert, X. Yu, M. Reiche<br />

2 nd Sino-German Symposium “The Silicon Age”,<br />

Cottbus, September 22, <strong>2005</strong>, Germany<br />

(5) Untersuchungen am Wacker-Granalien-Block<br />

mit EBIC, DLTS und PL<br />

T. Arguirov, G. Jia, W. Seifert, M. Kittler<br />

Arbeitstreffen ASIS-Verbundprojekt, Ochsenfurt,<br />

March <strong>2005</strong>, Germany<br />

(6) Silicon-based Light Emission Devices<br />

T. Arguirov, M. Kittler, W. Seifert, X. Yu<br />

E-MRS Spring Meeting, Strasbourg, May 31 –<br />

June 03, <strong>2005</strong>, France<br />

(7) Spatially and Spectrally Resolved Photoluminescence<br />

for Characterization of Multicrystalline<br />

Silicon<br />

T. Arguirov, W. Seifert, G. Jia, M. Kittler<br />

Arbeitstreffen ASIS-Verbundprojekt, Ochsenfurt,<br />

March <strong>2005</strong>, Germany<br />

(8) Optimization of Anti-reflective Coatings for<br />

Lithography Application<br />

J. Bauer, O. Fursenko, S. Virko, T. Grabolla,<br />

B. Kuck, V. Melnik, W. Mehr<br />

Vorträge Presentations<br />

21. European Mask and Lithography Conference<br />

EMLC, Dresden, January 31 - February 03, <strong>2005</strong>,<br />

Germany<br />

(9) Swing Curves: High NA Effect and Determination<br />

of Optical Constants<br />

J. Bauer, U. Haak, A Woroniecki, M. Szuggars<br />

TEL Process Seminar, Dresden, June 03, <strong>2005</strong>,<br />

Germany<br />

(10) Technologische Anwendungen von Excimer-<br />

und CO 2 -Impulslasern<br />

H. Beyer, W. Roß, P. Schmidt<br />

Laser in der Feinbearbeitung, Laserverbund Berlin-Brandenburg,<br />

Teltow, October 22, <strong>2005</strong>, Germany<br />

(11) Untersuchung zur Immobilisierung von Biomolekülen<br />

auf Halbleiteroberflächen mit der<br />

Methode der Röntgenreflektometrie<br />

M. Birkholz<br />

Joint Lab der Brandenburgisch-Technischen Universität<br />

Cottbus, June 28, <strong>2005</strong>, Germany<br />

(12) Perspektiven zur Untersuchung von Biomaterialien<br />

durch Immobilisierung auf CMOS/<br />

BiCMOS-Höchstfrequenz-Bauteilen<br />

M. Birkholz<br />

Fachbereich Physik der Freien Universität Berlin,<br />

June 13, <strong>2005</strong>, Germany<br />

(13) A Low-Power, 10 Gs/s Track-and-Hold Amplifier<br />

in SiGe BiCMOS Technology<br />

Y. Borokhovych, H. Gustat, B. Tillack, Y. Lu,<br />

B. Heinemann, W.-M. Lance Kuo, X. Li, R. Krithivasan,<br />

J.D. Cressler<br />

ESSCIRC <strong>2005</strong>, Grenoble, September 12-16,<br />

<strong>2005</strong>, France<br />

(14) ADS RFDE Simulation: An Overview<br />

P.K. Datta<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Tutorial, Frankfurt (Oder), September<br />

22-23, <strong>2005</strong>, Germany<br />

(15) EUSAT – On Automotive Safety Technology –<br />

A Derived WINcell Middleware Application<br />

J. deMeer<br />

Galileo Anwendungszentrum Berlin-Brandenburg –<br />

Technologiestiftung Berlin, July 25, <strong>2005</strong>, Germany<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 145


Vorträge Presentations<br />

(16) Berichterstattung aus EU EUSAT Project<br />

Preparation<br />

J. deMeer<br />

Technologiestiftung Innovationszentrum Berlin<br />

(TSB)<br />

Workshop on Berlin-Prag-Wien-European Competence<br />

and Vision for Future Transport Technologies<br />

to IST, 7 th Framework Programme, Berlin,<br />

July 28, <strong>2005</strong>, Germany<br />

(17) Berichterstattung vom QoS-MW Workshop<br />

J. deMeer<br />

IWQoS - Quality of Service Workshop <strong>2005</strong>, Passau,<br />

June 21-23, <strong>2005</strong>, Germany<br />

(18) Feature Demonstration of PLASMA – the <strong>IHP</strong><br />

Mobile Business Platform<br />

J. deMeer<br />

IWQoS - Quality of Service Workshop <strong>2005</strong>, Passau,<br />

June 21-23, <strong>2005</strong>, Germany<br />

(19) WINcell Projektberichterstattung<br />

J. deMeer<br />

Meeting of B<strong>MB</strong>F Wachstumskern XML: City to<br />

the Topic: Plattform für Intelligente Kollaborationsportale<br />

PINK EADS Berlin, June 07, <strong>2005</strong>,<br />

Germany<br />

(20) Berichterstattung vom GI Middlewareforum<br />

J. deMeer<br />

Coordination Meeting of National GI Regional<br />

Group Chairs<br />

Technical University of Brandenburg, Cottbus,<br />

June 02, <strong>2005</strong>, Germany<br />

(21) Electronic Assistance for Automation Processing<br />

– Advances<br />

J. deMeer<br />

EU STREP RESIDUAL 2 nd Project Preparation<br />

Meeting, Berlin, May 03-04, <strong>2005</strong>, Germany<br />

(22) Digital Rights Management<br />

J. deMeer<br />

4 th (Spring) Workshop of GI Regionalgruppe Berlin,<br />

Schutzrechte für Inhalte und Software, TU<br />

Berlin, April 12, <strong>2005</strong>, Germany<br />

(23) Electronic Assistance for Automation Processing<br />

– Foundations<br />

J. deMeer<br />

146<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

EU STREP RESIDUAL 1 st Project Preparation Meeting,<br />

due to FP6-2002-IST-C, Berlin, January 31 -<br />

February 02, <strong>2005</strong>, Germany<br />

(24) Berichterstattung aus Normungsprojekten<br />

J. deMeer<br />

Regular Meeting DIN NI17.3 on Maschinenlesbare<br />

Reisedokumente, Berlin, February 08,<br />

<strong>2005</strong>, Germany<br />

(25) Interdisziplinäre Entwicklung Interaktiver<br />

Mobiler Sensorsysteme<br />

J. deMeer<br />

Graduiertenkolleg der TU Berlin, <strong>2005</strong>, Germany<br />

(26) BASUMA – Body Area System for Ubiquitous<br />

Multimedia Application<br />

J.-P. Ebert, D. Dietterle<br />

Treffen des Vereins Brandenburgischer Ingenieure<br />

und Wirtschaftler, June 02, <strong>2005</strong>, Frankfurt (O.)<br />

(27) Potential and Limitation of Electron Holography<br />

in Si Research<br />

P. Formanek, M. Kittler<br />

11 th GADEST Conference, Giens, September 25-30,<br />

<strong>2005</strong>, France<br />

(28) Measurement Errors in Phase Images due to<br />

Noise and Limited Resolution<br />

P. Formanek<br />

Microscopy Conference, Davos, August 28 –<br />

September 02, <strong>2005</strong>, Switzerland<br />

(29) Technology Monitoring for Quality Assurance<br />

T. Grabolla, P. Schley<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Frankfurt (Oder), September 21,<br />

<strong>2005</strong>, Germany<br />

(30) Asynchronous Circuit Design Techniques: An<br />

Overview<br />

E. Grass<br />

GALS Workshop, Humboldt Universität zu Berlin,<br />

January 21, <strong>2005</strong>, Germany<br />

(31) Implementation Aspects of Gbit/s Communication<br />

Systems in the 60 GHz Band<br />

E. Grass, F. Herzel, M. Piz, Y. Sun, R. Kraemer<br />

Wireless World Research Forum (WWRF) / WG5,<br />

San Diego, July 07-08, <strong>2005</strong>, USA


(32) Enhanced GALS Techniques for Datapath Applications<br />

E. Grass, F. Winkler, M. Krstic, A. Julius, C. Stahl,<br />

M. Piz<br />

PATMOS <strong>2005</strong>, Leuven, September 20-23, <strong>2005</strong>,<br />

Belgium<br />

(33) Draft PHY Proposal for 60 GHz WPAN<br />

E. Grass, M. Piz, F. Herzel, R. Kraemer<br />

IEEE 802.15 Meeting, Vancouver, November <strong>2005</strong>,<br />

Canada<br />

(34) Components for High-speed A/D Converters<br />

H. Gustat<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Frankfurt (Oder), September 21,<br />

<strong>2005</strong>, Germany<br />

(35) NOR/OR Register Based ECL Circuits for<br />

Maximum Data Rate<br />

H. Gustat, J. Borngräber<br />

<strong>2005</strong> IEEE Bipolar/BiCMOS Circuits and Technology<br />

(BCTM <strong>2005</strong>), Santa Barbara, October 10-11,<br />

<strong>2005</strong>, USA<br />

(36) System-Level Simulation of a Noisy Phase-<br />

Locked Loop<br />

F. Herzel, M. Piz<br />

35 th European Microwave Conference <strong>2005</strong>, Paris,<br />

October 03-04, <strong>2005</strong>, France<br />

(37) 60 GHz Transceiver Components<br />

F. Herzel, M. Piz<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Frankfurt (Oder), September 21,<br />

<strong>2005</strong>, Germany<br />

(38) Frequency Synthesis for 60 GHz OFDM Systems<br />

F. Herzel, M. Piz, E. Grass<br />

10 th International OFDM Workshop, Hamburg,<br />

August 31 - September 1, <strong>2005</strong>, Germany<br />

(39) Annealing Behavior of New Nitrogen Infrared<br />

Absorption Peaks in Cz Silicon<br />

H. Inoue, M. Nakatsu, K. Tanahashi, H. Yamada-<br />

Kaneta, H. Ono, V.D. Akhmetov, O. Lysytskiy,<br />

H. Richter<br />

11 th GADEST Conference, Giens, September 25-30,<br />

<strong>2005</strong>, France<br />

Vorträge Presentations<br />

(40) As-grown Defects in Germanium Studied by<br />

Brewster Angle LST and Etching<br />

G. Kissinger<br />

2 nd CADRES Germanium Workshop, Brussels,<br />

December 12, <strong>2005</strong>, Belgium<br />

(41) Oxygen Precipitation in Nitrogen Doped Silicon<br />

G. Kissinger, T. Müller, A. Sattler, U. Lambert,<br />

W. Häckl, M. Weber, A. Huber, P. Krottenthaler,<br />

H. Richter, W. von Ammon<br />

11 th GADEST Conference, Giens, September 25-30,<br />

<strong>2005</strong>, France<br />

(42) A Contribution to Oxide Precipitate Nucleation<br />

in Nitrogen Doped Silicon<br />

G. Kissinger, U. Lambert, M. Weber, F. Bittersberger,<br />

T. Müller, H. Richter, W. von Ammon<br />

Oxford Meeting on Nitrogen in Silicon, December<br />

08, <strong>2005</strong>, UK<br />

(43) Future Silicon Wafers<br />

G. Kissinger<br />

Siltronic AG, Burghausen, December 16, <strong>2005</strong>,<br />

Germany<br />

(44) Combination of Optical Measurements and<br />

Precipitation Theory to Overcome the Obstacles<br />

of Detection Limits<br />

G. Kissinger, T. Müller, A. Sattler, W. Häckl, P. Krottenthaler,<br />

T. Grabolla, H. Richter, W. von Ammon<br />

DRIP XI, Beijing, September 15-19, <strong>2005</strong>, China<br />

(45) Si Based Light Emitters<br />

M. Kittler<br />

2 nd Sino-German Symposium “The Silicon Age”,<br />

Cottbus, September 22, <strong>2005</strong>, Germany<br />

(46) Dependence of Electrical and Optical Properties<br />

of Si on Defects and Impurities<br />

M. Kittler<br />

SiWEDS Fall Meeting, Seoul, October 13-14, <strong>2005</strong>,<br />

Korea<br />

(47) Dislocation Engineering for a Silicon-Based<br />

Light Emitter at 1.5 µm<br />

M. Kittler, M. Reiche, T. Arguirov, W. Seifert, X. Yu<br />

International Electron Devices Meeting (IEDM<br />

<strong>2005</strong>), Washington, December 05-12, <strong>2005</strong>,<br />

USA<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 147


Vorträge Presentations<br />

(48) Si-Based Light Emission after Ion Implantation<br />

M. Kittler, T. Arguirov, A. Fischer, W. Seifert, X. Yu<br />

3 rd Optoelectronic and Photonic Winter School<br />

Optical Interconnects, Trento, February 27 –<br />

March 04, <strong>2005</strong>, Italy<br />

(49) Silicon Based Light Emitters for On-Chip<br />

Optical Interconnects<br />

M. Kittler, T. Arguirov, W. Seifert, X. Yu, M. Reiche<br />

11 th GADEST Conference, Giens, September 25-30,<br />

<strong>2005</strong>, France<br />

(50) Arbeitsergebnisse des <strong>IHP</strong> und <strong>IHP</strong>/BTU<br />

Joint Lab zum ASiS-Projekt<br />

M. Kittler, W. Seifert, T. Arguirov, G. Jia, Q. Wie,<br />

O. Vyvenko<br />

Arbeitstreffen ASiS-Verbundprojekt, Ochsenfurt,<br />

September <strong>2005</strong>, Germany<br />

(51) Regular Dislocation Networks in Si as a Tool<br />

for Nanostructure Devices<br />

M. Kittler, X. Yu et al.<br />

Optics East, SPIE-Symposium 6003, Boston, October<br />

23-26, <strong>2005</strong>, USA<br />

(52) SiGe:C BiCMOS Technology Development for<br />

High Speed and Low Cost Applications<br />

D. Knoll<br />

Philips Research Leuven, June 29, <strong>2005</strong>, Belgium<br />

(53) <strong>IHP</strong>‘s 0.25 µm BiCMOS Technologies<br />

D. Knoll<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Frankfurt (Oder), September 21,<br />

<strong>2005</strong>, Germany<br />

(54) A Low-Cost SiGe:C BiCMOS Technology with<br />

Embedded Flash Memory and Complementary<br />

LDMOS Module<br />

D. Knoll, A. Fox, K.-E. Ehwald, B. Heinemann, R.<br />

Barth, A. Fischer, H. Rücker, P. Schley, R. Scholz,<br />

F. Korndörfer, B. Senapati, V.E. Stikanov, B. Tillack,<br />

W. Winkler, Ch. Wolf, P. Zaumseil<br />

<strong>2005</strong> IEEE Bipolar/BiCMOS Circuits and Technology<br />

(BCTM <strong>2005</strong>), Santa Barbara, October 10-11,<br />

<strong>2005</strong>, USA<br />

148<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

(55) Integrating Mobile Devices into E-business<br />

Architectures: Open Issues and Potential<br />

Solutions<br />

R. Kraemer, P. Langendörfer<br />

DECUS IT-Symposium <strong>2005</strong>, Neuss, April 05,<br />

<strong>2005</strong>, Germany<br />

(56) Wireless Engines: Ein vertikales Verfahren<br />

zur Entwicklung neuer drahtloser Kommunikationssysteme<br />

R. Kraemer<br />

University of Paderborn, June 07, <strong>2005</strong>, Germany<br />

(57) GALS Technique for Wireless Communication<br />

Systems<br />

M. Krstic<br />

GALS Workshop, Humboldt Universität zu Berlin,<br />

January 21, <strong>2005</strong>, Germany<br />

(58) BIST Testing for GALS Systems<br />

M. Krstic, E. Grass<br />

8 th EUROMICRO Conference on Digital System<br />

Design – Architecture, Methods and Tools (DSD<br />

<strong>2005</strong>), Porto, August 30- September 03, <strong>2005</strong>,<br />

Portugal<br />

(59) Request-driven GALS Technique for Wireless<br />

Communication System<br />

M. Krstic, E. Grass, C. Stahl<br />

IEEE International Symposium on Asynchronous<br />

Circuits and Systems (ASYNC) <strong>2005</strong>, New York,<br />

March 14-16, <strong>2005</strong>, USA<br />

(60) Schutz der Privatsphäre im mobilen Internet:<br />

Ein Systemansatz<br />

P. Langendörfer<br />

TU Braunschweig, October 04, <strong>2005</strong>, Germany<br />

(61) Low Power Security Means: Key to Privacy<br />

in Context-aware Systems<br />

P. Langendörfer<br />

Florida International University, Miami, <strong>2005</strong>,<br />

USA<br />

(62) Schutz der Privatsphäre im Wireless Internet<br />

P. Langendörfer<br />

Marie-Curie-Gymnasium Wittenberge, November<br />

04, <strong>2005</strong>, Germany


(63) Privacy and Convenient Up Time of Mobile<br />

Devices: An Antagonism?<br />

P. Langendörfer<br />

Research Trends in Science and Technology<br />

(RTST <strong>2005</strong>), Beirut, March 07, <strong>2005</strong>, Lebanon<br />

(64) More Privacy in Context-aware Platforms:<br />

User Controlled Access Right Delegation<br />

Using Kerberos<br />

P. Langendörfer, K. Piotrowski<br />

4 th WSEAS International Conference on Information<br />

Security, Communications and Computers (ISCO-<br />

CO <strong>2005</strong>), Puerto De La Cruz, December 16-18,<br />

<strong>2005</strong>, Spain<br />

(65) Charged Location Aware Services<br />

P. Langendörfer, K. Piotrowski, M. Maaser<br />

4 th International Conference on Mobile Business<br />

(IC<strong>MB</strong> <strong>2005</strong>), Sydney, July 11-13, <strong>2005</strong>, Australia<br />

(66) Implementation Independent Profiling of<br />

SDL Specifications<br />

P. Langendörfer, M. Lehmann<br />

Software Engineering, Essen, March 08-11,<br />

<strong>2005</strong>, Germany<br />

(67) Area Efficient Hardware Implementation of<br />

Elliptic Curve Cryptography by Iteratively<br />

Applying Karatsuba‘s Method<br />

P. Langendörfer, Z. Dyka<br />

Design Automation and Test <strong>2005</strong> (DATE <strong>2005</strong>),<br />

München, March 07-11, <strong>2005</strong>, Germany<br />

(68) Advanced Activation of Ultra-Shallow Junctions<br />

Using Flash-assisted RTP<br />

W. Lerch, S. Paul, J. Niess, S. McCoy, T. Selinger,<br />

J. Gelpey, F. Cristiano, F Severac, M. Govelle,<br />

S. Boninelli, P. Pichler, D. Bolze<br />

E-MRS Spring Meeting <strong>2005</strong>, Strasbourg, May 31<br />

– June 03, <strong>2005</strong>, France<br />

(69) Advanced Activation of Ultra-Shallow Junctions<br />

Using Flash-assisted RTP<br />

W. Lerch, S. Paul, J. Niess, S. McCoy, T. Selinger,<br />

J. Gelpey, F. Cristiano, F Severac, M. Govelle,<br />

S. Boninelli, P. Pichler, D. Bolze<br />

18. Treffen der Nutzergruppe RTP, Reutte, November<br />

10, <strong>2005</strong>, Austria<br />

Vorträge Presentations<br />

(70) Is the IEEE 802.11 MAC Layer Suitable for<br />

Car-to-Car Communication?<br />

W. Lohmann, J.-P. Ebert, M. Grade, A. Lübke,<br />

R. Kraemer<br />

1 st Workshop on Wireless Vehicular Communications<br />

and Services for Breakdown Support and<br />

Car Maintenance (W-CarsCare‘05), Nicosia, April<br />

10, <strong>2005</strong>, Cyprus<br />

(71) A 8-bit, 12 GSample/sec SiGe Track-and-<br />

Hold Amplifier<br />

Y. Lu, W.-M. L. Kuo, X. Li, R. Krithivasan, J.D.<br />

Cressler, Y. Borokhovych, H. Gustat, B. Tillack,<br />

B. Heinemann<br />

<strong>2005</strong> IEEE Bipolar/BiCMOS Circuits and Technology<br />

(BCTM <strong>2005</strong>), Santa Barbara, October 10-11,<br />

<strong>2005</strong>, USA<br />

(72) Titanium Added Praseodymium Silicate Layers<br />

on Si(001) for High-k Dielectrics Applications<br />

G. Lupina, J. Dabrowski, T. Schröder, C. Wenger,<br />

G. Lippert, A. Mane, R. Sorge, G. Weidner, H.-J.<br />

Müssig, D. Schmeißer<br />

69. <strong>Annual</strong> Meeting of the DPG, Berlin, March<br />

04-09, <strong>2005</strong>, Germany<br />

(73) Praseodymium Silicate Layers for High-k Dielectric<br />

Applications – Physical and Electrical<br />

Characterization<br />

G. Lupina, T. Schröder, J. Dabrowski, C. Wenger,<br />

A. Mane, G. Lippert, H.-J. Müssig<br />

MIGAS International Summer School on Advanced<br />

<strong>Microelectronics</strong>, Autrans, June 11-17,<br />

<strong>2005</strong>, France<br />

(74) Automated Negotiation of Privacy Contracts<br />

M. Maaser, P. Langendörfer<br />

The 29 th <strong>Annual</strong> International Computer Software<br />

and Applications Conference, Edinburgh,<br />

July 25-28, <strong>2005</strong>, UK<br />

(75) An Efficient Strategy of Processing Distributed<br />

Location Based Events<br />

O. Maye<br />

IEEE International Conference on Pervasive<br />

Services <strong>2005</strong> (ICPS‘05), Santorini, July 11-14,<br />

<strong>2005</strong>, Greece<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 149


Vorträge Presentations<br />

(76) Formation and Properties of Iron-Phosphorus<br />

and Iron-Phosphorus-Hydrogen Complexies<br />

in Silicon<br />

T. Mchedlidze<br />

11 th GADEST Conference, Giens, September 25-30,<br />

<strong>2005</strong>, France<br />

(77) Novel Si Technologies – New Defects. How<br />

to Study them with Traditional Methods?<br />

T. Mchedlize<br />

Technical University of Brandenburg, Cottbus,<br />

April 05, <strong>2005</strong>, Germany<br />

(78) Spin Resonance Related to Defects in Silicon:<br />

New Defects and New Technique<br />

T. Mchedlize<br />

Hahn-Meitner-Institute, Berlin, July 06, <strong>2005</strong>,<br />

Germany<br />

(79) SiGe Technology for Radio Frequency Applications<br />

W. Mehr<br />

German-Russian Workshop on SiGe BiCMOS Technologies<br />

and Circuits, Moscow, November 24,<br />

<strong>2005</strong>, Russia<br />

(80) The Impact of Channel Engineering on the<br />

Performance and Reliability of LDMOS Transistors<br />

N.R. Mohapatra, K.-E. Ehwald, R. Barth, H. Rücker,<br />

D. Bolze, P. Schley, D. Schmidt, H.-E. Wulf<br />

ESSDERC <strong>2005</strong>, Grenoble, September 12-16,<br />

<strong>2005</strong>, France<br />

(81) Precipitation Enhancement of so Called Defect-Free<br />

Czochralski Silicon Material<br />

T. Müller, G. Kissinger, P. Krottenthaler, C. Seuring,<br />

R. Wahlich, W. von Ammon<br />

11 th GADEST Conference, Giens, September 25-30,<br />

<strong>2005</strong>, France<br />

(82) Atomically Controlled CVD Technology for<br />

Future Si-based Devices<br />

J. Murota, M. Sakuraba, B. Tillack<br />

207 th Electrochemical Society Meeting, Quebec,<br />

May 15-20, <strong>2005</strong>, Canada<br />

150<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

(83) Design of Wireless Systems Utilizing<br />

Scratchpad Memories<br />

G. Panic, Z. Stamenkovic, K. Tittelbach-Helmrich,<br />

J. Lehmann, G. Schoof<br />

IP Based SoC Design (IP-SOC <strong>2005</strong>), Grenoble,<br />

December 07-08, <strong>2005</strong>, France<br />

(84) Effect of Fluorine on the Activation and<br />

Diffusion Behaviour of Boron Implanted<br />

Preamorphized Silicon<br />

S. Paul, W. Lerch, B. Colombeau, N.E.B Covern,<br />

F. Christiano, S. Boninelli, D. Bolze<br />

18. Treffen der Nutzergruppe RTP, Reutte, November<br />

10, <strong>2005</strong>, Austria<br />

(85) Effect of Flourine on the Activation and Diffusion<br />

Behaviour of Preamorphized Silicon<br />

S. Paul, W. Lerch, B. Colombeau, N.E.B Covern,<br />

F. Christiano, S. Boninelli, D. Bolze<br />

The 8 th International Workshop on the Fabrication,<br />

Characterization and Modeling of Ultra Shallow<br />

Junctions in Semiconductors, Daytona Beach,<br />

June 5-8, <strong>2005</strong>, USA<br />

(86) Charged Location Aware Services<br />

K. Piotrowski, P. Langendörfer, M. Maaser,<br />

G. Spichal, P. Schwander<br />

4 th International Workshop on Wireless Information<br />

Systems (WIS-<strong>2005</strong>), Miami, May 24-28,<br />

<strong>2005</strong>, USA<br />

(87) Si/SiGe Double Barrier Resonant Tunneling<br />

Diode<br />

P. Racec, U. Wulf, G. Kissinger, H. Richter<br />

Nanoscience <strong>2005</strong>, Lichtenwalde, October 06-08,<br />

<strong>2005</strong>, Germany<br />

(88) Silicon Wafer Bonding Using Deposited and<br />

Thermal Oxide: A Comparative Study<br />

I. Radu, R. Singh, M. Reiche, B. Kuck, T. Grabolla,<br />

U. Gösele, B. Tillack, S. Christiansen<br />

207 th Electrochemical Society Meeting, Quebec,<br />

May 15-20, <strong>2005</strong>, Canada<br />

(89) Silicon Wafer Bonding Using Various Oxide<br />

Layers: PE TEOS Versus Thermal Oxide<br />

I. Radu, R. Singh, S. Christiansen, M. Reiche,<br />

U. Gösele, B. Kuck, T. Grabolla, B. Tillack<br />

207 th Electrochemical Society Meeting, Quebec,<br />

May 15-20, <strong>2005</strong>, Canada


(90) Plasma Etching of Carbon Hard Mask Stacks<br />

for Sub-100 nm Technologies<br />

H.H. Richter, K.A. Pears, M. Markert, S. Günther,<br />

S. Marschmeyer, H. Silz, G. Weidner, H. Kirmse,<br />

W. Neumann<br />

12. Bundesdeutsche Fachtagung Plasmatechnologie,<br />

Braunschweig, March 21-23, <strong>2005</strong>, Germany<br />

(91) 0.13 µm BiCMOS Development<br />

H. Rücker<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Frankfurt (Oder), September 21,<br />

<strong>2005</strong>, Germany<br />

(92) SiGe BiCMOS Technology<br />

H. Rücker<br />

German-Russian Workshop on SiGe BiCMOS Technologies<br />

and Circuits, Moscow, November 24,<br />

<strong>2005</strong>, Russia<br />

(93) Circuit Design in SiGe BiCMOS Technology<br />

K. Schmalz<br />

German-Russian Workshop on SiGe BiCMOS Technologies<br />

and Circuits, Moscow, November 24,<br />

<strong>2005</strong>, Russia<br />

(94) A Class AB 6 th Order Log-Domain Filter in<br />

BiCMOS with 100-500 MHz Tuning Range<br />

K. Schmalz, M.A. Teplechuk, J.I. Sewell<br />

<strong>2005</strong> European Conference on Circuit Theory<br />

and Design (ECCTD <strong>2005</strong>), Cork, August 29 –<br />

September 01, <strong>2005</strong>, Ireland<br />

(95) MPW and Prototyping Service<br />

R.F. Scholz<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Frankfurt (Oder), September 21,<br />

<strong>2005</strong>, Germany<br />

(96) MPW and Foundry Service<br />

R.F. Scholz<br />

German-Russian Workshop on SiGe BiCMOS<br />

Technologies and Circuits, Moscow, November<br />

24, <strong>2005</strong>, Russia<br />

(97) Materialien für die Mikroelektronik – auf<br />

Entdeckungsreise im Nanokosmos<br />

T. Schröder<br />

Tag der offenen Tür, <strong>IHP</strong> Frankfurt (Oder), September<br />

03, <strong>2005</strong>, Germany<br />

Vorträge Presentations<br />

(98) Von der Mikro- zur Nanoelektronik: Eine<br />

Herausforderung an moderne Materialien<br />

T. Schröder<br />

Verein Brandenburgischer Ingenieure und Wirtschaftler<br />

e.V. und des Elektrotechnischen Vereins<br />

e.V. Frankfurt (Oder), October 13, <strong>2005</strong>,<br />

Germany<br />

(99) Lattice Matching Approaches for High Quality<br />

S-I-S Structures<br />

T. Schröder<br />

Physikalisches Kolloquium der BTU Cottbus, November<br />

15, <strong>2005</strong>, Germany<br />

(100) Epitaxial Silicon/Praseodymium Oxide/Silicon<br />

Heterostructures: Lattice Matching Approaches<br />

for Alternative SOI Structures<br />

T. Schröder, H.-J. Müssig<br />

Project Meeting Siltronic AG Burghausen, June<br />

06-07, <strong>2005</strong>, Germany<br />

(101) Heteroepitaxial Silicon/Pr 2 O 3 /Silicon Sandwich<br />

Structures for Nanoelectronics Applications<br />

T. Schröder, P. Zaumseil, C. Wenger, G. Lippert,<br />

G. Lupina, H.-J. Müssig, L. Libralesso, J. Zegenhagen<br />

DPG Frühjahrstagung, Berlin, March 04-09,<br />

<strong>2005</strong>, Germany<br />

(102) Growth, Structure and Electric Properties<br />

of Epitaxial Si/Pr 2 O/Si 3 (111) Heterostructures<br />

T. Schröder, P. Zaumseil, G. Weidner, C. Wenger,<br />

G. Lippert, A. Mane, J. Dabrowski, H.-J. Müssig<br />

E-MRS Spring Meeting, Strasbourg, May 31 –<br />

June 03, <strong>2005</strong>, France<br />

(103) Modern X-Ray Diffraction Techniques for<br />

Technology Relevant Materials Systems: The<br />

Example of Ultra-Thin Praseodymium Oxide<br />

Layers on Silicon for Nanoelectronics Applications<br />

T. Schröder, P. Zaumseil<br />

Berlin-Brandenburg Workshop High-Resolution<br />

X-Ray Scattering Techniques for Nanostructured<br />

Materials, Potsdam, February 04, <strong>2005</strong>, Germany<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 151


Vorträge Presentations<br />

(104) Hazard Detection in GALS Wrapper: A Case<br />

Study<br />

C. Stahl, W. Reisig, M. Krstic<br />

5 th Int. Conf. on Application of Currency to System<br />

Design (ACSD <strong>2005</strong>), St. Malo, June 06-09,<br />

<strong>2005</strong>, France<br />

(105) ADS Stand Alone Design Kit<br />

Y. Sun<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Tutorial, Frankfurt (Oder), September<br />

22-23, <strong>2005</strong>, Germany<br />

(106) A Fully Integrated 60 GHz LNA in SiGe:C<br />

BiCMOS Technology<br />

Y. Sun, J. Borngräber, F. Herzel, W. Winkler<br />

<strong>2005</strong> IEEE Bipolar/BiCMOS Circuits and Technology<br />

(BCTM <strong>2005</strong>), Santa Barbara, Octo-<br />

ber 10-11, <strong>2005</strong>, USA<br />

(107) <strong>IHP</strong> Technology Development – Status and<br />

Overview<br />

B. Tillack<br />

Philips Research Leuven, June 28, <strong>2005</strong>, Belgium<br />

(108) High-Performance SiGe:C BiCMOS for Wireless<br />

and Broadband Communication: Technology,<br />

MPW and Prototyping, Applications:<br />

Introduction<br />

B. Tillack<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Frankfurt (Oder), September 21,<br />

<strong>2005</strong>, Germany<br />

(109) Impact of Low Temperature Hydrogenation<br />

on Recombination Activity of Dislocations<br />

in Silicon<br />

O.F. Vyvenko, M. Kittler, W. Seifert<br />

11 th GADEST Conference <strong>2005</strong>, Giens, September<br />

25-30, <strong>2005</strong>, France<br />

(110) Low-Complexity Initialization of Adaptive<br />

Equalizers Using Approximate Channel Inverse<br />

G. Wang, R. Kraemer<br />

5 th IEEE International Symposium on Signal<br />

Processing and Information Technology (IEEE<br />

ISSPIT <strong>2005</strong>), Athens, December 18-21, <strong>2005</strong>,<br />

Greece<br />

152<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

(111) Low-Power 71 GHz Static Frequency Divider<br />

in SiGe:C HBT Technology<br />

L. Wang, J. Borngräber, G. Wang, Z. Gu, A. Thiede<br />

IEEE MTT-S <strong>2005</strong>, International Microwave Symposium,<br />

Long Beach, June 12-17, <strong>2005</strong>, USA<br />

(112) High Performance PrTiO 3-x MIM Capacitors<br />

for RF Applications<br />

C. Wenger, R. Sorge, A. Mane, T. Schröder,<br />

G. Lippert, H.-J. Müssig<br />

DPG Frühjahrstagung, Berlin, March 04-09,<br />

<strong>2005</strong>, Germany<br />

(113) MIM Capacitors Using Amorphous High-k<br />

PrTi x O y Dielectrics<br />

C. Wenger, R. Sorge, T. Schröder, A.U. Mane,<br />

G. Lippert, G. Lupina, J. Dabrowski, P. Zaumseil,<br />

H.-J. Müssig<br />

INFOS <strong>2005</strong>, Leuven, June 22-24, <strong>2005</strong>, Belgium<br />

(114) Radar Circuits<br />

W. Winkler<br />

4 th Workshop High-Performance SiGe:C BiCMOS<br />

for Wireless, Frankfurt (Oder), September 21,<br />

<strong>2005</strong>, Germany<br />

(115) Millimeter-Wave Integrated Circuits in SiGe<br />

BiCMOS Technology<br />

W. Winkler<br />

German-Russian Workshop on SiGe BiCMOS<br />

Technologies and Circuits, Moscow, Novem-<br />

ber 24, <strong>2005</strong>, Russia<br />

(116) A Fully Integrated BiCMOS PLL for 60 GHz<br />

Wireless Applications<br />

W. Winkler, J. Borngräber, B. Heinemann, F. Herzel<br />

International Solid State Circuits Conference<br />

(ISSCC <strong>2005</strong>), San Francisco, February 06-10,<br />

<strong>2005</strong>, USA<br />

(117) Chemical Vapor Phase Etching of Polycrystalline<br />

Selective to Epitaxial SiGe<br />

Y. Yamamoto, B. Tillack, K. Köpke, O. Fursenko<br />

4 th International Conference on Silicon Epitaxy and<br />

Heterostructures, Awaji Island, Hyogo, May 23-26,<br />

<strong>2005</strong>, Japan


(118) P Doping Control During SiGe:C Epitaxy<br />

Y. Yamamoto, B. Tillack, K. Köpke, R. Kurps<br />

4 th International Conference on Silicon Epitaxy and<br />

Heterostructures, Awaji Island, Hyogo, May 23-26,<br />

<strong>2005</strong>, Japan<br />

(119) Silicon Light Emitting Diodes Prepared by<br />

Ion Implantation<br />

Y. Yeromenko, T. Arguirov, M. Kittler, W. Seifert,<br />

J. Reif<br />

69. Jahrestagung der DPG-Halbleiterphysik, Berlin,<br />

March 04-09, Germany<br />

(120) Properties of Dislocation Networks Formed<br />

by Si Wafer Direct Bonding<br />

X. Yu, T. Arguirov, M. Kittler, W. Seifert, M. Ratzke,<br />

M. Reiche<br />

DRIP XI, Beijing, September 15-19, <strong>2005</strong>, China<br />

(121) Tutorial: High Resolution X-Ray Diffraction<br />

P. Zaumseil<br />

6 th Autumn School on X-Ray Scattering from Surfaces<br />

and Thin Layers, Smolenice, September<br />

18, <strong>2005</strong>, Slovakia<br />

(122) Structural Characterization of Epitaxial<br />

Si/Pr 2 O 3 /Si(111) Heterostructures<br />

P. Zaumseil, T. Schröder, G. Weidner<br />

11 th GADEST Conference, Giens, September 25-30,<br />

<strong>2005</strong>, France<br />

Berichte<br />

<strong>Report</strong>s<br />

(1) Spatially and Spectrally Resolved Photoluminescence<br />

for Characterization of Multicrystalline<br />

Silicon<br />

T. Arguirov, W. Seifert, G. Jia, M. Kittler<br />

Progress <strong>Report</strong>, Project ASIS, March <strong>2005</strong><br />

(2) Untersuchungen am Wacker-Granalien-Block<br />

mit EBIC, DLTS und PL<br />

T. Arguirov, G. Jia, W. Seifert, M. Kittler<br />

Progress <strong>Report</strong>, Project ASIS, September <strong>2005</strong><br />

Berichte <strong>Report</strong>s<br />

(3) Ab inito Investigation of Pr-Related Dielectrics<br />

for CMOS Technology<br />

J. Dabrowski<br />

Progress <strong>Report</strong>, NIC project hfo06, Neumann<br />

Institute for Computing (NIC), <strong>2005</strong><br />

(4) Ab Initio Investigation of High-k Dielectrics<br />

for CMOS Technology Development<br />

J. Dabrowski, A. Fleszar<br />

Progress <strong>Report</strong> and Application for Project<br />

Extension, Neumann Institute for Computing,<br />

<strong>2005</strong><br />

(5) Tragfähige Architekturenprinzipien<br />

C. Deist, P. Langendörfer<br />

Project: Wireless Internet Zellular, <strong>2005</strong><br />

(6) Spezifikation der Anwendung (final)<br />

C. Deist, P. Langendörfer<br />

Project: Wireless Internet Zellular, <strong>2005</strong><br />

(7) BASUMA Teilvorhaben, Gesamtarchitektur,<br />

Protokollstapel und Digitalteil der IMC<br />

J.-P. Ebert<br />

BASUMA Project, Progress <strong>Report</strong> January 01 –<br />

June 30, <strong>2005</strong><br />

(8) Präsentation der <strong>IHP</strong>-Technologie und des<br />

Projektstatus<br />

G.G. Fischer<br />

<strong>IHP</strong> – Infineon Kokon Project-Meeting Septem-<br />

ber 20, <strong>2005</strong><br />

(9) A VCO with Output Buffer for 77 GHz Automotive<br />

Radar<br />

S. Glisic<br />

<strong>IHP</strong> – Infineon Kokon Project, <strong>2005</strong><br />

(10) Intermediate <strong>Report</strong><br />

G. Kissinger<br />

Project <strong>IHP</strong> – Siltronic AG, May <strong>2005</strong><br />

(11) Final <strong>Report</strong><br />

G. Kissinger<br />

Project <strong>IHP</strong> – Siltronic AG, December <strong>2005</strong><br />

(12) Evaluation of the Feasibility of Flow Pattern<br />

Defect Etching of Germanium<br />

G. Kissinger<br />

Cooperation with Umicore, October 25, <strong>2005</strong><br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 153


Berichte <strong>Report</strong>s<br />

(13) Arbeitsergebnisse des <strong>IHP</strong> und <strong>IHP</strong>/BTU<br />

JointLab zum ASIS-Projekt<br />

M. Kittler, W. Seifert, T., Arguirov, G. Jia, Q. Wei,<br />

O. Vyvenko<br />

Progress <strong>Report</strong>, Project ASIS, September <strong>2005</strong><br />

(14) Middleware Spezifikation (final)<br />

P. Langendörfer et al.<br />

Project Wireless Internet Zellular, <strong>2005</strong><br />

(15) Abschlußbericht Feldtest, Empfehlungen<br />

B. Lehmann, P. Langendörfer<br />

Project Wireless Internet Zellular, <strong>2005</strong><br />

(16) Pr 2 O 3 -added Al 2 O 3 Dielectrics for Future<br />

DRAM Technologies<br />

G. Lippert, H.-J. Müssig<br />

Zwischenbericht an Infineon zur Machbarkeitsstudie,<br />

October <strong>2005</strong><br />

(17) Zwischenbericht 1. Halbjahr <strong>2005</strong><br />

H. Maass, P. Langendörfer<br />

Wireless Internet ad hoc, <strong>2005</strong><br />

(18) Research <strong>Report</strong> on Power Consumption, Deliverable<br />

D3.3.4<br />

M. Methfessel, F.-M. Krause, K. Tittelbach-Helmrich<br />

EU-Project WINDECT, No. STP 506 746, February<br />

<strong>2005</strong><br />

(19) Firmware for WLAN Chipset, Deliverable D5.3<br />

M. Methfessel, K. Tittelbach-Helmrich<br />

EU-Project WINDECT, No. STP 506 746, March<br />

<strong>2005</strong><br />

(20) Strukturelle und elektrische Eigenschaften<br />

heteroepitaktischer Si/Pr 2 O 3 /Si(111) Schichtsysteme<br />

T. Schröder<br />

Zwischenbericht an Siltronic zur Machbarkeitsstudie,<br />

March <strong>2005</strong><br />

(21) Bewertung heteroepitaktischer Si/Pr 2 O 3 /<br />

Si(111) – Schichtsysteme alternative SOI-<br />

Strukturen<br />

T. Schröder<br />

Abschlussbericht zur Machbarkeitsstudie für Siltronic,<br />

November 25, <strong>2005</strong><br />

154<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

(22) Strukturelle und elektrische Eigenschaften<br />

heteroepitaktischer Si/Pr 2 O 3 /Si(111) Schichtsysteme<br />

T. Schröder<br />

Abschlußbericht zur Machbarkeitsstudie für Siltronic,<br />

November <strong>2005</strong><br />

(23) Integration and Test Plan for Data Phase,<br />

Deliverable D6.1<br />

K. Tittelbach-Helmrich, A. Ettefagh<br />

EU-Project WINDECT, No. STP 506 746, June<br />

<strong>2005</strong><br />

(24) Test <strong>Report</strong> for WLAN Telephone Terminals<br />

Chipset, Deliverable D4.2<br />

K. Tittelbach-Helmrich, M. Krstic, N. Fiebig,<br />

M. Methfessel<br />

EU-Project WINDECT, No. STP 506 746, May<br />

<strong>2005</strong><br />

(25) Test <strong>Report</strong> for WLAN Access Point Chipset,<br />

Deliverable D5.2<br />

K. Tittelbach-Helmrich, M. Krstic, N. Fiebig,<br />

M. Methfessel<br />

EU-Project WINDECT, No. STP 506 746, May<br />

<strong>2005</strong><br />

(26) Driver for WLAN Chipset, Deliverable D<strong>4.3</strong><br />

K. Tittelbach-Helmrich, M. Zessack, M. Methfessel<br />

EU-Project WINDECT, No. STP 506 746, March<br />

<strong>2005</strong>


Monographien<br />

Monographs<br />

(1) Thin Film Analysis by X-Ray Scattering<br />

M. Birkholz with contribution by P. F. Fewster<br />

and C. Genzel<br />

Weinheim, Wiley-VCH (<strong>2005</strong>)<br />

(2) Gettering and Defect Engineering in Semiconductor<br />

Technology XI, GADEST <strong>2005</strong><br />

Ed.: B. Pichaud, A. Claverie, D. Alquier, H. Richter,<br />

M. Kittler<br />

Proc. of the 11th International Autumn Meeting,<br />

Giens, September 25-30, <strong>2005</strong>, France, Solid<br />

State Phenomena 108-109 (<strong>2005</strong>)<br />

(3) Proceedings of the Second International<br />

SiGe Technology and Device Meeting (IST-<br />

DM 2004), Kleist-Forum Frankfurt (Oder),<br />

Germany, May 16-19: From Materials and<br />

Process Technology to Device and Circuit<br />

Design<br />

Ed.: E. Kasper, B. Tillack, J. Murota, G. Fischer<br />

Materials Science in Semiconductor Processing<br />

8, 1-3 (<strong>2005</strong>)<br />

(4) The Silicon Age<br />

Ed.: M. Kittler, D. Yang<br />

Proc. 2 nd Sino-German Symposium Cottbus, Germany,<br />

September 22 (<strong>2005</strong>)<br />

Dissertation<br />

Dissertation<br />

(1) TEM-Holographie and Bauelementestrukturen<br />

der Mikroelektronik<br />

P. Formanek<br />

BTU Cottbus <strong>2005</strong><br />

Monographien<br />

Dissertation / Diplom<br />

Monographs<br />

Dissertation / Diploma<br />

Diplomarbeiten/Masterarbeiten/<br />

Bachelorarbeiten<br />

Diploma Theses/Master Theses/<br />

Bachelor Theses<br />

(1) Anwendung der EBIC-Methode auf Probleme<br />

der Si-Materialforschung<br />

G. Jia<br />

BTU Cottbus <strong>2005</strong><br />

(2) Evaluierung von Firewall-Ansätzen für Handheld<br />

Devices<br />

M. Lehmann<br />

BTU Cottbus <strong>2005</strong><br />

(3) Kohlenstoff als nichtdotierendes Element<br />

in SiGe-Schichten auf Silizium-Substrat<br />

S. Lischke<br />

BTU Cottbus <strong>2005</strong><br />

(4) Automatische Verifizierung von SPICE-Model-<br />

len in Perl<br />

T. Mausolf<br />

FH Brandenburg <strong>2005</strong><br />

(5) Weiterentwicklung eines Verfahrens zur<br />

Bestimmung der optischen Konstanten von<br />

Fotolacken mittels Swingkurven in der Fotolithografie<br />

M. Szuggars<br />

TFH Wildau <strong>2005</strong><br />

(6) Effizientes Implementierungsmodell von<br />

SDL-Spezifikationen in eingebetteten Systemen<br />

G. Wagenknecht<br />

BTU Cottbus <strong>2005</strong><br />

(7) SPICE-Modellierung vertikaler Bipolartransistoren<br />

eines CMOS-Prozesses<br />

C. Wipf<br />

FH Brandenburg <strong>2005</strong><br />

(8) Einfluss der Beugung auf Swingkurven der<br />

Fotolithografie<br />

A. von Woroniecki<br />

TFH Wildau <strong>2005</strong><br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 155


Patente Patents<br />

Patente<br />

Patents<br />

156<br />

(1) Verfahren und Vorrichtung zur Niedertemperaturepitaxie<br />

auf einer Vielzahl von Halbleitersubstraten<br />

T. Grabolla, B. Tillack, G. Ritter<br />

PCT-Patentanmeldung <strong>IHP</strong>.256.PCT am<br />

10.05.<strong>2005</strong>, AZ: PCT/EP<strong>2005</strong>/052123<br />

(2) GALS-Schaltung und Verfahren zum Betrieb<br />

einer GALS-Schaltung<br />

E. Grass, M. Krstic, F. Winkler<br />

DE-Patentanmeldung <strong>IHP</strong>.268.05, am 12.09.05,<br />

AZ: 10 <strong>2005</strong> 044 115.7<br />

(3) Vertikaler Bipolartransistor<br />

B. Heinemann, H. Rücker, J. Drews, S. Marschmeyer<br />

PCT-Patentanmeldung <strong>IHP</strong>.263.PCT am<br />

12.12.<strong>2005</strong>, AZ: PCT/EP<strong>2005</strong>/056691<br />

(4) Versetzungsbasierter Lichtemitter<br />

M. Kittler, T. Arguirov, W. Seifert<br />

DE-Patentanmeldung <strong>IHP</strong>.265.05, am 03.05.05,<br />

AZ: 10 <strong>2005</strong> 021 296.4<br />

(5) Area Efficient Hardware Implementation of<br />

Elliptic Curve Cryptography by Iteratively<br />

Applying Karatsuba’s Method<br />

P. Langendörfer, Z. Dyka<br />

DE-Patentanmeldung <strong>IHP</strong>.264.04, am 04.03.05,<br />

AZ: 05 090 052.1<br />

(6) Halbleiterbauelement mit Gegensignalschaltung<br />

zum Vermeiden von Übersprechen<br />

elektronischer Baugruppen<br />

Gu. Lippert, Ge. Lippert<br />

PCT-Patentanmeldung <strong>IHP</strong>.251.PCT am 08.04.05,<br />

AZ: PCT/EP<strong>2005</strong>/051569<br />

(7) Kondensatorstruktur mit stabilisiertem Praseodymoxid-Dielektrikum<br />

G. Lippert, J. Dabrowski, C. Wenger, H.-J. Müssig<br />

DE-Patentanmeldung <strong>IHP</strong>.257.04, am 04.05.05,<br />

AZ: 10 <strong>2005</strong> 021 803.2<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

(8) Ätzverfahren für MOS-Schichtstrukturen mit<br />

praseodymoxidhaltigem Dielektrikum<br />

H.-J. Müssig, A. Mane, C. Wenger, G. Lippert<br />

DE-Patentanmeldung <strong>IHP</strong>.261.04, am 31.01.05,<br />

AZ: 10 <strong>2005</strong> 005 229.0<br />

(9) MIM/MIS-Struktur mit Praseodymtitanat<br />

oder Praseodymoxid als Isolatormaterial<br />

H.-J. Müssig, G. Lippert, C. Wenger<br />

DE-Patentanmeldung <strong>IHP</strong>.262.04, am 21.10.05,<br />

AZ: 10 <strong>2005</strong> 051 573.8<br />

(10) Verfahren zur Herstellung einer Praseodymsilikat-Schicht<br />

und nach dem Verfahren<br />

hergestelltes Substrat<br />

H.-J. Müssig, J. Dabrowski, G. Lippert, G. Lupina,<br />

C. Wenger<br />

DE-Patentanmeldung <strong>IHP</strong>.259.04, am 30.03.05,<br />

AZ: 10 <strong>2005</strong> 015 362.3<br />

(11) Kondensator mit dielektrischer Schicht aus<br />

praseodymhaltigem Mischoxid<br />

H.-J. Müssig, J. Dabrowski, G. Lippert, T. Schröder,<br />

C. Wenger<br />

DE-Patentanmeldung <strong>IHP</strong>.266.05, am 29.04.05,<br />

AZ: 10 <strong>2005</strong> 020 710.3<br />

(12) Method and Apparatus for the Determination<br />

of the Concentration of Impurities in<br />

a Wafer<br />

H. Richter, V. Akhmetov, O. Lysytskiy<br />

PCT-Patentanmeldung <strong>IHP</strong>.260.PCT am<br />

13.05.<strong>2005</strong>, AZ: PCT/EP<strong>2005</strong>/052225<br />

(13) Verringerte Übersprache zwischen benachbarten<br />

Frequenzbereichen in einem elektronischen<br />

Bauelement mit einem Verstärker<br />

oder Mischer und abstimmbarer Impedanzanpassung<br />

L. Wang, W. Winkler, G. Wang<br />

DE-Patentanmeldung <strong>IHP</strong>.267.05, am 13.09.05,<br />

AZ: 10 <strong>2005</strong> 044 856.9


J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

Notizen Notices<br />

157


Wegbeschreibung zum <strong>IHP</strong><br />

Directions to <strong>IHP</strong><br />

158<br />

per Flugzeug<br />

- Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis<br />

Bahnhof Berlin-Zoologischer Garten (19 Minuten);<br />

dann mit dem RegionalExpress RE 1 bis Frankfurt<br />

(Oder) Hauptbahnhof (ca. 1 Stunde 20 Minuten).<br />

- Vom Flughafen Berlin-Schönefeld mit dem Airport-<br />

Express oder der S-Bahnlinie S 9 bis Bahnhof Berlin-Ostbahnhof<br />

(19 bzw. 32 Minuten); dann mit dem<br />

RegionalExpress RE 1 bis Frankfurt (Oder) Hauptbahnhof<br />

(ca. 1 Stunde).<br />

- Vom Flughafen Berlin-Tempelhof mit der U-Bahnlinie<br />

U 6 Richtung Alt-Tegel bis zur Haltestelle Friedrichstraße<br />

(11 Minuten); umsteigen in den Regional-<br />

Express RE 1 bis Frankfurt (Oder) Hauptbahnhof<br />

(ca. 1 Stunde 15 Minuten).<br />

per Bahn<br />

- Von den Berliner Bahnhöfen Zoologischer Garten,<br />

Friedrichstraße, Alexanderplatz oder Ostbahnhof<br />

mit dem RegionalExpress RE 1 bis Frankfurt<br />

(Oder) Hauptbahnhof.<br />

per Auto<br />

- Über den Berliner Ring auf die Autobahn A 12 in Richtung<br />

Frankfurt (Oder)/Warschau; Abfahrt Frankfurt<br />

(Oder)-West, an der Ampel links in Richtung Beeskow<br />

und dem Wegweiser „Technologiepark Ostbrandenburg“<br />

folgen.<br />

per Straßenbahn in Frankfurt (Oder)<br />

- Ab Frankfurt (Oder)<br />

Hauptbahnhof mit<br />

der Linie 4 in Richtung<br />

Markendorf Ort<br />

bis Haltestelle Technologiepark<br />

(14 Minuten).<br />

A12<br />

1<br />

by plane<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T<br />

- From Berlin-Tegel Airport take the bus X9 to the railway<br />

station Berlin-Zoologischer Garten (19 minutes);<br />

then take the RegionalExpress RE 1 to Frankfurt<br />

(Oder) Hauptbahnhof (appr. 1 hour 20 minutes).<br />

- From Berlin-Schönefeld Airport take the Airport-<br />

Express or the S-Bahn line S 9 to the railway station<br />

Berlin Ostbahnhof (19 resp. 32 minutes); then<br />

take the RegionalExpress RE 1 to Frankfurt (Oder)<br />

Hauptbahnhof (appr. 1 hour).<br />

- From Berlin-Tempelhof Airport take the subway line<br />

U 6 in the direction Alt-Tegel to the station Friedrichstraße<br />

(11 minutes); there transfer to the RegionalExpress<br />

RE 1 to Frankfurt (Oder) Hauptbahnhof<br />

(appr. 1 hour 15 minutes).<br />

by train<br />

- Take the train RegionalExpress RE 1 from the Berlin<br />

railway stations Zoologischer Garten, Friedrichstraße,<br />

Alexanderplatz or Ostbahnhof to Frankfurt<br />

(Oder) Hauptbahnhof.<br />

by car<br />

Frankfurt (Oder) - West<br />

Turn left<br />

at the traffi c ligths<br />

in the direction of<br />

Beeskow<br />

- Take the highway A 12 from Berlin in the direction<br />

Frankfurt (Oder)/Warschau (Warsaw); take<br />

exit Frankfurt (Oder) -West, at the traffic lights<br />

turn right in the direction Beeskow and follow the<br />

signs to "Technologiepark Ostbrandenburg".<br />

by tram in Frankfurt (Oder)<br />

- Take the Tram 4 from railway station Frankfurt<br />

(Oder) Hauptbahnhof in the direction Markendorf<br />

Ort to Technologiepark (14 minutes).<br />

2<br />

intersection.<br />

turn left onto<br />

“Müllroser Chaussee“<br />

Berlin Warsaw<br />

3<br />

direction<br />

Frankfurt (Oder)<br />

left again at the<br />

intersection toward<br />

“Technologiepark Ostbrandenburg“


Impressum/Imprint<br />

Herausgeber/Publisher<br />

<strong>IHP</strong> GmbH – Innovations for High Performance <strong>Microelectronics</strong>/Institut für innovative Mikroelektronik<br />

Postadresse/Postbox<br />

Postfach 1466/Postbox 1466<br />

15204 Frankfurt (Oder)<br />

Deutschland/Germany<br />

Besucheradresse/Address for visitors<br />

Im Technologiepark 25<br />

15236 Frankfurt (Oder)<br />

Deutschland/Germany<br />

Telefon/Fon +49.335.56 25 0<br />

Telefax/Fax +49.335.56 25 300<br />

E-Mail ihp@ihp-microelectronics.com<br />

Internet www.ihp-microelectronics.com<br />

Redaktion/Editors<br />

Dr. Wolfgang Kissinger/Heidrun Förster<br />

Gesamtherstellung/Production in design and layout<br />

Publishers an der Oder<br />

Ferdinandstraße15<br />

15230 Frankfurt (Oder)<br />

Telefon/Fon +49.335.414590<br />

Telefax/Fax +49.335.4145923<br />

E-Mail info@publishers-oder.de<br />

Internet www.publishers-oder.de<br />

Bildnachweise/Photocredits<br />

<strong>IHP</strong>, Winfried Mausolf, Heinz Köhler, Andreas Schenk<br />

Soweit nicht anders vermerkt, liegt das Copyright für die selbst erstellten<br />

Texte und Abbildungen von <strong>IHP</strong>-Mitarbeitern allein beim <strong>IHP</strong>.<br />

J A H R E S B E R I C H T 2 0 0 5 | I H P A N N U A L R E P O R T 159


<strong>2005</strong><br />

<strong>IHP</strong> GmbH – Innovations for High Performance <strong>Microelectronics</strong>/Institut<br />

für innovative Mikroelektronik<br />

Im Technologiepark 25<br />

15236 Frankfurt (Oder)<br />

Germany<br />

Phone +49.335.56 25 0<br />

Fax +49.335.56 25 300<br />

www.ihp-microelectronics.com<br />

Member of the Leibniz Association

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!