07.12.2012 Views

Annual Report 2010 (PDF 4.2 MB) - IHP Microelectronics

Annual Report 2010 (PDF 4.2 MB) - IHP Microelectronics

Annual Report 2010 (PDF 4.2 MB) - IHP Microelectronics

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Annual</strong><br />

<strong>Report</strong><br />

<strong>2010</strong>


J A H R E S B E R I C H T 2 0 1 0 – A N N U A L R E P O R T 2 0 1 0<br />

<strong>Annual</strong> <strong>Report</strong> <strong>2010</strong><br />

A n n u A l R e p o R t 2 0 0


prof. Dr. Wolfgang Mehr<br />

Die Mikroelektronik / Mikrosystemtechnik und Nanoelektronik<br />

haben eine herausragende Bedeutung für<br />

zukünftige Innovationen in allen zentralen Lebensbereichen.<br />

Am <strong>IHP</strong> sind in den letzten Jahren wichtige Voraussetzungen<br />

geschaffen worden, um den sich daraus ergebenden<br />

Anforderungen an die Forschung effektiv und<br />

erfolgreich gerecht zu werden.<br />

Hauptzielstellung des <strong>IHP</strong> ist die Erforschung und prototypische<br />

Entwicklung von „System on Chip“-Lösungen<br />

durch die Integration von elektronischen, photonischen<br />

und mikromechanischen Elementen und deren Anwendung<br />

in innovativen Systemen.<br />

Die dafür notwendigen Kompetenzen verschiedener Fachgebiete<br />

kooperieren eng miteinander. Die Technologie<br />

schafft zusammen mit der Materialforschung durch die<br />

gezielte Entwicklung spezifischer Module neue technologische<br />

Funktionalitäten, mit denen auf Schaltungs- und<br />

Systemebene zusammen mit den zukünftigen Anwendern<br />

zügig industriell relevante Prototypen entwickelt, in der<br />

Pilotlinie des <strong>IHP</strong> realisiert und danach erprobt werden.<br />

Das ist die Umsetzung des vertikalen Konzeptes des <strong>IHP</strong>,<br />

der engen Kooperation verschiedener Kernkompetenzen,<br />

das zusammen mit der institutseigenen Pilotlinie für<br />

technologische Forschung und Prototypenfertigung eine<br />

wertvolle Besonderheit des <strong>IHP</strong> ist.<br />

Den langfristigen Rahmen für diese Arbeiten bieten die<br />

Forschungsprogramme des Institutes, die Grundlagenforschung<br />

mit angewandter Forschung verbinden, so<br />

wie das typisch für ein Leibniz-Institut ist. Dabei hat die<br />

2 A n n u A l R e p o R t 2 0 0<br />

V O R w O R T – F O R E w O R d<br />

<strong>Microelectronics</strong> / microsystem technology and nanoelectronics<br />

are of great importance for future innovations<br />

in all key areas of life. In recent years, major<br />

foundations have been laid at the IHp to fulfill the<br />

research demands arising therefrom in an effective<br />

and successful manner.<br />

the main objective of the IHp is to research and develop<br />

prototypical “systems on chip” solutions through<br />

the integration of electronic, photonic and micro-mechanical<br />

elements and their application in innovative<br />

systems.<br />

to achieve this, different areas of expertise cooperate<br />

closely with each other. together with the materials<br />

research department, the technology creates<br />

new technological functions through the targeted<br />

development of specific modules, with which, on the<br />

circuit and system level and together with the future<br />

users, industrially relevant prototypes can be developed<br />

and manufactured in the pilot line of the IHp and<br />

tested thereafter.<br />

this is the realization of the vertical concept of the<br />

IHp, the close cooperation of different core competencies.<br />

together with the Institute‘s own pilot line<br />

for technological research and prototyping, it is a distinctive<br />

feature of the IHp.<br />

the long-term framework for this work is given by the<br />

research programs of the institute, which combine<br />

basic research with applied research, as is typical of<br />

a leibniz Institute. the close cooperation with uni-


enge Kooperation mit Universitäten und Hochschulen im<br />

Rahmen gemeinsamer Labore eine herausragende Bedeutung.<br />

Die konkrete Realisierung der Programme erfolgt durch<br />

ein Projektportfolio, wodurch Innovationen für gesellschaftlich<br />

wichtige Anwendungsbereiche wie die<br />

drahtlose und Breitband-Kommunikation, die Luft- und<br />

Raumfahrt, die Biotechnologie und Medizin, die Automobilindustrie,<br />

die Sicherheitstechnik sowie die Industrieautomatisierung<br />

entstehen.<br />

Im vergangenen Jahr erreichte das <strong>IHP</strong> mit 12,5 Mio.<br />

Euro einen neuen Höchstwert an Drittmitteleinnahmen.<br />

Möglich wurde das unter anderem durch eine große<br />

Anzahl durch das Bundesministerium für Bildung und<br />

Forschung geförderter Projekte sowie zehn Projekte im<br />

7. Forschungsrahmenprogramm der Europäischen Union.<br />

Als neue technologische Module wurden SiGe Heterobipolartransistoren<br />

mit Grenzfrequenzen von 500 GHz<br />

sowie integrierte RF-MEMS (Mikroelektromechanische<br />

Systeme) als Schalter für Anwendungen bis zu 140 GHz<br />

entwickelt. Damit sind Voraussetzungen für Systeme zur<br />

ultraschnellen drahtlosen Datenübertragung bei Trägerfrequenzen<br />

bis in den Bereich von 300 GHz bzw. für Beamforming<br />

bei sehr hohen Frequenzen oder für extrem energiesparende<br />

Schaltungen geschaffen.<br />

Mit dem vorliegenden Jahresbericht möchten wir Ihr Interesse<br />

an unseren Arbeiten wecken. Er gibt Ihnen einen<br />

Überblick über unsere Ergebnisse des Jahres <strong>2010</strong> sowie<br />

über unsere langfristigen Forschungsziele. Im Kapitel<br />

„Ausgewählte Projekte“ finden Sie eine detaillierte Darstellung<br />

einiger Forschungsprojekte.<br />

An dieser Stelle möchten wir unseren Mitarbeiterinnen<br />

und Mitarbeitern ganz herzlich für die engagierte Arbeit<br />

im Jahr <strong>2010</strong> danken. Ebenso danken wir der Brandenburgischen<br />

Landesregierung und der Bundesregierung für<br />

die außerordentliche Unterstützung unserer Arbeiten.<br />

Wolfgang Mehr Manfred Stöcker<br />

Wiss.-Techn. Geschäftsführer Adm. Geschäftsführer<br />

V O R w O R T – F O R E w O R d<br />

versities and other higher education institutions is<br />

hereby of outstanding importance.<br />

the actual realization of the programs is achieved<br />

using a portfolio of projects, whereby innovations<br />

for socially important application areas are created<br />

such as wireless and broadband communications,<br />

aerospace, biotechnology and medicine, automotive<br />

industry, security and industrial automation.<br />

last year, the IHp reached a new high of external<br />

funding with 12.5 million €. Among other reasons,<br />

this was made possible by a large number of projects<br />

funded by the Federal Ministry of education and Research<br />

and ten projects in the 7th Research Framework<br />

programme of the european union.<br />

As examples for new technological modules, SiGe heterobipolar<br />

transistors with cutoff frequencies of 500<br />

GHz as well as integrated RF-MeMS (microelectromechanical<br />

systems) were developed as switches for applications<br />

at up to 140 GHz. With this, preconditions<br />

for ultra-fast wireless data transmission systems at<br />

carrier frequencies in the range of 300 GHz or beamforming<br />

at very high frequencies resp. for extremely<br />

energy-efficient circuits were created.<br />

With this report, we would like to arouse your interest<br />

in our work. It offers an overview of our results for the<br />

year <strong>2010</strong> as well as our long-term research goals. In<br />

the chapter “Selected projects” you will find detailed<br />

descriptions of some of our research projects.<br />

At this point we would like to thank our employees<br />

very much for the dedicated work in <strong>2010</strong>. We also<br />

thank the Federal State Government of Brandenburg<br />

and the Federal Government of Germany for the extraordinary<br />

support of our work.<br />

A n n u A l R e p o R t 2 0 0


A n n u A l R e p o R t 2 0 0<br />

I N H A L T S V E R Z E I C H N I S – C O N T E N T S<br />

Contents


I N H A L T S V E R Z E I C H N I S – C O N T E N T S<br />

Vorwort<br />

Aufsichtsrat<br />

Wissenschaftlicher Beirat<br />

Forschung des <strong>IHP</strong><br />

Das Jahr <strong>2010</strong><br />

Ausgewählte Projekte<br />

Gemeinsame Labore<br />

Zusammenarbeit und Partner<br />

Gastwissenschaftler und Seminare<br />

Publikationen<br />

Angebote und Leistungen<br />

Wegbeschreibung zum <strong>IHP</strong><br />

2<br />

6<br />

7<br />

8<br />

7<br />

6<br />

0<br />

82<br />

86<br />

90<br />

6<br />

6<br />

Foreword<br />

Supervisory Board<br />

Scientific Advisory Board<br />

IHp‘s Research<br />

update <strong>2010</strong><br />

Selected projects<br />

Joint labs<br />

Collaboration and partners<br />

Guest Scientists and Seminars<br />

publications<br />

Deliverables and Services<br />

Directions to IHp<br />

A n n u A l R e p o R t 2 0 0


Aufsichtsrat<br />

Konstanze Pistor<br />

Vorsitzende<br />

Ministerium für Wissenschaft, Forschung und Kultur<br />

Land Brandenburg<br />

RD Dr. Volkmar Dietz<br />

Stellvertretender Vorsitzender<br />

Bundesministerium für Bildung und Forschung<br />

Dr.-Ing. Peter Draheim<br />

Philips GmbH, Hamburg<br />

Dr. Gunter Fischer<br />

<strong>IHP</strong> GmbH<br />

Prof. em. Dr. Helmut Gabriel<br />

(bis 14. Oktober <strong>2010</strong>)<br />

Freie Universität Berlin<br />

Dr. Christoph Kutter<br />

Infineon Technologies AG<br />

Neubiberg<br />

Dr. Harald Richter<br />

<strong>IHP</strong> GmbH<br />

Prof. Dr. Ernst Sigmund<br />

(bis 14. Oktober <strong>2010</strong>)<br />

Brandenburgische Technische Universität Cottbus<br />

Prof. Dr. Eicke Weber<br />

(seit 14. Oktober <strong>2010</strong>)<br />

Fraunhofer ISE, Freiburg<br />

6 A n n u A l R e p o R t 2 0 0<br />

A U F S I C H T S R A T – S U P E R V I S O R y B O A R d<br />

Supervisory Board<br />

Konstanze Pistor<br />

Chair<br />

Ministry of Science, Research and Culture<br />

State of Brandenburg<br />

Rd dr. Volkmar dietz<br />

Deputy Chair<br />

Federal Ministry of education and Research<br />

dr.-Ing. Peter draheim<br />

philips GmbH, Hamburg<br />

dr. Gunter Fischer<br />

IHp GmbH<br />

Prof. Helmut Gabriel<br />

(until october 14, <strong>2010</strong>)<br />

Freie universität Berlin<br />

dr. Christoph Kutter<br />

Infineon technologies AG<br />

neubiberg<br />

dr. Harald Richter<br />

IHp GmbH<br />

Prof. Ernst Sigmund<br />

(until october 14, <strong>2010</strong>)<br />

Brandenburg university of technology, Cottbus<br />

Prof. Eicke weber<br />

(since october 14, <strong>2010</strong>)<br />

Fraunhofer ISe, Freiburg


Wissenschaftlicher Beirat<br />

w I S S E N S C H A F T L I C H E R B E I R A T – S C I E N T I F I C A d V I S O R y B O A R d<br />

Prof. Dr. Hermann G. Grimmeiss<br />

Vorsitzender<br />

Lund University, Schweden<br />

Dr. Josef Winnerl<br />

Stellvertretender Vorsitzender<br />

Infineon Technologies AG, München<br />

Dr. Volker Dudek<br />

TELEFUNKEN Semiconductors GmbH & Co. KG<br />

Heilbronn<br />

Prof. Dr. Ignaz Eisele<br />

Fraunhofer IZM, München<br />

Prof. Dr. Lothar Frey<br />

Fraunhofer IISB, Erlangen<br />

Prof. Dr. Michael Hoffmann<br />

(bis 29. Januar <strong>2010</strong>)<br />

Universität Ulm<br />

Prof. Dr. Hermann Rohling<br />

(seit 1. Dezember <strong>2010</strong>)<br />

Technische Universität Hamburg-Harburg<br />

Prof. Dr. Jörg Weber<br />

(seit 1. Februar <strong>2010</strong>)<br />

Technische Universität Dresden<br />

Prof. Dr. Robert Weigel<br />

(seit 1. Februar <strong>2010</strong>)<br />

Friedrich-Alexander-Universität Erlangen-Nürnberg<br />

Leitung<br />

Prof. Dr. Wolfgang Mehr<br />

Wissenschaftlich-Technischer Geschäftsführer<br />

Manfred Stöcker<br />

Administrativer Geschäftsführer<br />

Scientific Advisory Board<br />

Prof. Hermann G. Grimmeiss<br />

Chair<br />

lund university, Sweden<br />

dr. Josef winnerl<br />

Deputy Chair<br />

Infineon technologies AG, Munich<br />

dr. Volker dudek<br />

teleFunKen Semiconductors GmbH & Co. KG<br />

Heilbronn<br />

Prof. Ignaz Eisele<br />

Fraunhofer IZM, Munich<br />

Prof. Lothar Frey<br />

Fraunhofer IISB, erlangen<br />

Prof. Michael Hoffmann<br />

(until January 29, <strong>2010</strong>)<br />

university of ulm<br />

Prof. Hermann Rohling<br />

(since December 1, <strong>2010</strong>)<br />

Hamburg university of technology<br />

Prof. Jörg weber<br />

(since February 1, <strong>2010</strong>)<br />

Dresden university of technology<br />

Prof. Robert weigel<br />

(since February 1, <strong>2010</strong>)<br />

Friedrich-Alexander-university of erlangen-nuremberg<br />

Management<br />

Prof. wolfgang Mehr<br />

Scientific Director<br />

Manfred Stöcker<br />

Administrative Director<br />

A n n u A l R e p o R t 2 0 0<br />

7


8 A n n u A l R e p o R t 2 0 0<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

<strong>IHP</strong>‘s Research


Forschung des <strong>IHP</strong><br />

Das <strong>IHP</strong> konzentriert sich auf die Erforschung und Entwicklung<br />

von Silizium-basierten Systemen, Höchstfrequenz-Schaltungen<br />

und -Technologien einschließlich<br />

neuer Materialien. Es erarbeitet innovative Lösungen<br />

für Anwendungsbereiche wie die drahtlose und Breitbandkommunikation,<br />

die Luft- und Raumfahrt, die Biotechnologie<br />

und Medizin, die Automobilindustrie, die<br />

Sicherheitstechnik und die Industrieautomatisierung.<br />

Das Institut arbeitet an den folgenden vier eng miteinander<br />

verbundenen Forschungsprogrammen:<br />

1. Drahtlose Systeme und Anwendungen,<br />

2. Hochfrequenz-Schaltkreise,<br />

3. Technologieplattform für drahtlose und<br />

Breitbandkommunikation,<br />

4. Materialien für die Mikro- und Nanoelektronik.<br />

Die Forschungsprogramme nutzen die besonderen Möglichkeiten<br />

des <strong>IHP</strong>. So verfügt das Institut über eine<br />

Pilotlinie für technologische Forschungen und Entwicklungen<br />

sowie die Präparation von Prototypen und<br />

Kleinserien. Eine weitere Besonderheit ist das vertikale<br />

Forschungskonzept unter Nutzung der zusammenhängenden<br />

und aufeinander abgestimmten Kompetenzen<br />

des Institutes auf den Gebieten Systementwicklung,<br />

Schaltungsentwurf, Technologie und Materialforschung.<br />

Die Forschung des <strong>IHP</strong> setzt auf die typischen Stärken<br />

eines Leibniz-Institutes: Sie ist charakterisiert durch eine<br />

langfristige, komplexe Arbeit, die Grundlagenforschung<br />

mit anwendungsorientierter Forschung verbindet.<br />

Die Realisierung der Forschungsprogramme erfolgt mit<br />

Hilfe eines regelmäßig aktualisierten Portfolios von Projekten<br />

auf Basis einer mittelfristigen Roadmap. Die Aktualisierung<br />

geschieht aufgrund inhaltlicher Erfordernisse<br />

sowie der Möglichkeiten für Kooperationen und<br />

Finanzierung. Drittmittelprojekte werden im Einklang<br />

mit den strategischen Zielen des <strong>IHP</strong> eingeworben.<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

<strong>IHP</strong>`s Research<br />

IHp is focused on the research and development of<br />

silicon-based systems, high-frequency circuits and<br />

technologies including new materials. It creates innovative<br />

solutions for application areas such as wireless<br />

and broadband communication, aerospace, biotechnology<br />

and medicine, the automotive industry,<br />

security and industrial automation.<br />

the institute is working on the following four closely<br />

connected research programs:<br />

1. Wireless Systems and Applications,<br />

2. RF Circuits,<br />

3. technology platform for Wireless and Broadband<br />

Communication,<br />

4. Materials for Micro- and nanoelectronics.<br />

the research programs make use of the special opportunities<br />

provided by the IHp. For instance, the institute<br />

has a pilot line for technological research and<br />

developments as well as for manufacturing prototypes<br />

and small series. An additional feature is the vertical<br />

research concept employing the associated and harmonized<br />

expertise of the institute in the fields of<br />

system development, circuit design, technology, and<br />

materials research.<br />

the research of the IHp is based on the typical<br />

strengths of a leibniz Institute: it is dominated by<br />

long-term, complex efforts which connect basic research<br />

with application-oriented research.<br />

the realization of the research programs is accomplished<br />

utilizing a project portfolio based on a<br />

medium-term roadmap. the project portfolio is regularly<br />

updated according to content requirements as<br />

well as through opportunities for cooperations and<br />

outside funding. Grant projects are acquired in accordance<br />

with the strategic goals of IHp.<br />

A n n u A l R e p o R t 2 0 0<br />

9


Die Forschungsprogramme des <strong>IHP</strong> verfolgen die folgenden<br />

wesentlichen Ziele:<br />

Drahtlose Systeme und Anwendungen<br />

Im Programm „Drahtlose Systeme und Anwendungen“<br />

werden komplexe Systeme für die drahtlose Kommunikation<br />

und deren Anwendungen untersucht und entwickelt.<br />

Ziel sind Hardware- / Software-Systemlösungen<br />

auf hochintegrierten Single-Chips, Systeme on Chip<br />

(SoC) oder Systeme in Packages (SiP).<br />

Für WLANs hoher Performance sollen Datenraten bis<br />

100 Gbps bei Trägerfrequenzen bis zu 300 GHz erreicht<br />

werden. Weitere wichtige Forschungsthemen sind Untersuchungen,<br />

die die Quality of Service im Hochlastbereich<br />

verbessern sowie Untersuchungen zur Erhöhung<br />

der Zuverlässigkeit von WLANs zur Verwendung in sicherheitskritischen<br />

Anwendungen wie in der Fahrzeugzu-Fahrzeug-Kommunikation.<br />

Die Forschung zu Systemen mit geringem Energieverbrauch<br />

hat zum Ziel, Sensornetze auf Basis hochintegrierter<br />

Chips oder SoC zu realisieren. In diesem Zusammenhang<br />

werden neue Netzarchitekturen, verteilte,<br />

ressourcenarme Middlewareansätze, neue energieeffiziente<br />

Medienzugriffsprotokolle sowie energieeffiziente<br />

Transceiver erforscht und realisiert. UWB-Technologien<br />

auf der Basis von IEEE 802.15.4a sind Beispiele für<br />

drahtlose Kommunikation im Nahbereich und zusätzlich<br />

hohe Ortsauflösungseigenschaften. Die Forschung<br />

zu kontextabhängigen Middleware-Systemen betrifft<br />

insbesondere auch die Erhaltung der Privatsphäre und<br />

die Sicherheit bei der Nutzung mobiler Endgeräte.<br />

Dazu werden modulare Kryptoprozessoren sowohl für<br />

AES (Advanced Encryption Standard) als auch für unterschiedliche<br />

ECC (Elliptic Curve Cryptography)-Verfahren<br />

untersucht und entwickelt. Zusätzlich werden<br />

unterschiedliche Verfahren für die digitale Signatur zur<br />

Überprüfung der Authentizität von drahtlosen Nachrichten<br />

untersucht.<br />

0 A n n u A l R e p o R t 2 0 0<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

Significant goals of IHp’s research programs are specified<br />

below:<br />

wireless Systems and Applications<br />

this program investigates and develops complex<br />

systems for wireless communication and their applications.<br />

the objective is finding solutions for hardware<br />

/ software systems on highly integrated single<br />

chips, Systems on a Chip (SoC) or Systems in a package<br />

(Sip).<br />

the target of high performance WlAn research is<br />

to achieve a data rate of up to 100 Gbps at carrier<br />

frequencies of up to 300 GHz. Additional important<br />

fields of research include the improvement of Quality<br />

of Service in the high load region as well as investigations<br />

to increase the reliability of WlAns for security-sensitive<br />

applications such as car-to-car communication.<br />

the research on systems with low energy consumption<br />

is directed towards sensor networks on single chips or<br />

SoC. In this context new network architectures, distributed<br />

low resource middleware concepts, new energy<br />

efficient protocols for media access as well as<br />

energy-efficient transceivers are investigated and<br />

realized. uWB technologies based on Ieee 802.15.4a<br />

are examples of short-range wireless communication<br />

with an additional high spatial resolution. Research<br />

in context-sensitive middleware systems especially<br />

addresses privacy and security matters in using mobile<br />

devices. In this context, modular crypto processors<br />

for AeS (Advanced encryption Standard) as well as for<br />

different eCC (elliptic Curve Cryptography) techniques<br />

are investigated and developed. Additionally, techniques<br />

for digital signature with different authenticity<br />

checks of wireless messages are investigated.


Bei der Entwicklung von Methoden zur Erhöhung der<br />

Zuverlässigkeit und Testbarkeit von Schaltungen werden<br />

Bibliotheken für CMOS-Technologien untersucht<br />

und realisiert, die die Strahlungsfestigkeit von Schaltungen<br />

erhöhen. Im Bereich des Logikdesigns werden<br />

unterschiedliche Verfahren zur Redundanzerhöhung<br />

kritischer Pfade untersucht. Darüber hinaus werden<br />

Speichergeneratoren entwickelt, die unterschiedliche<br />

Speichertypen unterstützen. EDAC (Error Detection And<br />

Correction)-Techniken zur Datenkorrektur in Speichern<br />

gehören zum Portfolio. Der Test aller im <strong>IHP</strong> entwickelten<br />

digitalen Schaltungen wird als Dienstleistung angeboten.<br />

Hochfrequenz-Schaltkreise<br />

Im Programm „Hochfrequenz-Schaltkreise“ werden integrierte<br />

mm-Wellen-Schaltkreise & Synthesizer, Breitband-Mischsignal-Schaltkreise<br />

sowie Schaltkreise für<br />

drahtlose Anwendungen mit sehr geringem Energieverbrauch<br />

entwickelt und als Prototypen realisiert.<br />

Integrierte Millimeterwellen-HF-Schaltkreise für<br />

Front-ends und Synthesizer zum Einsatz in der drahtlosen<br />

Kommunikation bei Frequenzen von etwa 10 bis<br />

300 GHz werden derzeit entwickelt. Sie ermöglichen in<br />

Zukunft Anwendungen im Bereich der drahtlosen Kurzstrecken-Kommunikation<br />

mit Bandbreiten von über<br />

25 GHz und 100 Gbps. Weitere Einsatzgebiete sind<br />

hochintegrierte Radar-Transceiver, mm-Wellen- / THz-<br />

Sensoren für Sicherheitstechnik, zerstörungsfreie Materialprüfung,<br />

Bioanalytik und Raumfahrt.<br />

Für die glasfasergestützte Breitbandkommunikation<br />

werden Konzepte und elektronische Komponenten für<br />

Glasfasersysteme mit Datenraten von 10 bis 400 Gbps<br />

pro Laser-Wellenlänge für zukünftige schnelle Glasfasernetze<br />

sowie opto-elektronische USB-Technologien<br />

der übernächsten Generation entwickelt. Beispiele dafür<br />

sind schnelle Verstärker (Transimpedanzverstärker,<br />

Treiber) mit extrem hohen Bandbreiten, A / D- & D / A-<br />

Wandler mit Sampling-Raten von über 20 GSps, schnelle<br />

Stromschalter-Logikschaltkreise, die Verarbeitung von<br />

Mischsignalen in Echtzeit sowie integrierte photonische<br />

Systeme (Silicon Photonics).<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

CMoS libraries for higher radiation hardness are investigated<br />

and realized in the context of higher reliability<br />

and testability of circuits. For digital designs different<br />

procedures for obtaining higher redundancy in<br />

critical paths are investigated. Furthermore, memory<br />

generators for different memory types are developed.<br />

Additional tasks are eDAC (error Detection And Correction)<br />

techniques for data correction in memories<br />

and testing of all digital IHp-circuits as a service.<br />

RF Circuits<br />

In this program integrated mm-wave circuits & synthesizers,<br />

broadband mixed-signal circuits and circuits<br />

for ultra-low-power wireless applications will be<br />

designed and realized as prototypes.<br />

Integrated millimeter-wave RF circuits for frontends<br />

and synthesizers for wireless communication at<br />

roughly 10 to 300 GHz are under development. In the<br />

future they will enable applications in wireless short<br />

range communication with 100 Gbps at a bandwidth<br />

of more than 25 GHz. Additional application areas are<br />

highly integrated radar transceivers, mm-wave- & tHz<br />

sensors for security, non-destructive materials testing,<br />

bioanalysis and aerospace.<br />

Concepts and electronic components for fiber-optical<br />

broadband communication systems with data rates<br />

from 10 up to 400 Gbps per laser wavelength will be<br />

developed for future fast fiber-optical networks as<br />

well as optoelectronic next generation uSB technologies.<br />

examples are fast amplifiers (transimpedance<br />

amplifiers, driver circuits) with extremely high bandwidth,<br />

A / D- and D / A-converters with sampling rates<br />

of more than 20 GSps as well as fast current switch<br />

logic circuits and real-time analog / digital signal<br />

processing as well as integrated photonic systems<br />

(Silicon photonics).<br />

A n n u A l R e p o R t 2 0 0


Extrem energiesparende HF-Frontends und HF-Komponenten<br />

werden für drahtlose Sensornetze entwickelt.<br />

Hierzu werden innovative Impuls-UWB-Transceiver, RF-<br />

MEMS-basierte Schaltungen und Wake-Up-Radio-Konzepte<br />

erforscht, mit denen die geforderte Batterie-Lebensdauer<br />

von 10 Jahren erreichbar ist.<br />

Technologieplattform für drahtlose und Breitbandkommunikation<br />

Im Programm „Technologieplattform für drahtlose<br />

und Breitbandkommunikation“ werden Technologien<br />

(insbesondere BiCMOS-Technologien) mit zusätzlichen<br />

Funktionen durch die modulare Erweiterung von CMOS<br />

entwickelt. Die Schwerpunkte in diesem Programm sind<br />

Technologien mit hoher Performance, Technologien für<br />

eingebettete Systeme sowie die Sicherung des Zugriffs<br />

interner und externer Designer auf die Technologien<br />

des <strong>IHP</strong>.<br />

Die Forschung in Richtung Technologien hoher Performance<br />

zielt auf extrem schnelle SiGe Heterobipolartransistoren,<br />

einschließlich komplementärer Bauelemente<br />

und neuer Bauelementekonzepte für Anwendungen bei<br />

Frequenzen bis > 100 GHz ab. Hier konnten im Rahmen<br />

des EU-Projektes DOTFIVE (Towards 0.5 Terahertz Silicon<br />

/ Germanium Hetero-junction Bipolar Technology)<br />

vom <strong>IHP</strong> 500 GHz maximale Schwingfrequenz erreicht<br />

werden.<br />

Zielstellung der Forschung für eingebettete Systeme ist<br />

es, BiCMOS-Technologien durch die Integration innovativer<br />

Module mit zusätzlicher Funktionalität zu versehen.<br />

Basis dieser „More than Moore“-Strategie sind die<br />

0,25-µm- und 0,13-µm-BiCMOS-Technologien des <strong>IHP</strong>.<br />

Schwerpunkte sind die Integration von Hochfrequenz<br />

LDMOS-Transistoren, passiven Bauelementen, Hochfrequenz<br />

MEMS (Mikroelektromechanische Systeme)-<br />

Komponenten und die Verbindung von Elektronik und<br />

Photonik-Technologien (Silicon Photonics).<br />

2 A n n u A l R e p o R t 2 0 0<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

ultra-low-power RF frontends and components are<br />

developed for wireless sensor networks. For this, innovative<br />

impulse uWB transceiver, RF-MeMS based<br />

circuits and concepts for wake-up radio are investigated<br />

which will enable the required ten years battery<br />

lifetime.<br />

Technology Platform for wireless and Broadband<br />

Communication<br />

the goal of this program is to develop value-added<br />

technologies, preferably BiCMoS technologies, by the<br />

modular extension of CMoS. the focal points in this<br />

program are technologies with high performance,<br />

technologies for embedded systems, and the provision<br />

of technology access for internal and external<br />

designers.<br />

the research towards high-performance technologies<br />

targets ultrafast SiGe heterobipolar transistors,<br />

including complementary devices and new device<br />

concepts for applications at frequencies of up to<br />

> 100 GHz. Devices with 500 GHz maximum oscillation<br />

frequency were demonstrated by IHp in the framework<br />

of the european project DotFIVe (towards<br />

0.5 terahertz Silicon / Germanium Hetero-junction<br />

Bipolar technology).<br />

the aim of the research on embedded systems is to<br />

integrate innovative modules with additional functionality<br />

in BiCMoS technologies. this is a “More<br />

than Moore” strategy based on IHp`s 0.25 µm and<br />

0.13 µm BiCMoS technologies. Main focuses of work<br />

are the integration of RF lDMoS transistors, passive<br />

devices, RF-MeMS (microelectromechanical systems)<br />

components as well as the combination of electronic<br />

and photonic technologies (Silicon photonics).


Die BiCMOS-Technologien werden neben der Nutzung<br />

für Forschungsprojekte des <strong>IHP</strong> in Europa und<br />

weltweit für Designs von analogen und Mischsignalschaltungen<br />

angeboten. Zusätzlich zu den bisherigen<br />

Technologien gibt es 2011 erstmalig eine 0,13-µm-<br />

BiCMOS, die HBTs mit f T / f MAX = 300 / 400 GHz enthält.<br />

Der Zeitplan für die technologischen Durchläufe in der<br />

Pilotlinie in Frankfurt (Oder) ist über die Internetadresse<br />

des <strong>IHP</strong> einsehbar.<br />

Materialien für die Mikro- und Nanoelektronik<br />

Im Forschungsprogramm „Materialien für die Mikro-<br />

und Nanoelektronik“ wird zur längerfristigen Sicherung<br />

der technologischen Innovationskraft des Institutes an<br />

der Integration neuer Materialien und Bauelementekonzepte<br />

in moderne Silizium BiCMOS-Technologien<br />

gearbeitet. Von besonderer Bedeutung sind hierbei<br />

„More than Moore“-Ansätze auf dem Gebiet künftiger<br />

Terahertz- und Photonik-Anwendungen.<br />

Die Forschungsarbeiten im Bereich „Front-End-of-Line“<br />

(FEOL) zielen auf die Erzeugung alternativer Halbleiterstrukturen<br />

(insbesondere Ge, InGaP und GaN) hoher<br />

Qualität auf Silizium ab.<br />

Im Bereich „Back-End-of-Line“ (BEOL) steht die Integration<br />

von Metall-Isolator-Metall (MIM) Strukturen<br />

für nichtflüchtige Speicher und „Surface acoustic wave<br />

(SAW)“ Bauteilen für Filter oder biomedizinische Sensorfunktionen<br />

im Vordergrund.<br />

Die Evaluierung neuer Materialien mit einem hohen<br />

Potential für künftige Terahertz- und Photonik-Anwendungen<br />

in der Silizium-Mikroelektronik ist ein wesentlicher<br />

Punkt der Materialforschung. Schwerpunkt der<br />

Arbeiten ist hierbei die Grundlagen- und Bauteilphysik<br />

innovativer Graphen-Systeme.<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

the BiCMoS technologies are used for IHp’s research<br />

projects and are also available for designers in europe<br />

and throughout the world. In addition to the technologies<br />

offered so far, a 0.13 µm BiCMoS with HBts of<br />

f t / f MAX = 300 / 400 GHz will be offered in 2011 for the<br />

first time. the schedule for technological runs in the<br />

pilot line in Frankfurt (oder) can be found via IHp`s<br />

website.<br />

Materials for Micro- and Nanoelectronics<br />

Focus of the research program “Materials for Microand<br />

nanoelectronics” is to secure the institute’s<br />

long-term technological innovation power by the<br />

integration of new materials and device concepts in<br />

modern silicon BiCMoS technologies. of particular<br />

importance here are “More than Moore”-approaches<br />

for future terahertz and photonic applications.<br />

the research in “front-end of line” (Feol) targets<br />

high quality alternative semiconductor structures (in<br />

particular Ge, InGap and Gan) on silicon.<br />

In the foreground of the “back-end of line” (Beol)<br />

research are the integration of metal-insulator-metal<br />

(MIM) structures for non-volatile memories and surface<br />

acoustic wave (SAW) devices for filters or biomedical<br />

sensor functions.<br />

A main topic of the materials research is the evaluation<br />

of new materials with a high potential for future<br />

terahertz and photonic applications in silicon<br />

microelectronics. Basic research and device physics<br />

of innovative graphene-based systems are in the focus<br />

here.<br />

A n n u A l R e p o R t 2 0 0


Um die hohen Anforderungen moderner Silizium-Schaltkreise<br />

zu erfüllen ist eine Materialcharakterisierung mit<br />

hoher Auflösung und Sensitivität bis hinab zur Nano-<br />

Skala unabdingbar. Neben den laborbasierten Verfahren<br />

stellt daher die Nutzung des Potentials moderner<br />

Synchrotronquellen der 3. Generation in Europa ein<br />

wesentliches Standbein der Materialforschung am <strong>IHP</strong><br />

dar, um eine zeitgemäße Materialforschung auf dem<br />

Gebiet der Mikro- und Nanoelektronik zu betreiben.<br />

Gegenstand der Arbeiten im Gemeinsamen Labor mit<br />

der BTU Cottbus ist die Silizium-Materialforschung.<br />

Dabei sollen die Eigenschaften des Si-Materials maßgeschneidert<br />

werden, um neue Anwendungen zu ermöglichen<br />

und um bestehende Anwendungen zu verbessern.<br />

Schwerpunkte der grundlagenorientierten Vorlaufforschung,<br />

die sich u.a. mit den Möglichkeiten einer<br />

kontrollierten Ausnutzung der physikalischen Eigenschaften<br />

von Versetzungen für neuartige Bauelemente<br />

befasst, sind Arbeiten zu Si-basierten Lichtemittern für<br />

die optische Datenübertragung, zu Si-basierten thermo-elektrischen<br />

Generatoren, zum Defect Engineering<br />

für zukünftige Si-Wafer, zum Bandstrukturdesign und<br />

Ladungsträgertransport in Si-basierten Quantenstrukturen<br />

und zur Beherrschung der elektrischen Eigenschaften<br />

von Kristalldefekten im Solar-Si.<br />

A n n u A l R e p o R t 2 0 0<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

to meet the high demands of modern silicon circuits,<br />

a material characterization with high resolution and<br />

sensitivity down to the nanoscale is essential. In<br />

addition to laboratory-based procedures, the use of<br />

the potential of modern 3 rd generation european synchrotron<br />

sources is an essential pillar of materials research<br />

at the IHp, in order to conduct contemporary<br />

materials research in the field of micro- and nanoelectronics.<br />

Silicon materials research is the subject matter of the<br />

Joint lab IHp / Btu. Silicon properties are tailored<br />

to enable new applications and to improve existing<br />

ones.<br />

Focuses of the initial basic research, addressing<br />

amongst others the possibilities of controlled use of<br />

the physical properties of dislocations for new devices,<br />

are activities towards Si-based light emitters for optical<br />

data transmission, Si-based thermo-electric generators,<br />

defect engineering for future silicon wafers,<br />

band structure design and charge carrier transport in<br />

Si-based quantum structures, and the control of electrical<br />

properties of crystal defects in solar silicon.


<strong>IHP</strong>‘s Research Roadmap<br />

F O R S C H U N G d E S I H P – I H P ‘ S R E S E A R C H<br />

A n n u A l R e p o R t 2 0 0


6 A n n u A l R e p o R t 2 0 0<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

Update <strong>2010</strong>


Das Jahr <strong>2010</strong><br />

Zu Beginn des Jahres <strong>2010</strong> besuchten bedeutende Persönlichkeiten<br />

aus Politik und Wissenschaft das <strong>IHP</strong>. So<br />

übergab die Brandenburger Wissenschaftsministerin<br />

Dr. Martina Münch am 14. Januar einen Fördermittelbescheid<br />

zum Projekt „Neue Kommunikations-Systeme<br />

auf der Basis nanoelektronischer Technologien“,<br />

am 3. März informierte sich der Ministerpräsident des<br />

Landes Brandenburg, Matthias Platzeck, über neueste<br />

Forschungsergebnisse und der Brandenburger Finanzminister,<br />

Dr. Helmuth Markov, nutzte den „Tag der offenen<br />

Tür“ am 6. September zum Besuch des <strong>IHP</strong>.<br />

Vom großen wissenschaftlichen Interesse an den Arbeiten<br />

des Institutes zeugte der Besuch des Nobelpreisträgers<br />

für Physik, Prof. Dr. Zhores Alferov, im Februar. Seine<br />

wissenschaftlichen Arbeiten stehen in einem engen<br />

Zusammenhang mit den Forschungsthemen des <strong>IHP</strong>.<br />

Für die internationale Forschungskooperation wurden<br />

<strong>2010</strong> neue Vereinbarungen unterzeichnet. So wurde<br />

mit der Tohoku Universität Sendai (Japan) die Vereinbarung<br />

zum akademischen Austausch um weitere<br />

fünf Jahre verlängert, mit der Fakultät für Physik der<br />

TU Poznan (Polen) eine Kooperationsvereinbarung und<br />

mit dem Zentrum für energieeffiziente Elektronik -E3S-<br />

in Berkeley (USA) ein Memorandum of Understanding<br />

abgeschlossen.<br />

Der Projektleiter Mehmet Kaynak erhielt den „Best Paper<br />

Award“ beim 10. Topical Meeting on Silicon Monolithic<br />

Integrated Circuits in RF Systems (SiRF). Mit dem<br />

„Young Engineer Award“ wurde Jana Krimmling beim<br />

„SPS / IPC / Drives“, Europas führender Fachmesse für<br />

elektrische Automatisierung, ausgezeichnet.<br />

Die Publikations- und Vortragstätigkeit des Institutes<br />

wuchs im Jahr <strong>2010</strong> deutlich und erreichte 180<br />

schriftliche Publikationen und 264 Vorträge. Neben den<br />

zahlreichen Vorlesungen von <strong>IHP</strong>-Mitarbeitern an Brandenburger<br />

und Berliner Universitäten und Hochschulen<br />

zeugen 20 abgeschlossene Diplom-, Master- und Bachelorarbeiten<br />

sowie acht erfolgreich verteidigte Dissertationen<br />

vom starken Engagement des Institutes bei der<br />

studentischen Ausbildung.<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

Update <strong>2010</strong><br />

At the beginning of <strong>2010</strong>, important personalities from<br />

politics and science visited the IHp. on January 14, the<br />

Minister of Science, Research and Culture of Brandenburg,<br />

Dr. Martina Münch, delivered a funding decision<br />

for the project “new communication systems on the<br />

basis of nanoelectronic technologies”, on March 3,<br />

the Minister president of land Brandenburg, Matthias<br />

platzeck, was informed about the latest research results,<br />

and on September 6, the Brandenburg Minister<br />

of Finance, Dr. Helmuth Markov, used the IHp open Day<br />

for a visit.<br />

the visit of nobel laureate for physics, prof. Zhores<br />

Alferov in February, is evidence of the great scientific<br />

interest in the work of the institute. Alferov`s scientific<br />

works are closely related to the research themes<br />

of the IHp.<br />

new agreements were signed in <strong>2010</strong> for the further<br />

international research cooperation. With the tohoku<br />

university Sendai (Japan) the agreement for academic<br />

exchange was extended for another five years,<br />

with the Faculty of physics of the university of poznan<br />

(poland) a cooperation agreement was made, and<br />

with the Center for energy efficient electronics Science<br />

-e3S- in Berkeley (uSA) a Memorandum of understanding<br />

was signed.<br />

the project leader Mehmet Kaynak received the “Best<br />

paper Award” at the 10th topical Meeting on Silicon<br />

Monolithic Integrated Circuits in RF Systems (SiRF).<br />

Jana Kimmling received the “Young engineer Award”<br />

at the Congress SpS / IpC / Drives, europe‘s leading<br />

trade fair for electrical automation.<br />

the publication and presentation activities of the<br />

Institute grew significantly in <strong>2010</strong> and reached 180<br />

written presentations and 264 oral presentations.<br />

In addition to the numerous lectures of IHp staff at<br />

Brandenburg and Berlin universities and colleges 20<br />

completed diploma, master‘s or bachelor theses and 8<br />

successfully defended dissertations are evidence for<br />

the strong commitment of the Institute in the education<br />

of students.<br />

A n n u A l R e p o R t 2 0 0<br />

7


Das <strong>IHP</strong> kooperiert seit mehreren Jahren mit der BTU<br />

Cottbus und der TH Wildau im Rahmen gemeinsamer<br />

Labore. Zum Forschungsthema Silicon Photonics wurde<br />

ein weiteres Gemeinsames Labor am 18. Juni mit der<br />

TU Berlin eröffnet.<br />

Zum Ausbau der Kooperation mit regionalen Firmen<br />

wurde am <strong>IHP</strong> zum zweiten Mal der Brandenburger<br />

Sensornetztag durchgeführt. Bereits zum 9. Mal brachte<br />

der Workshop „High-Performance SiGe BiCMOS“ im<br />

September Anwender der <strong>IHP</strong>-Technologien zusammen.<br />

Ihm folgte ein zweitägiges Tutorial zu den <strong>IHP</strong> Design<br />

Kits, <strong>2010</strong> mit dem Schwerpunkt integrierte RF-MEMS.<br />

Zur aktiven Nachwuchswerbung fanden am <strong>IHP</strong> verschiedene<br />

Veranstaltungen statt. Hervorzuheben sind<br />

die „Sommerschule Mikroelektronik / Solar“ für Studierende<br />

und die „Sommerakademie Solar“ für interessierte<br />

Schüler / innen, organisiert durch den Förderverein<br />

des <strong>IHP</strong>.<br />

Wissenschaftliche Ergebnisse<br />

Im Folgenden wird auf ausgewählte wissenschaftliche<br />

Fortschritte in den Forschungsprogrammen im Jahr<br />

<strong>2010</strong> hingewiesen. Detaillierte Ergebnisse einzelner<br />

Forschungsprojekte sind im nachfolgenden Kapitel<br />

„Ausgewählte Projekte“ dargestellt.<br />

Drahtlose Systeme und Anwendungen<br />

Das <strong>IHP</strong> ist Konsortialführer im durch das B<strong>MB</strong>F geförderten<br />

Projekt EASY-A (Enablers for Ambient Services<br />

and Systems – 60 GHz Broadband Links). Bei einer<br />

Übertragungsrate von 3,6 Gbps wurden 15 m Reichweite<br />

erzielt. Dies ist der bisher weltweit beste Wert für<br />

Übertragungen ohne Beamforming. Zusätzlich wurde<br />

mit der Firma Cambridge Silicon Radio ein Abkommen<br />

für die Weiterentwicklung des UWB-basierten 60-GHz-<br />

Systems getroffen.<br />

Im Projekt MIMAX (Advanced MIMO systems for MAXimum<br />

reliability and performance; MIMO: Multiple Input<br />

Multiple Output) wurde ein vollständiges Basisband und<br />

MAC-System (MAC: Media Access Control) realisiert und<br />

an den Partner übergeben. Das Modul entspricht der im<br />

8 A n n u A l R e p o R t 2 0 0<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

the IHp has been cooperating for several years with<br />

the Btu Cottbus and the tH Wildau in joint labs. An<br />

additional joint lab together with the technical university<br />

of Berlin on the research topic silicon photonics<br />

was opened on June 18.<br />

A “Brandenburg Sensornetztag” was held for the second<br />

time to extend the cooperation with regional<br />

companies. the 9 th workshop “High-performance SiGe<br />

BiCMoS” in September brought users of IHp`s technologies<br />

together. the workshop was followed by a<br />

two-days tutorial on IHp`s Design Kits, in <strong>2010</strong> with<br />

the focus on integrated RF-MeMS. Within our efforts<br />

for recruiting young people several events were conducted.<br />

In particular, we would like to emphasize the<br />

summer school <strong>Microelectronics</strong> / Solar for students<br />

and the Summer Academy Solar for interested pupils,<br />

which was organized by the friends of the IHp.<br />

Scientific Results<br />

In the following, selected scientific advances in the<br />

research programs in <strong>2010</strong> will be pointed out. Detailed<br />

results of single research projects will be described<br />

in the next chapter “Selected projects”.<br />

wireless Systems and Applications<br />

IHp is the coordinator of the project eASY-A (enablers<br />

for Ambient Services and Systems – 60 GHz Broadband<br />

links) which is funded by the Federal Ministry of education<br />

and Research. A transmission range of 15 m<br />

was reached for a data rate of 3.6 Gbps. this is the<br />

highest value for transmissions without beamforming<br />

which was achieved worldwide so far. Additionally, an<br />

agreement for the further development of the uWBbased<br />

60 GHz system was made with the company<br />

Cambridge Silicon Radio.<br />

In the project MIMAX (Advanced MIMo systems for<br />

MAXimum reliability and performance; MIMo: Multiple<br />

Input Multiple output) a complete system for MAC<br />

(Media Access Control) and the baseband was realized<br />

and transfered to the partner. the module fulfills the


Projekt entwickelten Spezifikation und arbeitet mit einer<br />

sehr guten Zuverlässigkeit und Performance. Inzwischen<br />

wurden weitere Basisbandmodule zusammen mit<br />

einem Link Emulator an den Anwendungspartner übergeben.<br />

Die Integration der analogen MIMO Frontends<br />

mit den Basisband-Prozessoren wurde gezeigt. Auch<br />

die angestrebte Kompatibilität der MIMAX-Ansätze zum<br />

Standard IEEE 802.11a konnte nachgewiesen werden.<br />

Im Rahmen des Projektes OMEGA (home gigabit access)<br />

wurde ein extrem schneller I-MAC (Intermediate-MAC<br />

Layer) entwickelt und auf der Tagung ICT in Brüssel im<br />

September <strong>2010</strong> sehr erfolgreich vorgestellt. Zusätzlich<br />

wurde ein Monitoring-System zur Veranschaulichung<br />

der Funktion und zum Messen der Leistungsparameter<br />

realisiert. Das Monitoring System wurde so entwickelt,<br />

dass es mit geringem Aufwand auch in anderen Demonstratoren<br />

eingesetzt werden kann.<br />

Die Messergebnisse des am <strong>IHP</strong> realisierten DSSS (Direct<br />

Sequence Spread Spectrum) Basisbandsystems für den<br />

neuen Metering-Standard nach EN15787-4 erlaubten<br />

bereits dessen Integration in einen IPMS 430 Mikroprozessor<br />

Core. Auch hier wurde die Funktionsfähigkeit<br />

nachgewiesen.<br />

<strong>2010</strong> wurden die Projekte SolarFlex (Flexible drahtlose<br />

Managementschnittstelle für mittlere und große Wechselrichternetze<br />

von Solarkraftwerken, ein Projekt des<br />

Zentralen Innovationsprogramms Mittelstand (ZIM)),<br />

LOCARE (Low-Cost Accurate Range Exploitations, ein<br />

Projekt des Programms ForMaT-Forschung für den Markt<br />

im Team), VIDS (Sensoren für eine kooperative Netzwerküberwachung,<br />

ebenfalls ein ForMaT-Projekt), das<br />

Projekt TAMPRES (TAMper Resistant Sensor node, EU-<br />

FP7) und das Projekt intelligente drahtlose Rückfahrkamera<br />

neu gestartet.<br />

Der im durch das B<strong>MB</strong>F geförderten Projekt FeuerWhere<br />

(Tracking Fire Fighters) realisierte Demonstrator für<br />

das Body Area Netz wurde in <strong>2010</strong> im Brandhaus im<br />

Kontext mit den anderen Modulen des Projektes verifiziert.<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

specifications developed in the project and works very<br />

reliably and with good performance. In the meantime,<br />

additional baseband modules together with a link<br />

emulator were transfered to the application partner.<br />

the integration of the analog MIMo frontends with<br />

the baseband processors was demonstrated. the aimed<br />

compatibility of the MIMAX approaches with the<br />

Ieee 802.11a standard was proved.<br />

A very fast I-MAC (Intermediate–MAC layer) was developed<br />

in the context of the project oMeGA (home<br />

gigabit access) and successfully presented at the<br />

ICt conference in Brussels in September <strong>2010</strong>. Additionally,<br />

a monitoring system was realized to demonstrate<br />

the mode of operation and to measure the<br />

performance parameters. the monitoring system was<br />

developed in such a manner as to be applicable in<br />

other demonstrators too with minimal effort.<br />

the measurement results of IHp`s DSSS (Direct Sequence<br />

Spread Spectrum) baseband system for the<br />

new metering standard en15787-4 allowed their integration<br />

into an IpMS 430 microprocessor core. the<br />

functionality was also demonstrated for this solution.<br />

the projects SolarFlex (flexible wireless management<br />

network for medium and large DC-to-AC converter<br />

modules of solar plants, a ZIM project (central innovation<br />

programme for small enterprises), loCARe (low-<br />

Cost Accurate Range exploitations, a project of the<br />

program ForMat - research for the market as a team),<br />

VIDS (sensors for a cooperative network control, also<br />

a ForMat project), the project tAMpReS (tAMper Resistant<br />

Sensor node, eu-Fp7) and the project intelligent<br />

wireless rear view camera were all started in <strong>2010</strong>.<br />

the demonstrator for the body area network, developed<br />

in the B<strong>MB</strong>F funded project FeuerWhere (tracking<br />

Fire Fighters), was verified in the fire test room in<br />

context with other modules of the project in <strong>2010</strong>.<br />

A n n u A l R e p o R t 2 0 0<br />

9


Hochfrequenz-Schaltkreise<br />

Lizenzfreie Funkbänder bei 122 GHz und 245 GHz ermöglichen<br />

in Zukunft eine Vielzahl von Anwendungen<br />

im Bereich Radarsensorik und Bildgebung. Für das ZIM-<br />

Projekt „Integrated Security Monitor“ wurde eine 122-<br />

GHz-Elektronik für Körper-Scanner realisiert. Es wurde<br />

ein 122 GHz Receiver mit hoher Verstärkung (>30 dB)<br />

und niedriger Rauschzahl (30 dB) and low noise (


Für zukünftige Mobilfunk-Basisstationen mit energieeffizienten<br />

Class-S Sendeverstärkern wurden Bandpass-<br />

Delta-Sigma-Modulatoren weiterentwickelt. Ein 2-GHz-<br />

Modulator für UMTS-Anwendungen mit neuartiger Architektur<br />

wurde entwickelt und von den Firmen EADS<br />

und Alcatel-Lucent erfolgreich getestet. Theoretische<br />

Untersuchungen haben zu neuen Verfahren und einer<br />

weiteren Patentanmeldung geführt. Im Juni <strong>2010</strong> wurde<br />

eine Kooperation mit ETRI (Electronics and Telecommunications<br />

Research Institute) in Korea gestartet, die<br />

die Entwicklung neuartiger Class-S Bandpass-Delta-Sigma-<br />

Modulatoren für WiMAX-Systeme zum Ziel hat.<br />

In Zusammenarbeit mit der ESA (European Space Agency)<br />

werden die erfolgreichen Arbeiten an hochinte-<br />

grierten, strahlungsharten Fractional-N PLL-Synthesizern<br />

(PLL: Phase-locked loop) für Satellitenanwendungen<br />

fortgeführt. Ein Projekt zur Phasenrauschmodellierung<br />

von PLL-Synthesizern wurde mit der ESA und<br />

weiteren Partnern gestartet. In Vorbereitung zu diesem<br />

Projekt wurde eine neue mathematische Modellierung<br />

von nichtlinearen Effekten in Delta-Sigma-Fractional-<br />

N-Synthesizern entwickelt und in der renommierten<br />

Zeitschrift IEEE Transactions on Circuits and Systems<br />

publiziert. Genaue Methoden zur PLL-Rauschmodellierung<br />

ermöglichten es, einen optimierten, vollintegrierten<br />

10-GHz-Synthesizer mit CMOS-VCO (Voltage<br />

controlled oscillator) und Rekordwerten für Phasenrauschen<br />

und Jitter (160 fs RMS) zu realisieren.<br />

Im Projekt HiTrans wurden zusammen mit Berliner Firmen<br />

und Instituten Schaltungen für kostengünstige<br />

40 Gbps Glasfasermodule entwickelt, die schnellere,<br />

kostengünstigere und energieeffizientere Datenkommunikation<br />

in Kurzstreckenverbindungen ermöglichen<br />

werden. Im Projekt wurden ein energieeffizienter 40<br />

Gbps VCSEL-Treiber (VCSEL: Vertical-cavity surfaceemitting<br />

laser) und ein Transimpedanzverstärker für<br />

Multimoden-Photodetektoren entwickelt, die weltweit<br />

den ersten 40-Gbps-Chipsatz für Multimoden-Glasfasersysteme<br />

darstellen. Im Rahmen des europäischen<br />

Verbundprojektes OpticalLink werden aktive optische<br />

Kabel für zukünftige ultra-schnelle USB-Verbindungen<br />

mit 20 Gbps entwickelt. Im Fokus stehen hier insbesondere<br />

die Integration von SiGe-Schaltungen und optoelektronischen<br />

Komponenten auf Siliziumsubstraten<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

Bandpass delta-sigma modulators were further developed<br />

for innovative future cellular base stations<br />

with energy efficient class-S transmitter amplifiers. A<br />

2-GHz-modulator for uMtS applications with a new<br />

architecture was developed and successfully tested by<br />

eADS and Alcatel-lucent. theoretical investigations<br />

resulted in new methods and an additional patent application.<br />

A cooperation with etRI (electronics and<br />

telecommunications Research Institute) in Korea was<br />

started in June <strong>2010</strong> aiming at the development of<br />

new Class-S bandpass delta-sigma modulators for Wi-<br />

MAX systems.<br />

the successful work on highly integrated and radiation<br />

hard Fractional-n pll synthesizers (pll: phaselocked<br />

loop) for satellite applications was continued<br />

in cooperation with the eSA (european Space Agency).<br />

A project for the modelling of phase noise of pll<br />

synthesizers was started together with the eSA and<br />

other partners. In preparation for this project a new<br />

mathematical modelling of nonlinear effects in deltasigma<br />

Fractional-n synthesizers was developed and<br />

published in the renowned journal Ieee transactions<br />

on Circuits and Systems. exact methods for modelling<br />

pll noise enabled the realization of an optimized,<br />

fully integrated 10 GHz synthesizer with CMoS VCo<br />

(Voltage controlled oscillator) und record values for<br />

phase noise and jitter (160 fs RMS).<br />

Circuits for cost efficient 40 Gbps fiber modules were<br />

developed in the project Hitrans together with Berlin<br />

companies and institutes. they will enable faster,<br />

more cost and energy efficient short range data<br />

communication. An energy efficient 40 Gbps VCSeldriver<br />

(VCSel: Vertical-cavity surface-emitting laser)<br />

and a transimpedance amplifier for multimode photo<br />

detectors were developed in the project. they are<br />

the first 40 Gbps chipset for multimode fiberoptical<br />

systems worldwide. Active optical cables for future<br />

ultrafast 20 Gbps uSB connectors are under development<br />

in the european collaborative project opticallink.<br />

the integration of SiGe circuits with optoelectronic<br />

components on silicon wafers with passive<br />

optical devices and waveguides (Silicon photonics)<br />

are the main focus. this hybrid integrated circuit is<br />

A n n u A l R e p o R t 2 0 0<br />

2


mit passiven optischen Bauelementen und Wellenleitern<br />

(Silicon Photonics). Diese hybridintegrierte<br />

Schaltung stellt ein kostengünstiges elektro-optisches<br />

Mikrosystem dar, das in einen USB-Stecker integriert<br />

werden kann. Aufgabe der Abteilung Circuit Design ist<br />

die extrem verlustleistungseffiziente Realisierung von<br />

Lasertreiber und Transimpedanzverstärker, da durch die<br />

Integration in einem Kunststoff-USB-Stecker kaum Abwärme<br />

abgeführt werden kann.<br />

Ein vollintegrierter, impulsbasierter UWB-Transceiver<br />

für den IEEE 802.15.4a-Standard wurde erfolgreich realisiert.<br />

Der Transceiver eignet sich für Anwendungen<br />

im Bereich drahtloser Sensornetzwerke und soll im Projekt<br />

TANDEM der Abteilung System Design mit dem dort<br />

entwickelten Basisband und MAC zu einem Single-Chip<br />

UWB-Kommunikations-System integriert werden. Der<br />

komplexe HF-Chip vereint alle HF-Komponenten des<br />

Senders und Empfängers, einen Frequenzsynthesizer<br />

sowie die analoge Basisbandverarbeitung und wurde in<br />

der SGB25V-Technologie des <strong>IHP</strong> realisiert. Im Projekt<br />

DISTCOM wurde zusammen mit der IMST GmbH, Kamp-<br />

Lintfort, ein impulsbasierter UWB-Transceiver realisiert,<br />

der ein proprietäres Impulsverfahren nutzt. Dieses<br />

Funkverfahren wurde im früheren EU-Projekt PULSERS<br />

I und II entwickelt. Der DISTCOM-Transceiver ermöglicht<br />

die hochgenaue Laufzeitmessung zur Lokalisierung von<br />

Funkmodulen und ist insbesondere für Lokalisierung<br />

und Kommunikation in Industrie-Umgebungen geeignet.<br />

Integrierte mm-Wellen- und Breitbandschaltungen stellen<br />

höchste Anforderungen an die Gehäuse- und Aufbautechnik<br />

sowie die Hochfrequenzmodellierung des<br />

Chip- / Gehäuse-Interfaces. Die Abteilung Circuit Design<br />

baut hierzu auf eine doppelte Strategie: Für das schnelle<br />

Prototyping wurde das RF ProtoLab eingerichtet und<br />

ausgebaut, für professionelles, komplexeres Packaging<br />

werden vorzugsweise Kooperationen mit externen Partnern<br />

eingegangen. Im RF ProtoLab können einfache<br />

mehrlagige Hochfrequenz-Platinen in Feinstleitertechnik<br />

am <strong>IHP</strong> hergestellt sowie Aufbautechnik in Flip-<br />

Chip- und Drahtbond-Technik durchgeführt werden.<br />

Damit verbessern sich die Möglichkeiten des <strong>IHP</strong> zur<br />

Realisierung von Höchstfrequenzschaltungen bis über<br />

100 GHz wesentlich. Weiterführende Arbeiten im Be-<br />

22 A n n u A l R e p o R t 2 0 0<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

a low cost electro-optical microsystem, which can be<br />

integrated in a uSB plug. the task of the department<br />

Circuit Design is to build a laser driver and transimpedance<br />

amplifier with extremely low power dissipation,<br />

since heat transmission is difficult because of the integration<br />

in a plastic uSB-connector.<br />

A fully integrated, impulse based Ieee 802.154a standard<br />

compliant uWB transceiver was realized successfully.<br />

the transceiver is well suited for wireless<br />

sensor network applications. It is planned for integration<br />

with the baseband and MAC, which were developed<br />

by the department System Design in the project<br />

tAnDeM, to a single chip uWB communication system.<br />

the complex RF chip integrates all RF components of<br />

the transmitter and receiver, a frequency synthesizer<br />

as well as the analog baseband processing. this chip<br />

was manufactured with IHp`s technology SGB25V. together<br />

with the IMSt Kamp-lintfort, an impulse based<br />

uWB transceiver with a proprietary impulse technique<br />

was realized in the project DIStCoM. the proprietary<br />

impulse based radio technology was developed in<br />

the former european projects pulSeRS I and II. the<br />

DIStCoM transceiver enables the high-precision runtime<br />

measurement for the localization of radio modules<br />

and is well suited for localisation and communication<br />

in industrial environments.<br />

Integrated mm-wave and broadband circuits put the<br />

highest demands on the packaging and assembly as<br />

well as the high-frequency modelling of the chip/<br />

package interfaces. For this reason the department<br />

Circuit Design is building on a dual strategy: For rapid<br />

prototyping the RF protolab was established and<br />

developed. For professional complex packaging, cooperations<br />

with external partners are favored. Simple<br />

multilayer high-frequency circuit boards can be<br />

manufactured in fine-line technique and assembly in<br />

flip-chip and wire-bonding technique can be realized<br />

in IHp`s RF protolab. this essentially improves the<br />

capabilities of the IHp for the realization of highfrequency<br />

circuits up to more than 100 GHz. Further<br />

work on design technology and packaging for high


eich Aufbautechnik und Packaging für Hochfrequenzanwendungen<br />

erfolgen derzeit in Kooperation mit dem<br />

Karlsruhe Institute of Technology, der IMST GmbH, dem<br />

Fraunhofer IZM und der TU Braunschweig. Im Bereich<br />

Photonik-/Elektronik-Integration werden gemeinsame<br />

Forschungsarbeiten mit dem Joint Lab Silicon Photonics<br />

(<strong>IHP</strong>-TU Berlin), dem IZM, Tyco Electronics und XIO<br />

Photonics in den Niederlanden durchgeführt.<br />

Technologieplattform für drahtlose und<br />

Breitbandkommunikation<br />

Die 0,13-µm- und 0,25-µm-BiCMOS-Technologien des<br />

<strong>IHP</strong> bieten hervorragende Möglichkeiten für hochintegrierte<br />

Mischsignal-Schaltungen. Insbesondere die<br />

in der 0,13-µm-Technologie enthaltenen Heterobipolartransistoren<br />

(HBTs) mit Schwingfrequenzen bis<br />

zu 300 GHz und Transitfrequenzen bis zu 250 GHz sind<br />

für höchste Geschwindigkeitsanforderungen geeignet.<br />

<strong>2010</strong> wurden zusätzlich zu den 0,25-µm-Runs zwei reguläre<br />

Multi-Projekt-Wafer-Runs mit 0,13-µm-BiCMOS<br />

gestartet. Der Abschluss der Qualifizierung der 0,13-<br />

µm-Technologie ist für 2011 geplant.<br />

Ein wichtiger Schwerpunkt der technologischen Aktivitäten<br />

sind Arbeiten zur weiteren Erhöhung der Grenzfrequenzen<br />

von HBTs, die im Rahmen des EU-Projektes<br />

DOTFIVE (Towards 0.5 TeraHertz Silicon / Germanium<br />

Hetero-junction Bipolar Technology) durchgeführt werden.<br />

Das Ziel des Projektes, HBTs mit Grenzfrequenzen<br />

von 500 GHz zu entwickeln, wurde vom <strong>IHP</strong> erreicht. Im<br />

Dezember <strong>2010</strong> wurde auf der IEDM in San Francisco<br />

vom <strong>IHP</strong> ein HBT-Modul mit den Rekordparametern<br />

f T / f max = 300 / 500 GHz und 2,0 ps CML Gatterverzögerungszeit<br />

vorgestellt.<br />

Die Arbeiten zu LDMOS-Transistoren sind weiterhin sehr<br />

erfolgreich bei der Veröffentlichung wissenschaftlicher<br />

Ergebnisse (z.B. angenommener Beitrag zum Topical<br />

Meeting on Silicon Monolithic Integrated Circuits in RF<br />

Systems (SiRF) im Januar 2011) und bei der Kooperation,<br />

wo zusammen mit einem Industriepartner ein neues<br />

Projekt eingeworben werden konnte.<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

frequency applications is currently done in cooperation<br />

with the Karlsruhe Institute of technology, the<br />

IMSt, the Fraunhofer IZM and the tu Braunschweig.<br />

In the area photonics/electronics integration, joint<br />

research is conducted with the Joint lab Silicon photonics<br />

(IHp-tu Berlin), the Fraunhofer IZM, tyco electronics,<br />

and XIo photonics in the netherlands.<br />

Technology Platform for wireless and Broadband<br />

Communication<br />

IHp`s 0.13 µm and 0.25 µm BiCMoS technologies<br />

offer excellent opportunities for highly-integrated<br />

mixed-signal circuits. especially the heterobipolar<br />

transistors in the 0.13 µm technology with oscillation<br />

frequencies up to 300 GHz and transit frequencies<br />

up to 250 GHz are particularly suitable for highest<br />

speeds. two regular MpW runs with 0.13 µm BiCMoS<br />

in addition to the standard 0.25 µm runs were started<br />

in <strong>2010</strong>. the completion of the qualification of the<br />

0.13 µm technology is planned for 2011.<br />

An important focus of the technological activities is<br />

the further increasing of the frequencies of heterobipolar<br />

transistors, realized in the european project<br />

DotFIVe (towards 0.5 terahertz Silicon / Germanium<br />

Heterojunction bipolar technology). the project goal,<br />

development of HBts with frequencies up to 500 GHz,<br />

was achieved by the IHp. In December <strong>2010</strong> IHp presented<br />

at the IeDM in San Francisco an HBt module<br />

with the record parameters f t / f max = 300 / 500 GHz<br />

and 2.0 ps CMl gate delay.<br />

the activities on lDMoS-transistors continue to be<br />

very successful regarding the publication of scientific<br />

results (e.g. accepted contribution at the topical<br />

Meeting on Silicon Monolithic Integrated Circuits<br />

in RF Systems (SiRF) for January 2011) and cooperations,<br />

where a new project was acquired together<br />

with an industrial partner.<br />

A n n u A l R e p o R t 2 0 0<br />

2


Die zukünftige Entwicklung von Technologien am <strong>IHP</strong><br />

und deren Anwendung in Schaltungen und Systemen<br />

verfolgt eine „More than Moore“-Strategie. Ziel ist die<br />

Integration von Modulen mit zusätzlicher Funktionalität<br />

in die BiCMOS-Technologie. So wird an der Verbindung<br />

von Photonik und Elektronik (Si Photonics) in<br />

den Projekten HELIOS (Photonics Electronics functional<br />

Integration on CMOS, gefördert durch die EU) und<br />

SiliconLight (Neuartige Lichtquellen und Komponenten<br />

für die Si-Photonik, gefördert vom B<strong>MB</strong>F) gearbeitet.<br />

Außerdem nimmt das <strong>IHP</strong> im Rahmen der DFG Forschergruppe<br />

FOR 653 an grundlegenden Forschungsarbeiten<br />

zu mikrophotonischen Systemen auf Basis von SOI teil.<br />

Im Juni <strong>2010</strong> wurde das Joint Lab „Si Photonik“ mit<br />

der TU Berlin offiziell eröffnet. Damit wird die sehr erfolgreiche<br />

Zusammenarbeit <strong>IHP</strong>-TU Berlin weiter intensiviert.<br />

Ausdruck der bereits sehr guten internationalen<br />

wissenschaftlichen Ausstrahlung der Zusammenarbeit<br />

<strong>IHP</strong>-TU Berlin ist die Einwerbung eines weiteren EU<br />

Projektes (GALACTICO - blendinG diverse photonics And<br />

eLectronics on silicon for integrAted and fully funC-<br />

TIonal COherent Tb Ethernet). Das <strong>IHP</strong> ist Koordinator<br />

dieses im Oktober <strong>2010</strong> gestarteten Projektes.<br />

Das <strong>IHP</strong> nimmt am B<strong>MB</strong>F-Projekt „Kompetenznetzwerk<br />

für Nanosystemintegration“ als einer der Hauptpartner<br />

teil. Damit werden die Arbeiten des Institutes zur Integration<br />

von MEMS in BiCMOS-Technologien gefördert, die<br />

strategische Bedeutung für die Weiterentwicklung der<br />

Anwendungsmöglichkeiten für innovative Schaltungen<br />

und Systeme haben. Die Arbeiten zur Integration von<br />

MEMS Komponenten (RF Switch) in eine BiCMOS-Technologie<br />

verlaufen sehr erfolgreich sowohl hinsichtlich<br />

der Publikation wissenschaftlicher Ergebnisse als auch<br />

hinsichtlich der Einwerbung weiterer Drittmittel. Bei<br />

der IEDM <strong>2010</strong> stellte das <strong>IHP</strong> in BiCMOS eingebettete<br />

RF-MEMS Schalter für Anwendungen oberhalb 90 GHz<br />

vor, die mit Hilfe von Rückseitenätzen realisiert wurden.<br />

Auf der Konferenz SiRF <strong>2010</strong> erhielt der Projektleiter<br />

Herr Kaynak einen Preis für das beste Paper. Im<br />

Oktober <strong>2010</strong> startete ein neues EU-Projekt FLEXWIN<br />

(Flexible Microsystem Technology for Micro- and Millimetre-Wave<br />

Antenna Arrays With Intelligent Pixels),<br />

was Ausdruck der weiter verbesserten internationalen<br />

Sichtbarkeit des <strong>IHP</strong> auf dem Gebiet MEMS ist.<br />

2 A n n u A l R e p o R t 2 0 0<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

the future development of technologies at the IHp<br />

and their applications in circuits and systems follows<br />

a “More than Moore” strategy. the aim is the integration<br />

of modules with additional functionality in<br />

the BiCMoS technology. In this context activities to<br />

connect photonics with electronics (Si photonics)<br />

are running in the projects HelIoS (photonics electronics<br />

functional Integration on CMoS, supported by<br />

the eu) and Siliconlight (new light sources and components<br />

for Si photonics, supported by the B<strong>MB</strong>F). In<br />

addition, IHp works in the DFG research group FoR<br />

653 on basic research for SoI-based micro-photonic<br />

systems. the Joint lab “Si photonics” together with<br />

the tu Berlin was officially opened in June <strong>2010</strong>.<br />

With this lab the successful cooperation IHp-tu Berlin<br />

is being reinforced. evidence of the already excellent<br />

international scientific impact of the cooperation<br />

IHp-tu Berlin is the acquisition of a further<br />

eu project (GAlACtICo - blendinG diverse photonics<br />

And electronics on silicon for integrAted and fully<br />

funCtIonal Coherent tb ethernet). IHp is the coordinator<br />

of this project, which started in october <strong>2010</strong>.<br />

the IHp is one of the main partners of the B<strong>MB</strong>F funded<br />

project “Competence network for the integration<br />

of nanosystems”. In this project IHp`s activities for<br />

the integration of MeMS into BiCMoS technology are<br />

supported, which are of strategic importance for the<br />

further development of the application spectrum for<br />

innovative circuits and systems. IHp`s results of the<br />

integration of MeMS components (RF switch) into a<br />

BiCMoS technology are very successful, both in publishing<br />

scientific results and in the acquisition of<br />

project funding. At the IeDM <strong>2010</strong> IHp presented RF-<br />

MeMS switches for applications above 90 GHz which<br />

were realized using backside etching. the project leader<br />

Mr. Kaynak received a best paper award at the SiRF<br />

conference. the new eu-project FleXWIn (Flexible<br />

Microsystem technology for Micro- and Millimetre-<br />

Wave Antenna Arrays With Intelligent pixels) started<br />

in october <strong>2010</strong>, thus reflecting the improved international<br />

visibility of the IHp in the field of MeMS.


Materialien für die Mikro- und Nanoelektronik<br />

(einschließlich Joint Lab <strong>IHP</strong> / BTU Cottbus)<br />

Im Bereich „Front-End-of-Line“ (FEOL) konnten <strong>2010</strong><br />

durch Materialforscher und Halbleitertechnologen des<br />

<strong>IHP</strong> Germanium-Nanostrukturen hoher Qualität mit<br />

innovativen Wachstumsansätzen für künftige photonische<br />

Anwendungen realisiert werden. Zentraler Ansatz<br />

ist hierbei das selektive Wachstum von Germanium<br />

mittels Chemischer Gasphasenabscheidung (CVD) auf<br />

freistehenden Silizium-Nanostrukturen. Hierbei ermöglicht<br />

die reduzierte Dimension der Germanium-Strukturen<br />

einen 3D Spannungsabbau sowie das Ausgleiten<br />

der Versetzungen zu den Randbereichen; ebenfalls eine<br />

Spannungsverteilung zwischen Germanium und Silizium-Nanostrukturen<br />

wurde theoretisch vorhergesagt,<br />

konnte aber experimentell bis dato noch nicht eindeutig<br />

belegt werden. In 2011 wird mit Hilfe kleinerer<br />

Germanium / Silizium-Nanostrukturen bis hinab in den<br />

Bereich von 50 nm die Bedeutung dieses „compliant<br />

substrate“ Ansatzes für die Erzeugung hochqualitativer<br />

Germanium-Nanostrukturen weiter intensiv untersucht.<br />

Hochauflösende Röntgenbeugung an modernen<br />

Synchrotrons ist hierbei von zentraler Bedeutung.<br />

Auf dem Gebiet „Back-End-of-Line“ (BEOL) arbeiteten<br />

Materialforscher, Halbleitertechnologen und Mitarbeiter<br />

der Abteilung System Design an der Integration sogenannter<br />

„embedded nonvolatile memory“ (e-NVM)<br />

Module in die BiCMOS-Technologie des <strong>IHP</strong> für künftige<br />

Sensornetzanwendungen. Der sogenannte RRAM Speicheransatz<br />

beruht hier auf HfO 2 -basierten Metall-Isolator-Metall<br />

Speicherzellen, deren Widerstand durch<br />

elektrische Impulse reversibel geschaltet werden kann.<br />

Ein wichtiges Ergebnis in <strong>2010</strong> ist die Realisierung von<br />

1 Transistor – 1 Resistor (1T 1R) Teststrukturen, die<br />

einerseits mit dem <strong>IHP</strong> Silizium-Prozess kompatibel<br />

sind und andererseits verbesserte Schalteigenschaften<br />

gegenüber einfacheren 1R Architekturen zeigten. Die<br />

Frage nach dem physikalischen Schaltmechanismus ist<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

Materials for Micro- and Nanoelectronics<br />

(including the Joint Lab <strong>IHP</strong>/BTU Cottbus)<br />

the “front-end of line” (Feol) group collaborated<br />

very closely with the colleagues from the technology<br />

department to develop new innovative growth approaches<br />

for Germanium (Ge) nanostructures in view<br />

of future photonics applications. Selective growth of<br />

Ge by chemical vapour deposition (CVD) on free standing,<br />

nanopatterned Silicon (Si) wafers was in the<br />

focus. the reduced dimensions of the Ge nanostructures<br />

allow dislocations to glide out to the edges<br />

and misfit strain to relax by 3D strain relief mechanisms.<br />

Furthermore, strain partitioning phenomena<br />

between Ge and Si nanostructures were theoretically<br />

predicted, but clear experimental proof was not yet<br />

reported. therefore, the fabrication of high quality Ge<br />

nanostructures on Si stripe and pillar structures smaller<br />

than 50 nm will be further investigated in 2011.<br />

the use of high resolution X-ray diffraction at modern<br />

3rd generation synchrotron facilities with high sensitivity<br />

and resolution on the nano-scale will be a key<br />

ingredient for studying the presence of compliant effects<br />

in Ge / Si nanostructures.<br />

the group, working for “back-end of line” (Beol) integration,<br />

jointly cooperated with the departments of<br />

technology and System to integrate so-called “embedded<br />

non-volatile memory” (e-nVM) structures in<br />

IHp´s Si BiCMoS technology process for future sensor<br />

network applications. the RRAM memory principle is<br />

based here on Hfo 2 metal-insulator-metal (MIM) memory<br />

cells in which the resistance can be reversibly<br />

switched between a high and low resistance state by<br />

application of electrical pulses. the most important<br />

result in <strong>2010</strong> was the realization of fully IHp Si technology<br />

compatible 1 transistor – 1 resistor (1t 1R)<br />

test array structures, which, in addition, show superior<br />

switching characteristics compared to simpler 1<br />

R architectures. the physical mechanism responsib-<br />

A n n u A l R e p o R t 2 0 0<br />

2


für HfO 2 -basierte RRAM Zellen nur unzureichend verstanden.<br />

Zu diesem Zweck wurden an dem kürzlich in<br />

Betrieb gegangenem Synchrotron Petra III in Hamburg<br />

mit Hilfe der neuen Technik HA-XPES (Hard energy Xray<br />

photoelectron spectroscopy) zerstörungsfreie Untersuchungen<br />

durchgeführt, die den chemischen und<br />

elektronischen Zustand der MIM-Strukturen als Funktion<br />

des Schaltzustandes mit hoher Sensitivität und<br />

Auflösung aufzeichnen können. Diese HA-XPES Studien<br />

werden in 2011 auf das „in-situ“ Schalten von MIM<br />

Strukturen ausgeweitet.<br />

Bei der erkundenden Materialforschung stand <strong>2010</strong><br />

die Untersuchung innovativer Graphen-basierter Bauelemente<br />

für die künftige Silizium-Mikroelektronik im<br />

Fokus. Gemeinsam mit dem Gastwissenschaftler Prof.<br />

Ya-Hong Xie von der University of California Los Angeles<br />

(UCLA), der in <strong>2010</strong> für zwei Monate mit dem<br />

<strong>IHP</strong> eng zusammen arbeitete, wurde hierzu eine Evaluierungsstudie<br />

angefertigt, deren zentrale Festlegung<br />

die Erforschung eines Bauelementes mit der Bezeichnung<br />

„Graphene base transistor“ (GBT) ist. Das GBT-<br />

Bauelement wurde 2009 vom <strong>IHP</strong> patentiert und wird<br />

in enger Zusammenarbeit mit der Abteilung Circuit<br />

Design am <strong>IHP</strong> auf sein Potential in Bezug auf THz-<br />

Anwendungen theoretisch analysiert. Parallel hierzu<br />

werden im Bereich der Grundlagenforschung wichtige<br />

Prozesschritte für die lokale Erzeugung von Graphen-<br />

Schichten mittels Abscheideverfahren untersucht. Ein<br />

zentraler Schritt für den Erfolg ist hierbei das direkte<br />

Wachstum von Graphen auf Isolatoren, um aufwendige<br />

„layer transfer“-Schritte in der Bauelementeprozessierung<br />

zu vermeiden. Mit Hilfe eines Van der Waals Epitaxie<br />

Ansatzes gelang am <strong>IHP</strong> in <strong>2010</strong> die Erzeugung<br />

von Graphen-Schichten auf Silikatträgerkristallen mittels<br />

Kohlenstoffabscheidung. Im Jahr 2011 steht bei<br />

diesem Verfahren die Optimierung der Qualität der Graphenschichten<br />

sowie deren Übertragung auf Silizium<br />

im Vordergrund.<br />

26 A n n u A l R e p o R t 2 0 0<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

le for the switching in the Hfo 2 based RRAM is not<br />

yet fully understood. For this reason, non-destructive<br />

and highly sensitive HA-XpS (Hard energy X-Ray<br />

photoelectron spectroscopy) materials science investigations<br />

at the newly commissioned petra III Synchrotron<br />

in Hamburg started to extract chemical and<br />

electronic information of RRAM MIM structures. these<br />

HA-XpS studies will be extended in 2011 to monitor<br />

“in-situ” switching phenomena of RRAM MIM cells.<br />

the focus of the “exploratory materials research<br />

group” in <strong>2010</strong> was dedicated to graphene-based<br />

concepts for future Silicon microelectronics. An innovative<br />

device concept, the so called “graphene<br />

base transistor” (GBt), was patented in 2009 by IHp<br />

and is currently theoretically evaluated in close collaboration<br />

with IHp´s Circuit Design department in<br />

terms of its high frequency properties. Furthermore,<br />

in close collaboration with IHp´s guest scientist prof.<br />

Ya-Hong Xie from the university of California los Angeles<br />

(uClA), first experimental work started and will<br />

go on in 2011 to realize and evaluate GBt modules<br />

in practice. Simultaneously, research activities in the<br />

field of graphene thin film synthesis are in progress.<br />

the main goal here is the direct growth of graphene<br />

on insulators to avoid complex “layer transfer” steps<br />

in the process flow of graphene devices for Si microelectronics.<br />

By implementing the Van der Waals epitaxy<br />

approach, graphene deposition on silicate supports<br />

was demonstrated by IHp in <strong>2010</strong>. the optimization<br />

and the improvement of the quality of the graphene<br />

films as well as the transfer of the growth concept to<br />

Si will be the main goals in 2011.


Das Joint Lab <strong>IHP</strong> / BTU arbeitet auf dem Gebiet der<br />

Si-Photonik im Rahmen des vom B<strong>MB</strong>F geförderten<br />

Projektes SiliconLight an einer Si-basierten MIS-LED<br />

unter Nutzung von dünnen ‚High-k‘-Schichten und<br />

durch Waferbonden erzeugten Versetzungsnetzwerken.<br />

Grundlagen dafür wurden mit dem bereits 2008 abgeschlossenen<br />

Projekt SiLEM (Silizium-Lichtemitter) gelegt.<br />

Erstmals konnte – in einer gemeinsamen Arbeit<br />

des Joint Lab mit der Universität Stuttgart – eine i-Geon-Si<br />

LED demonstriert werden, die bei der geforderten<br />

Wellenlänge von 1,55 µm emittiert, da sie auf einer unverspannten<br />

Germanium-Schicht basiert.<br />

In Zusammenarbeit mit dem MPI Halle und dem Forschungszentrum<br />

Jülich konnte gezeigt werden, dass die<br />

Integration von Versetzungsnetzwerken in den Kanal<br />

von MOS-FETs den Drainstrom signifikant erhöht, auch<br />

für kleine Drain- und Gate-Spannungen.<br />

Die sehr stark erhöhte Leitfähigkeit in Versetzungsnetzwerken<br />

könnte auch für die Herstellung Si-basierter<br />

thermoelektrischer Generatoren mit hohem ZT-Wert<br />

(beschreibt die Effizienz thermoelektrischer Materialien)<br />

von Bedeutung sein. Diese Fragestellung ist<br />

Gegenstand des B<strong>MB</strong>F-Projektes SiGe-TE (Silizium- und<br />

Silizium-Germanium-Dünnfilme für thermoelektrische<br />

Anwendungen).<br />

Im Projekt SINOVA wurden gemeinsam mit der RWTH<br />

Aachen und anderen Partnern – aufbauend auf den Ergebnissen<br />

des in 2008 erfolgreich abgeschlossenen Projektes<br />

Bandstrukturdesign – grundlegende Arbeiten zu<br />

Herstellung und Eigenschaften von Multi-Quantumwells<br />

aus nanokristallinen Silizium-Schichten durchgeführt.<br />

Derartige Schichtsysteme sind u.a. für Solarzellen der<br />

3. Generation von großem Interesse. Schwerpunkt der<br />

Arbeiten am Joint Lab <strong>IHP</strong> / BTU Cottbus ist die Kristallisation<br />

der Schichten mittels neuartiger Verfahren.<br />

Die Kooperation mit der Siltronic AG auf dem Gebiet zukünftiger<br />

Si-Wafer wurde kontinuierlich fortgeführt. Im<br />

Rahmen eines Forschungsprojektes werden experimentelle<br />

und theoretische Arbeiten zur Sauerstoffpräzipitation<br />

durchgeführt.<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

the Joint lab IHp / Btu is working in the field of silicon<br />

photonics within the B<strong>MB</strong>F-supported project<br />

Siliconlight on Si-based MIS-leD using thin High-klayers<br />

and dislocation networks, generated with wafer<br />

bonding. the basic ideas for this were generated in<br />

the project SileM (Silicon light emitter), which was<br />

finished in 2008. In cooperation of the Joint lab with<br />

the university of Stuttgart an i-Ge-on-Si leD was demonstrated,<br />

which emits at a wavelength of 1.55 µm,<br />

because it bases on an unstrained Germanium layer.<br />

together with the MpI Halle and the Research Center<br />

Jülich, it was demonstrated that the integration of<br />

dislocation networks in the channel of MoS-Fets will<br />

significantly increase the drain current, even for low<br />

drain- and gate-voltages.<br />

the very strong increase of the conductivity in dislocation<br />

networks is also usable for producing Si-based<br />

thermoelectric generators with a high Zt-value (describes<br />

the efficiency of thermoelectric materials).<br />

this topic is subject of the B<strong>MB</strong>F funded project SiGete<br />

(silicon and silicon germanium thin films for thermoelectric<br />

applications).<br />

In the project SInoVA basic research for manufacturing<br />

and properties of multi quantum wells from<br />

nanocrystalline silicon layers is carried out together<br />

with the RWtH Aachen and other partners. this work<br />

builds on the results of the project bandstructure design,<br />

which was successfully finished in 2008. these<br />

layer systems are among others of high interest for<br />

3rd generation solar cells. Focus of the activities at<br />

the Joint lab IHp / Btu Cottbus are new layer crystallization<br />

techniques.<br />

the cooperation with the Siltronic AG for future silicon<br />

wafers was continued. Within a research project,<br />

experimental and theoretical investigations on oxygen<br />

precipitates were conducted.<br />

A n n u A l R e p o R t 2 0 0<br />

27


Auf dem Gebiet Silizium für die Photovoltaik wurden<br />

die Arbeiten zum BMU-geförderten Forschungscluster<br />

SolarFocus erfolgreich abgeschlossen. Im Projekt<br />

wurden durch das Joint Lab <strong>IHP</strong> / BTU die Wechselwirkungen<br />

zwischen Verunreinigungen und Kristalldefekten<br />

in Solarsilizium untersucht und dabei Methoden<br />

der Synchrotron-Mikroskopie weiterentwickelt und<br />

angewandt, die die Analyse kleiner Ausscheidungen<br />

erlauben. In 2011 werden die Arbeiten in einem Anschlussprojekt<br />

fortgesetzt, welches das Verhalten von<br />

hochreinem multikristallinem Silizium zum Inhalt hat.<br />

In den Arbeiten zum Photovoltaik-Projekt AVANTSolar<br />

ging es darum, die Entwicklung verbesserter Verfahren<br />

zur Herstellung von Solarsilizium durch die am Joint<br />

Lab bestehende Expertise zur elektrischen Aktivität von<br />

Kristalldefekten und spezifische diagnostische Verfahren<br />

zu unterstützen. In einem weiteren Projekt wurde<br />

die Nutzung neuartiger Si-Materialien untersucht, die<br />

für die energieeffizientere Herstellung von Solarzellen<br />

bei der Conergy SolarModule GmbH eingesetzt werden<br />

sollen. Dabei wurde eine photolumineszenzbasierte<br />

Technik zur Abbildung von Kristalldefekten in Solarsilizium<br />

realisiert. Im Bereich der Dünnschicht-Photovoltaik<br />

beteiligt sich das Joint Lab mit seiner Expertise<br />

auf dem Gebiet Diagnostik und Materialforschung am<br />

„Kompetenzzentrum Dünnschicht- und Nanotechnologie<br />

Photovoltaik Berlin (PVComB)“.<br />

28 A n n u A l R e p o R t 2 0 0<br />

d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

In the area of silicon for photovoltaics the activities<br />

in the BMu funded project research cluster SolarFocus<br />

were finished successfully. In this project, the interaction<br />

between impurities and crystal defects in solar<br />

silicon was investigated in the Joint lab. During this<br />

work, synchrotron-microscopic methods which allow<br />

analyzing small precipitates were refined and applied.<br />

It is planned to continue this work in 2011 in a successor<br />

project which will concentrate on the behaviour<br />

of high purity multicrystalline silicon. Goal of the<br />

research in the project AVAntSolar is to support the<br />

development of better techniques for the production<br />

of solar silicon, using expertise on electrical activity<br />

of crystal defects and specific diagnostic techniques.<br />

In another project the use of new silicon materials<br />

for the energy efficient production of solar cells at<br />

the company Conergy SolarModule GmbH was started.<br />

Here, a technique based on photoluminescence for<br />

the imaging of crystal defects in solar silicon was realized.<br />

In the area of thin film photovoltaics the Joint<br />

lab is working with its expertise in diagnostics and<br />

materials research in the Competence Centre thin-<br />

Film- and nanotechnology for photovoltaics Berlin<br />

(pVComB).


d A S J A H R 2 0 1 0 – U P d A T E 2 0 1 0<br />

Matthias Platzeck, Ministerpräsident des Landes Brandenburg, bei seinem <strong>IHP</strong>-Besuch am 3. März <strong>2010</strong>. Prof. Peter Langendörfer demonstriert die<br />

Forschungsergebnisse des B<strong>MB</strong>F-Projektes „FeuerWhere“.<br />

Matthias platzeck, the Minister president of land Brandenburg, visiting the IHp on the 3rd of May <strong>2010</strong>. prof. peter langendörfer<br />

demonstrating the research results of the B<strong>MB</strong>F (Federal Ministry of education and Research) project “FeuerWhere”.<br />

A n n u A l R e p o R t 2 0 0<br />

29


0 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Selected Projects


OMEGA<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

OMEGA ist ein Projekt im 7. Forschungsrahmenprogramm<br />

der EU. Ziel des Projektes ist es, zukünftige<br />

Heim-Netzwerke (HANs), d.h. Netzwerke für sehr hohe<br />

Datenraten von etwa 1 Gbps zu entwickeln, für die aber<br />

keine zusätzliche Verdrahtung benötigt wird. Dafür<br />

ist die Integration verschiedener Netzwerktechnologien<br />

erforderlich, sowohl drahtgebundener (Ethernet,<br />

Power Line Communication usw.) als auch drahtloser<br />

(Wireless LAN, sichtbares Licht oder Infrarot-Kommunikation).<br />

Bisher gab es jedoch noch keine wesentlichen<br />

Aktivitäten zur Zusammenführung all dieser Technologien<br />

zu einem kohärenten Netzwerk.<br />

Im Projekt OMEGA wird eine neue, auf dem Inter-<br />

MAC-Konzept basierende Lösung vorgestellt, die verschiedene<br />

Technologien transparent zu einem Hochgeschwindigkeits-Heimnetz<br />

integriert. Mit anderen<br />

Worten, InterMAC bietet eine durchgehende Verbindung<br />

mit garantierter Dienstgüte bei Nutzung verschiedener<br />

Technologien. Im Ergebnis erhält ein Endnutzer im<br />

Heimnetz qualitativ hochwertige Verbindungen, wobei<br />

das gerade verwendete Medium (Ethernet, Wireless LAN<br />

usw.) für ihn unerheblich ist.<br />

Generell schafft das InterMAC einen Weg durch ein heterogenes<br />

HAN, um zwei Geräte miteinander zu verbinden.<br />

Wenn es mehrere alternative Wege gibt, wählt das Inter-<br />

MAC den Weg aus, der die erforderliche QoS (Quality of<br />

Service) ermöglicht, z.B. die QoS für Multimedia-Daten.<br />

Zusätzlich leitet das InterMAC Daten über ein anderes<br />

Medium (Handover), wenn es Übertragungsprobleme<br />

bei einer bestimmten Technologie erkennt, wie z.B.<br />

Störungen bei drahtloser Übertragung.<br />

Selbstverständlich muss das InterMAC die Leistungsfähigkeit<br />

der zu Grunde liegenden Übertragungs-Technologien<br />

beachten. Es muss jedoch unterhalb der Vermittlungsschicht<br />

implementiert werden, da die Protokolle<br />

der Netzwerkebene, wie beispielsweise das Internet-<br />

Protokoll, Technologie-agnostisch sind, das heißt, sie<br />

erkennen die darunter liegenden Technologien nicht.<br />

Deshalb wurde die InterMAC zwischen der 2. Ebene<br />

(Data Link) und der 3. Ebene (Netzwerk) des OSI (Open<br />

System Interconnect) Modells positioniert.<br />

OMEGA<br />

the project oMeGA is funded under the eu‘s Seventh<br />

Framework programme. It focuses on future Home<br />

Area networks (HAns), i.e. networks supporting very<br />

high capacity of approx. 1 Gbps, but without additional<br />

wiring. Such an approach requires the integration<br />

of various network technologies, wired (ethernet,<br />

power line Communication, etc.) and wireless (Wireless<br />

lAn, Visible light or Infrared Communication).<br />

However, up to now, no significant work has been<br />

done to integrate all these physical technologies into<br />

one coherent framework.<br />

oMeGA introduces a novel solution based on the InterMAC<br />

concept, which integrates various underlying<br />

technologies transparently into a high speed home<br />

network. In other words, InterMAC provides end-toend<br />

connectivity with guaranteed Quality of Service<br />

(QoS) using different underlying technologies. As a<br />

result, an end user gets high quality connections in<br />

the home network and does not need to care which<br />

medium – ethernet, wireless lAn, etc. – is currently<br />

being used.<br />

In general, to connect two devices, the InterMAC establishes<br />

a path through the heterogeneous HAn. If<br />

several alternative paths are available, the InterMAC<br />

selects the one which fulfils the required QoS, e.g.<br />

QoS of multimedia streams. Moreover, if the InterMAC<br />

detects communication problems for a certain technology,<br />

e.g. interferences in the wireless medium, it<br />

routes data over another medium, referred to as handover.<br />

Clearly, the InterMAC must consider the capabilities<br />

of the underlying transmission technologies. However,<br />

it must be performed below the network layer,<br />

as network layer protocols, such as the Internet protocol,<br />

are technology-agnostic, i.e. not aware of underlying<br />

technologies. thus, we placed the InterMAC<br />

between the layer 2 (Data link layer) and the layer 3<br />

(network layer) of the open Systems Interconnection<br />

(oSI) model.<br />

A n n u A l R e p o R t 2 0 0


2 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Abb. 1: InterMAC Architektur auf Linux: Die Datenebene befindet sich im Kernel-Space, die Kontrollebene im User-Space.<br />

Fig. 1: InterMAC architecture on linux: Data plane in kernel space and Control plane in user space.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Damit die Lösung portierbar ist, wurde der InterMAC-<br />

Core im Projekt OMEGA als Cross-Plattform-Software<br />

in der Programmiersprache C entwickelt und die hardwarespezifische<br />

Implementierung in der Hardware-<br />

Abstraction Layer (HAL) realisiert. Offensichtlich wird<br />

für jede Hardware-Plattform, auf der das InterMAC genutzt<br />

werden soll, eine eigene HAL-Version benötigt. Einfach<br />

gesagt liefert HAL Zugang zu Netzwerk-Interfaces<br />

(senden bzw. empfangen von Frames) und zu Services<br />

des Betriebssystems (Timer, Interprozesskommunikation).<br />

Bisher wurden durch das Projekt OMEGA HALs für<br />

die folgenden Plattformen realisiert: x86 Linux im Kernel-<br />

und Nutzermodus, PowerPC, synthetisiert auf FPGA-<br />

Xilinx Virtex 4.<br />

In Heimnetzen mit einer Leistungsfähigkeit von 1 Gbps<br />

kann ein OMEGA-Gerät bis zu 100.000 Frames pro Sekunde<br />

weiterleiten. Da das InterMAC jeden empfangenen<br />

Frame vor der Weiterleitung anpasst, kann daraus<br />

ein signifikanter Overhead entstehen, der im Netzwerk<br />

Verzögerungen und eine Verringerung der Performance<br />

verursacht. Deshalb wird die InterMAC-Architektur aufgespalten<br />

in die Datenebene (zeitkritische Aufgaben<br />

wie die Weiterleitung von Frames) und die Kontrollebene<br />

(Aufgaben mit geringerer Priorität, z.B. die Ermittlung<br />

von Wegen in einem heterogenen Netzwerk). In<br />

der ersten, auf dem Betriebssystem Linux basierenden<br />

Testumgebung wird, wie in Abb. 1 dargestellt, die Datenebene<br />

im Kernel-Space ausgeführt um schnelle Weiterleitungen<br />

zu ermöglichen. Weil die Funktionen in<br />

der Kontrollebene einen nicht so kritischen Zeitrahmen<br />

haben wie in der Datenebene, werden sie im User-Space<br />

von Linux ausgeführt.<br />

to support portability, oMeGA developed the Inter-<br />

MAC core as cross-platform software (in the C programming<br />

language) and ‘hid’ the hardware-specific<br />

implementation in the Hardware Abstraction layer<br />

(HAl). Clearly, each hardware platform running the<br />

InterMAC must provide its version of HAl. In short,<br />

HAl provides access to network interfaces (sending /<br />

receiving frames) and to operating system services<br />

(timers, inter-process communication primitives). to<br />

date oMeGA has provided HAls for the following platforms:<br />

x86 linux in kernel and user mode, powerpC<br />

synthesized on FpGA Xilinx Virtex 4.<br />

In home networks with a capacity of 1 Gbps an oMeGA<br />

device can forward 100,000 frames per second. Since<br />

the InterMAC adapts each received frame before forwarding,<br />

this may result in a significant processing<br />

overhead, causing network delays and performance<br />

losses. therefore, we split the InterMAC architecture<br />

into the Data plane (time critical tasks like frame forwarding)<br />

and the Control plane (tasks of a lower priority,<br />

e.g. path detection in a heterogeneous network).<br />

In our primary testbed, based on the linux operating<br />

systems, we execute the Data plane in the kernel<br />

space in order to support high speed forwarding, as<br />

depicted in Fig. 1. As the Control plane tasks do not<br />

have such critical time constraints as the Data plane,<br />

they run in the linux user space.<br />

A n n u A l R e p o R t 2 0 0


Abb. 2: Die in der Testumgebung genutzte Ausrüstung für Power<br />

Line Communication.<br />

Fig. 2: power line Communication equipment used in the<br />

testbed.<br />

A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

In der in den Abb. 2 und 3 dargestellten Testumgebung<br />

wurden vier heterogene Technologien integriert:<br />

Gigabit-Ethernet, Power-Line-Communication, 60 GHz<br />

Wireless und IEEE 802.11n Wireless-LAN. Es wurde<br />

experimentell nachgewiesen, dass das auf Linux basierende<br />

InterMAC, bei dem die Datenebene im Kernel-<br />

Space ausgeführt wird, eine sehr schnelle Weiterleitung<br />

(1 Gbps) von InterMAC Frames in heterogenen Netzwerken<br />

unterstützt, sogar auf typischen Desktop-Computern.<br />

Darüber hinaus realisiert das InterMAC einen Multi-Technologie<br />

Handover, beispielsweise von Ethernet<br />

zu 60 GHz Wireless, sehr schnell und ohne signifikanten<br />

Qualitätsverlust in einem weitergeleiteten Film.<br />

Die Besonderheit des OMEGA-Netzwerkes ist dessen<br />

Kompatibilität mit Nicht-OMEGA-Geräten. Mit anderen<br />

Worten, ein Nutzer innerhalb des Hauses kann jedes beliebige<br />

existierende Gerät, wie beispielsweise eine Standard<br />

Settop-Box, in einem auf dem InterMAC-Konzept<br />

basierenden Heimnetz betreiben. So kann ein zukünftiges<br />

Heimnetz ältere Geräte so anpassen, dass sie in<br />

der neuen Umgebung funktionieren.<br />

In the testbed, presented in Figs. 2-3, we integrated<br />

four heterogeneous technologies: Gigabit ethernet,<br />

power line Communication, 60 GHz Wireless and Ieee<br />

802.11n Wireless lAn. our experiments proved that<br />

the InterMAC based on the linux, with the Data plane<br />

running in the kernel space, supports very high speed<br />

forwarding (1 Gbps) of InterMAC frames in heterogeneous<br />

networks, even on typical desktop computers.<br />

Moreover, the InterMAC performs a multi-technology<br />

hand-over, e.g. from ethernet to 60 GHz Wireless, very<br />

quickly without significant quality losses in the forwarded<br />

movie stream.<br />

the important feature of the oMeGA network is the<br />

compatibility with non-oMeGA devices. In other<br />

words, a home user can connect any legacy device,<br />

like an off-the-shelf set-top box, to the home network<br />

based on the InterMAC concept. In this way, a future<br />

home network can adapt old devices to operate in the<br />

new environment.<br />

Abb. 3: Drahtlose 60 GHz Technologie, verbunden mit dem<br />

OMEGA-Netzwerk.<br />

Fig. 3: Wireless 60 GHz technology connected to oMeGA<br />

network.


RealFlex<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel dieses vom B<strong>MB</strong>F unterstützten Projektes ist die<br />

Flexibilisierung der Architektur von Automatisierungssystemen<br />

durch die Integration zuverlässiger echtzeitfähiger<br />

drahtloser Sensorknoten. Hierdurch können er-<br />

hebliche Optimierungspotenziale im Bereich der Installation,<br />

des anlagennahen Asset Managements und des<br />

Condition Monitorings erschlossen werden.<br />

Drahtlose Systeme können die Flexibilität deutlich erhöhen,<br />

haben aber auf Grund der Eigenschaften von<br />

Funksystemen Schwächen in der Zuverlässigkeit, der<br />

Echtzeitfähigkeit und der Quality of Service. Zusätzlich<br />

müssen sich drahtlose Automatisierungskomponenten<br />

nahtlos in drahtgebundene Lösungen integrieren und<br />

einfach konfigurieren lassen.<br />

Dies ist die Themenstellung von RealFlex. Dabei wurden<br />

im Projekt auf der Basis von etablierten Standards<br />

drahtlose Komponenten und Teilsysteme untersucht,<br />

weiterentwickelt und letztendlich realisiert, die die<br />

hohen Qualitätsansprüche erfüllen können und damit<br />

eine weitere Verbesserung der Marktchancen der deutschen<br />

Industrie ermöglichen. Im Rahmen des Projektes<br />

RealFlex wurden hierfür sowohl die Prozess- als auch<br />

die Fertigungsautomatisierung betrachtet.<br />

RealFlex<br />

the goal of this B<strong>MB</strong>F funded project is the flexibilization<br />

of automation systems architectures by the<br />

integration of reliable wireless sensor nodes that allow<br />

real-time processing. thereby, substantial potential<br />

for optimization could be established within the<br />

range of the installation, the asset management and<br />

condition monitoring of such automation systems.<br />

Wireless systems can clearly increase the flexibility.<br />

However, they also have severe weaknesses due to the<br />

inherent characteristics of radio systems. this affects<br />

the reliability, the real-time ability and the quality<br />

of service. Additionally, wireless automation components<br />

must integrate seamlessly into existing wirebound<br />

solutions and allow simple configuration.<br />

this is the subject of RealFlex. on the basis of existing<br />

standards, wireless components and subsystems<br />

were evaluated and integrated to fulfill the high requirements<br />

concerning the communication quality of<br />

wireless systems. the successful realization of these<br />

goals promises a further improvement of the market<br />

potential of the German automation industry. the<br />

RealFlex project considered both the process automation<br />

and the factory automation.<br />

Abb. 4a: Simulationsumgebung zum Testen der Protokolle für<br />

Zuverlässigkeit und Sicherheit der drahtlosen Kommunikation<br />

in der Fabrikautomatisierung.<br />

Fig. 4a: Simulation environment for testing new protocols to<br />

foster reliablity and security for wireless communication<br />

in factory automation.<br />

A n n u A l R e p o R t 2 0 0


6 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Um dieses Ziel zu erreichen wurden verschiedene Funksysteme<br />

und Protokolle auf ihre Eignung analysiert<br />

(Abb. 4a) und durch den Einsatz in praxisnaher Umgebung<br />

evaluiert (Abb. 4b). Für das Applikationsumfeld<br />

Prozessautomatisierung standen eine Reinwassergewinnungs-<br />

und eine Biogasanlage zur Verfügung. Die<br />

stabile Übermittlung von Sensor- und Aktorsignalen<br />

über größere Entfernungen, die für die Prozessautomatisierung<br />

bedeutend sind, wurde mit langfristigen Tests<br />

in der Praxis verifiziert.<br />

Dabei war es wichtig, dass die Eignung des Funksystems<br />

für Anwendungen in der Fertigungsautomatisierung<br />

nachgewiesen wird. Als eine zentrale Anforderung galt<br />

es, Daten einer Vielzahl von Sensorereignissen über Profinet<br />

mit geringer Latenz bereitzustellen. Dies konnte<br />

mit Hilfe einer Roboterzelle demonstriert werden. Erstmalig<br />

wurde auch ein System zur drahtlosen Übermittlung<br />

von IO-Link eingesetzt. Zudem kam eine neuartige<br />

Funktechnologie zum Einsatz, die den Anforderungen<br />

im besonderen Maße Rechnung trug.<br />

Abb. 4b: RealFlex ist eine System-Architektur für verschiedene Einsatzzwecke in der Automatisierungstechnik.<br />

Fig. 4b: the RealFlex architecture can be applied to a wide range of automation systems.<br />

to realize this goal different radio systems and protocols<br />

were analyzed for suitability (Fig. 4a) and evaluated<br />

in the context of different application environments<br />

in line with standard usage (Fig. 4b). In the<br />

area of process automation two real-world application<br />

scenarios were considered: a waterworks facility<br />

and a biological gas facility. Both scenarios required<br />

the robust transmission of sensor and actuator signals<br />

over larger distances, which are important for<br />

the process automation. long-term tests executed in<br />

practice on the facilities verified the practicability of<br />

our approach.<br />

It was further important to demonstrate the suitability<br />

of the radio system for applications in factory<br />

automation systems. A major requirement here is the<br />

data availability of a multiplicity of sensor events<br />

over profinet with small latency. this was demonstrated<br />

in a robot cell. In this context it was possible to<br />

demonstrate a system with integrated wireless transmission<br />

of Io-link information for the first time. this<br />

was realized by introducing a new radio technology<br />

that allowed for the special requirements.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Herzstück aller bezeichneten Systeme ist der „Realflex-Access-Point“<br />

(Abb. 5). Dieser verbindet die Anforderungen<br />

der Prozess- und der Fertigungsautomatisierung<br />

in einer einheitlichen Architektur und bietet<br />

neben der Funkkommunikation zu den Sensoren und<br />

der Bereitstellung der Daten über Profinet an die Steuerungen<br />

auch neuartige Management-, Sicherungs- und<br />

Verschlüsselungskonzepte.<br />

the heart of all designated systems is “the Realflex<br />

Access point” (Fig. 5). It connects the requirements<br />

of the process and the factory automation in a uniform<br />

architecture and provides flexible radio communication<br />

to the sensors and the supply of real-time<br />

data over profinet in addition to novel concepts for<br />

management, safety and data security.<br />

Abb. 5: Der RealFlex-Access-Point (blauer Hintergrund) unterstützt<br />

vielfältige Funkprotokolle für den Anschluss von neuartiger<br />

Peripherie (gelb) für existierende Systembusse (grün).<br />

Fig. 5: the RealFlex access point (blue background) supports<br />

numerous radio protocols for connecting novel devices<br />

(yellow) to existing system busses (green).<br />

A n n u A l R e p o R t 2 0 0<br />

7


SolarFlex: drahtloses Management<br />

für Solarkraftwerke<br />

8 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel des Projektes ist es, eine flexible drahtlose<br />

Management-Schnittstelle für mittlere und große Wechselrichternetze<br />

von Solarkraftwerken zu entwickeln.<br />

Die Innovation besteht darin, eine selbstorganisierende,<br />

selbstheilende und wartungsfreie Netzstruktur auf<br />

Basis eines Bluetooth-Scatternet zu implementieren.<br />

Solarkraftwerke bestehen aus bis zu einigen hundert<br />

Solarkollektoren, jeweils mit einem Wechselrichter<br />

ausgerüstet (Abb. 6). Das System ist offensichtlich<br />

verdrahtet, dennoch besteht großes Interesse, eine<br />

drahtlose Kommunikationslösung zur Steuerung und<br />

Überwachung zu realisieren. Ziel ist dabei, den Aufwand<br />

bei Konzipierung und Errichtung zu reduzieren.<br />

Abb. 6: Solarkraftwerk mittlerer Größe.<br />

Fig. 6: Medium-sized solar power plant.<br />

Wegen der geringen Kosten soll die Funktechnologie<br />

Bluetooth eingesetzt werden. Ursprünglich für die<br />

paarweise Vernetzung von Geräten wie Computer, Kopfhörer<br />

usw. entwickelt, wurde bereits im Standard die<br />

Vernetzung vieler Stationen als „Scatternet“ vorgesehen.<br />

Dieses ist recht komplex, da jede Station maximal<br />

mit 7 anderen (in der Praxis 3-4) verbunden sein kann<br />

und eine Master-Slave-Anordung eingehalten werden<br />

muss. Zur Zeit gibt es noch keinen geeigneten Algorithmus,<br />

der ohne manuelle Konfiguration ein geeignetes<br />

Multi-hop Netz aufbaut, dieses im Fehlerfall automatisch<br />

repariert, auf große Systeme skalierbar ist, und<br />

dessen Robustheit und Verlässlichkeit für große Knotenzahlen<br />

nachgewiesen wurde.<br />

SolarFlex: wireless Management<br />

for Solar Power Plants<br />

Goal of the project is to develop a flexible wireless<br />

management network for medium-size to large solar<br />

power plants. the challenge is to implement a selforganizing<br />

and self-healing network on the basis of<br />

a Bluetooth scatternet which requires minimal maintenance<br />

effort.<br />

Solar power plants consist of up to several hundred<br />

collectors, each with a DC-to-AC converter (Fig. 6).<br />

the system is manifestly wired, but there is still a<br />

large interest in using wireless communication for<br />

control and monitoring of the units. the driving force<br />

is to reduce the capital expenditure during system<br />

set-up.<br />

the network is to be built up out of Bluetooth transceivers<br />

because of their low cost. Bluetooth was originally<br />

designed for pairwise communication between<br />

computers, headphones etc., but support for larger<br />

“scatternets” is included in the standard. Scatternets<br />

are complex because each node can only connect to<br />

7 others (in practice, 3 to 4) and because master-slave<br />

relationships must be maintained. Currently, no<br />

suitable practical algorithm exists which can build<br />

up the multi-hop scatternet autonomously, repair it<br />

automatically, scales well to large systems, and has<br />

been verified to be robust and reliable for systems of<br />

a few hundred nodes.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

In dem vom BMWi über das zentrale Innovationsprogramm<br />

Mittelstand (ZIM) finanzierten Projekt Solar-<br />

Flex entwickelt das <strong>IHP</strong> den Algorithmus und die dazugehörige<br />

Software, während die lesswire AG (Prettl<br />

AG) als Partner die Hardware bereitstellt. In Anlehnung<br />

an das publizierte Verfahren SHAPER wurde eine erhebliche<br />

Weiterentwicklung durchgeführt. Kernidee ist,<br />

dass in allen Teilen Unternetze entstehen, die sich nach<br />

und nach vereinigen. Dabei muss sich ein Unterbaum<br />

zuerst umbauen, um die Master-Slave-Bedingungen im<br />

verschmolzenen Baum einzuhalten. Sobald ein Knoten<br />

im Baum der vorgesehenen Wurzel liegt, beteiligt er sich<br />

an einer Optimierung, die die Tiefe (also: die maximale<br />

Anzahl von Hops zwischen Wurzel und jedem anderen<br />

Knoten) minimiert. Problematisch ist, dass in allen Teilen<br />

des Netzes gleichzeitig Operationen durchgeführt<br />

werden. Ein innovatives System von „Locks“ und anderen<br />

Maßnahmen war notwendig, damit in jedem Fall ein<br />

gültiger Baum entsteht.<br />

Der entwickelte Algorithmus wurde mittels Simulation<br />

erarbeitet und ausführlich getestet. Zurzeit wird seine<br />

Funktion auf den nun verfügbaren Hardware-Prototypen<br />

evaluiert und (wenn nötig) weiterentwickelt. Abb. 7<br />

zeigt einen Teil der optimierten Baumstruktur für eine<br />

Simulation mit 400 Knoten mit Wurzel bei dem rot umrandeten.<br />

Die Pfeile zeigen die Master-Slave-Beziehung<br />

und die Farben entsprechen der Koordinationszahl.<br />

Abb. 7: Teil des resultierenden Baums für eine Simulation mit 400 Knoten.<br />

Fig. 7: A section of the final tree for a simulation with 400 nodes.<br />

Within the project SolarFlex (financed by the BMWi<br />

via the ZIM initiative) the IHp develops the networking<br />

algorithm and the related software while lesswire<br />

(prettl AG) supplies the hardware. In a major extension<br />

of the published SHApeR approach, a practical<br />

method was developed which allows subnets to arise<br />

simultaneously in different areas and then merge into<br />

a single network. Subtrees must reconfigure before<br />

merging in order to maintain the correct master-slave<br />

arrangement in the merged tree. As soon as a node<br />

enters the network containing the designated root<br />

node, it participates in an optimization to minimize<br />

the tree depth (i.e., the maximal number of hops<br />

between the root and any other node). the difficulty<br />

lies in the fact that operations are done simultaneously<br />

in all parts of the network. An innovative system<br />

of locks and similar measures guarantees that a<br />

valid tree arises in each case.<br />

the algorithm was developed and thoroughly tested<br />

using simulation. Currently, its function is being tested<br />

and (where required) modified for a network of<br />

hardware node prototypes. Fig. 7 shows a section of<br />

the final tree for a simulation for 400 nodes with the<br />

root at the node marked with red. Arrows display the<br />

master-slave relationships and the colors indicate<br />

the coordination number of each node.<br />

A n n u A l R e p o R t 2 0 0<br />

9


Drahtlose Sensor- und Aktuatornetze zum<br />

Schutz kritischer Infrastrukturen<br />

0 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Kritische Infrastrukturen wie Transport- und Energieverteilnetze<br />

sind lebensnotwendig für unsere Gesellschaft<br />

und müssen deshalb 24 Stunden 365 Tage im<br />

Jahr zuverlässig arbeiten. Der Schutz kritischer Infrastrukturen<br />

erfordert Überwachungsmechanismen, mit<br />

denen Ausfälle und Angriffe zuverlässig und so früh<br />

wie möglich erkannt werden können. Ausfälle können<br />

durch unterschiedliche Ereignisse verursacht werden,<br />

z.B. durch schlechtes Wetter oder Naturkatastrophen,<br />

während das Spektrum der Angriffe von Vandalismus<br />

bis zu terroristischen Angriffen reicht. Beispiele sind<br />

der durch starken Schneefall verursachte Zusammenbruch<br />

der Stromversorgung im Münsterland 2005 und<br />

der Zusammenbruch der Telekommunikation in Morgan<br />

Hill in Nord-Kalifornien im April 2009, als Vandalen die<br />

Telekommunikationskabel zerschnitten haben. Im Juli<br />

<strong>2010</strong> wurde mit Stuxnet zum ersten Mal über einen<br />

Computerwurm berichtet, der ausschließlich Automatisierungssysteme<br />

angreift, wie sie für die Überwachung<br />

kritischer Infrastrukturen verwendet werden.<br />

Da sich kritische Infrastrukturen in der Regel über eine<br />

sehr große geographische Fläche erstrecken, müssen<br />

Schutzmechanismen entsprechend skalierbar und kostengünstig<br />

sein. In diesem Zusammenhang erscheinen<br />

drahtlose Sensornetzwerke (WSN) als natürliche<br />

Lösung. WSN können sehr leicht in großer Stückzahl<br />

ausgebracht werden und somit eine große Fläche abdecken.<br />

Außerdem werden sie normalerweise aus kostengünstigen<br />

Geräten aufgebaut. Sie bieten also eine<br />

kosteneffiziente Überwachungslösung, insbesondere<br />

da sie keine zusätzliche Infrastruktur benötigen.<br />

Es muss jedoch berücksichtigt werden, dass der Nutzen<br />

drahtloser Sensornetze zum Schutz kritischer Infrastrukturen<br />

primär von ihrer Zuverlässigkeit abhängt. Ein WSN,<br />

das Fehlerzustände nicht meldet, hält den Betreiber der<br />

Infrastruktur unter Umständen davon ab, die Mängel<br />

rechtzeitig zu beseitigen, bevor diese sich auf die Verfügbarkeit<br />

der Infrastruktur auswirken. Drahtlose Netzwerke<br />

wireless Sensor and Actuator Networks<br />

for the Protection of Critical<br />

Infrastructures<br />

Critical Infrastructures (CI) such as transportation<br />

and energy distribution networks are essential to<br />

our society and for this reason they are expected to<br />

be available 24 hours a day, 365 days a year. Critical<br />

Infrastructure protection (CIp) requires monitoring<br />

mechanisms that enable reliable failure and attack<br />

detection as early as possible. these failures may<br />

have a number of causes, including, but not limited to<br />

bad weather conditions or natural disasters; while attacks<br />

may range from mere vandalism to terrorist activities.<br />

Real world examples of such failures include<br />

the failure of the energy distribution network due to<br />

heavy snowfall in Munsterland, north-Western Germany,<br />

in 2005, and the disruption of telecommunication<br />

services by the intentional cutting of some optical fibres<br />

in Morgan Hill, northern California, in April 2009.<br />

More recently a computer worm codenamed Stuxnet<br />

against control systems was reported in July <strong>2010</strong>. It<br />

raised international concern, as it was a very sophisticated<br />

virus, exclusively targeting CI.<br />

Since many CIs have a large geographical span, CIp<br />

monitoring mechanisms must be scalable and costefficient.<br />

In this context, Wireless Sensor networks<br />

(WSns) naturally arise as a potential solution. Specifically,<br />

WSns can be relatively easily deployed on<br />

a large scale to cover large geographic regions and<br />

as they are normally built from low cost devices, they<br />

provide a very cost-efficient monitoring solution<br />

without requiring additional infrastructure.<br />

It is however important to note that the usefulness<br />

of WSns for CIp is primarily determined by the dependability<br />

of the WSn itself. A WSn that fails to report a<br />

faulty condition would prevent the CI operator from<br />

carrying out the appropriate maintenance that may fix<br />

the problem before the consequences impact the CI.<br />

System aspects, such as redundancy, integrity, real-


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

sind deutlich unzuverlässiger als drahtgebundene. Deshalb<br />

müssen Aspekte wie Redundanz, Sicherheit, Echtzeitverhalten<br />

und Verfügbarkeit in den WSNs umgesetzt<br />

werden.<br />

Von besonderer Bedeutung ist der Sicherheitsaspekt,<br />

weil er sich direkt auf die anderen Maße für Zuverlässigkeit<br />

auswirkt. So kann die Kommunikation z.B.<br />

durch „Jamming“ Angriffe, also durch konstantes Senden<br />

eines Angreifers, vollständig zum Erliegen gebracht<br />

werden. Auch das Einschleusen falscher Daten und das<br />

Abhören von Nachrichten sind einfacher umzusetzen,<br />

da kein physikalischer Zugriff auf die Übertragungsleitungen<br />

erforderlich ist.<br />

time behaviour, as well as security and availability are<br />

essential requirements to make the WSn, and hence<br />

the monitoring services that it provides, dependable.<br />

the use of WSns has significant impact on the dependability<br />

of the CI control system and the CI itself.<br />

In particular, it is well-known that wireless communication<br />

channels are more vulnerable to environmental<br />

noise, and hence are in general less reliable<br />

than wired links. Moreover, wireless channels are also<br />

vulnerable to attacks such as jamming, injection of<br />

forged data and eavesdropping that are more difficult<br />

to carry out in a wired environment, where access to<br />

the communication links are physically limited.<br />

Abb. 8: Prozess und Datenstrukturen der Anforderungsdefinition: Der Anwendungsentwickler wählt die Anforderungen in einem Formular aus.<br />

Anschließend werden diese auf formale Parameter für die weitere Modulauswahl durch configKIT abgebildet.<br />

Fig. 8: process and data structures of the requirement definition: the application designer chooses basic requirements in a form.<br />

then, automatic mapping creates tables as input for further processing.<br />

A n n u A l R e p o R t 2 0 0


2 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Um WSNs so zuverlässig zu machen, dass sie zum Schutz<br />

kritischer Infrastrukturen verwendet werden können,<br />

muss ihr gesamter Lebenszyklus von der Untersuchung<br />

der Anforderungen, über die Auswahl der entsprechenden<br />

Softwaremodule und ihre Installation bis zum<br />

Normalbetrieb betrachtet werden. Hieraus ergeben sich<br />

die beiden folgenden Herausforderungen:<br />

• Bereitstellung geeigneter Entwicklungsmethodo-<br />

logien und -werkzeuge, um hinreichend zuverlässige<br />

WSNs herstellen zu können.<br />

• Bereitstellung von Softwaremodulen, die Lösungen<br />

für Sicherheit, Selbstheilung und Zuverlässigkeit<br />

bieten und damit auch bei Naturkatastrophen, An-<br />

griffen und Unfällen zumindest ein Mindestmaß an<br />

Operationalität der WSNs garantieren.<br />

Während der ersten 15 Monate des Projektes hat sich<br />

das <strong>IHP</strong> auf die Entwicklung von Designmethoden und<br />

-werkzeugen konzentriert. So wurde ein eigenes MAC<br />

Protokoll entwickelt, das es erlaubt, Quality of Service<br />

Parameter wie maximale Antwortzeiten zu bestimmen<br />

und durch Berechnung einer Sendereihenfolge auch<br />

umzusetzen. Außerdem wurde das configKIT, dessen<br />

Entwicklung im Projekt UbiSec&Sens (Ubiquitous<br />

Sensing and Security in the European Homeland) begonnen<br />

wurde, weiterentwickelt. Hier kann der Anwendungsentwickler<br />

Anforderungen an das WSN in einem<br />

Formular eintragen, das anschließend ausgewertet und<br />

auf formale Anforderungen abgebildet wird. Diese verwendet<br />

configKIT dann, um die geeigneten Softwaremodule<br />

für das Netzwerk auszuwählen. Abb. 8 zeigt<br />

diesen Entwicklungsschritt.<br />

to ensure dependability of WSns to a degree sufficient<br />

for their use as a means for protecting CI, their<br />

complete lifecycle needs to be taken into account,<br />

starting with the design phase including requirements,<br />

engineering and determination of its software<br />

components via deployment and normal operations<br />

phase. this leads to the following two major challenges:<br />

• provision of engineering methodologies and tools<br />

support to design sufficiently dependable WSns.<br />

• provision of software modules that provide<br />

security, self-healing and dependability solutions<br />

which guarantee that attacks, accidents, natural<br />

disasters or other factors will have only minimal<br />

impact on the WSn so that they will provide a<br />

certain degree of service in any situation.<br />

During the first fifteen months of the project IHp has<br />

focused on the development of engineering methodologies.<br />

Here two major items have been investigated.<br />

on the one hand we researched and developed<br />

our own MAC protocol called “Distributed low Duty<br />

Cycle MAC” with which we can calculate the maximum<br />

delay and implement it by defining a corresponding<br />

sending schedule while reducing the energy consumption.<br />

the second major research item was the<br />

extension of the configKIt developed in the project<br />

ubiSec&Sens (ubiquitous Sensing and Security in the<br />

european Homeland). the idea is, that the application<br />

developer chooses a set of application requirements,<br />

which are defined in a GuI of configKIt. After that,<br />

configKIt maps the chosen requirements to testable<br />

metrics and global parameters, and finally determines<br />

the corresponding software modules. Fig. 8 illustrates<br />

the process.


Optische Glasfaser ICs mit geringer Leistungsaufnahme<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Das Ziel des Projekts ist es, eine nächste Generation von<br />

Kurzstrecken-Glasfaser-Kommunikationsverbindungen<br />

(bis 300 m) zu ermöglichen, welche hohe Datenraten bei<br />

geringer Leistungsaufnahme unterstützt. Die Arbeiten<br />

wurden im Rahmen der Projekte HiTrans (Investitionsbank<br />

Berlin) und OpticalLink (B<strong>MB</strong>F) unterstützt.<br />

Aktuell werden Glasfaserverbindungen sehr häufig zu<br />

Telekommunikationszwecken und zur Datenübertragung<br />

eingesetzt. Bestehende Datenverbindungen, die Datenraten<br />

von 10 Gbps erreichen, kommen in Datenzentren<br />

zum Einsatz. Die nächste Generation von Glasfasern unterstützt<br />

Übertragungsraten von 26 Gbps und 40 Gbps.<br />

Infiniband strebt Raten von 26 Gbps und FiberChannel<br />

von 28 Gbps pro Leitung an. IEEE 802.3ba Ethernet wird<br />

vier parallele Verbindungen mit jeweils 25 Gbps oder eine<br />

einzelne Verbindung mit 40 Gbps unterstützen. VCSEL<br />

Treiber-ICs und Transimpedanzverstärker (engl. TIA) sind<br />

zwei der am häufigsten eingesetzten Schaltungskomponenten<br />

in TX- und RX-Modulen einer jeden Glasfaserverbindung.<br />

Low-Power Fiber Optic ICs<br />

the goal is to enable next generation of short-range<br />

fiber-optic communication links (up to 300 m) supporting<br />

high data rates at low power dissipation. this<br />

work was supported by the projects Hitrans (Investitionsbank<br />

Berlin) and opticallink (B<strong>MB</strong>F).<br />

today, fiber optic links are extensively used in telecommunication<br />

and data communication. Current<br />

data communication links, operating at 10 Gbps, are<br />

used in data centers. the next generation of fiber optic<br />

links will support bit rates of 25 Gbps and 40 Gbps.<br />

Infiniband has targeted 26 Gbps, Fiber-Channel has<br />

targeted 28 Gbps per lane, and Ieee 802.3ba ethernet<br />

will support 4 parallel 25 Gbps links or a single<br />

40 Gbps link. VCSel driver ICs and transimpedance<br />

amplifiers (tIA) are two major electronic parts used<br />

in the tx and Rx module of every optical fiber link. In<br />

this project a new set of SiGe BiCMoS electronic ICs<br />

will be developed for the future applications.<br />

Abb. 9: Entwickelter IC, integriert in einem Modul mit<br />

photonischen Komponenten.<br />

Fig. 9: Developed IC integrated in a module with photonic<br />

components.<br />

A n n u A l R e p o R t 2 0 0


A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Im Rahmen dieses Projekts wird eine Reihe von neuen<br />

elektronischen SiGe-BiCMOS-ICs für zukünftige Anwendungen<br />

entwickelt. Hierzu wurden mehrere unterschiedliche<br />

Chips für Übertragungsraten von 25 Gbps und<br />

40 Gbps entwickelt. Die größte Herausforderung bei<br />

dem Entwurf dieser Chips stellte die Minimierung der<br />

Leistungsaufnahme dar. Es wurden neue Schaltungstopologien<br />

entwickelt um einen Leistungsverbrauch zu<br />

erreichen, der geringer ist als der von hochmodernen<br />

Schaltungsstrukturen. Abb. 10 zeigt die entwickelten<br />

Komponenten, die für eine Glasfaserverbindung benötigt<br />

werden.<br />

Die entwickelten Transimpedanzverstärker weisen selbst<br />

bei großen parasitären Kapazitäten der „multimode photo<br />

detectors“ eine hohe Bandbreite auf. Wegen der hohen<br />

Verstärkung der TIA-Schaltungen werden keine externen<br />

Begrenzungsverstärker mehr benötigt. Die VCSEL<br />

Treiber-ICs wurden mit verschiedenen programmierbaren<br />

Optionen ausgestattet. Des Weiteren ist der Einsatz mit<br />

Hochgeschwindigkeits-VCSELs, welche eine hohe Impedanz<br />

und eine große Schwellenspannungen aufweisen,<br />

möglich. Die Messergebnisse zeigen deutliche und offene<br />

Augendiagramme bis hin zu einer Datenrate von<br />

40 Gbps. Die entwickelten ICs können den Grundstein<br />

für die Anwendung von <strong>IHP</strong>-Technologien in Glasfaser-<br />

Kommunikationssystemen legen.<br />

Abb. 10: ICs in einer vollständigen optischen Verbindung.<br />

Fig. 10: ICs in a complete optical link.<br />

For this purpose, the project developed a set of different<br />

chips for 25 Gbps and 40 Gbps. the main challenge<br />

in designing such chips is to minimize the power<br />

consumption. new circuit topologies were developed<br />

in order to reduce power consumption beyond that of<br />

state of the art circuit structures. Fig. 10 shows the<br />

developed components within a link.<br />

the developed tIA amplifiers exhibit large bandwidth<br />

even in presence of high parasitic capacitance of the<br />

multimode photo detectors. the large gain of the tIA<br />

circuits eliminates the need for external limiting amplifiers.<br />

the VCSel driver ICs are designed with various<br />

programmability options and capability to work<br />

with high-speed VCSels exhibiting large impedance<br />

and/or large threshold voltages. Measurement results<br />

show clear and open eye-diagrams up to 40 Gbps. the<br />

developed ICs can pave the way for deploying IHp<br />

technology in fiber optic communication systems and<br />

help IHp on the road towards a photonics foundry.


Beamforming für 60 GHz Schaltkreise<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel des Projektes ist es, strahlformende 60 GHz Transceiver<br />

für Sender und Empfänger zu entwickeln. Damit<br />

kann für die derzeit vorhandenen 60 GHz Schaltkreise<br />

sowohl die Datenrate als auch die Reichweite der Übertragung<br />

vergrößert werden. Ein weiteres wichtiges Ziel<br />

ist es, Erfahrungen beim Design von phasengesteuerten<br />

Hochleistungs-Schaltkreisen in SiGe BiCMOS-Technologien<br />

zu erarbeiten.<br />

Aktuelle Silizium-Technologien haben im Vergleich zu<br />

III-V-Technologien im Millimeterwellenbereich ein höheres<br />

Rauschen und eine geringere Ausgangsleistung.<br />

Dadurch ist das Link-Budget bei Datenübertragung<br />

im Gbps-Bereich wesentlich geringer. Wenn man Datenmengen<br />

im Bereich von Multi-Gbps für WPAN- und<br />

WLAN-Anwendungen übertragen will, müssen phasengesteuerte<br />

Sender und Empfänger für den Millimeterwellen-Bereich<br />

entwickelt werden, mit denen ein hoher<br />

Link Gain ohne eine Verringerung des Erfassungswinkels<br />

erzielt werden kann. Der Hauptnutzen der Phasensteuerung<br />

besteht darin, dass die Formung und die<br />

Steuerung des Strahles elektronisch realisiert werden<br />

können. Beamformer werden in Sendern genutzt, um<br />

die mittlere äquivalente isotrope Strahlungsleistung<br />

Abb. 11: Die Systemarchitektur des strahlformenden Empfänger- und Sender-Schaltkreises.<br />

Fig. 11: the system architecture of the tX and RX beamforming chip.<br />

60 GHz Beamforming Chips<br />

the goal is to develop 60 GHz beamforming frontends<br />

for both transmitter and receiver. this will enhance<br />

both the data rate and distance of transmission<br />

of the current version of the 60 GHz chipset. A further<br />

important goal is to acquire the knowledge of designing<br />

high performance phased array circuits in SiGe<br />

BiCMoS technologies.<br />

the current silicon technologies suffer from high<br />

noise and lower output power at millimeter-wave<br />

(mm-wave) frequencies compared to III-V counterparts.<br />

this seriously limits the link budget of Gbps<br />

transmission. In order to achieve multi-Gbps transmission<br />

for WpAn and WlAn applications, phased-<br />

array transmitters and receivers working at mmwave<br />

frequencies must be developed to provide high<br />

link gain without sacrificing angular coverage. the<br />

main advantage of the phased-arrays is that electronic<br />

beam forming and steering can be achieved. In<br />

transmitters phased-arrays are used to increase the<br />

effective Isotropic Radiated power (eIRp), while in<br />

receivers, they are used to increase the Signal to Interference-plus-noise<br />

Ratio (SInR). Higher eIRp and<br />

A n n u A l R e p o R t 2 0 0


6 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

(EIRP) zu erhöhen, während sie in Empfängern eingesetzt<br />

werden, um das Verhältnis der Signalleistung zur<br />

Störleistung (SINR) zu verbessern. Größere Werte für<br />

EIRP und SINR ermöglichen höhere Übertragungsraten<br />

und größere Entfernungen für die Übertragung.<br />

Daher wurden strahlformende 60 GHz Sender und Empfänger<br />

entwickelt, mit denen die Tragfähigkeit des<br />

Konzeptes eines hochintegrierten 60 GHz Beamformers<br />

nachgewiesen werden kann. Abb. 11 zeigt das Blockdiagramm<br />

für Sender und Empfänger.<br />

Die strahlformenden Schaltkreise basieren auf einer<br />

HF Phasenschieber-Architektur. Dabei sind die Phasenschieber<br />

auf der Empfängerseite nach dem rauscharmen<br />

Verstärker (LNA) und auf der Senderseite vor<br />

dem Leistungsverstärker (PA) angeordnet. Im Senderschaltkreis<br />

sind einfache digitale 2-Bit Phasenschieber<br />

implementiert, im Empfängerschaltkreis hingegen<br />

sehr genaue Vektor-Modulatoren. Der Vektor-Modulator<br />

verfügt sowohl über eine Amplituden- als auch eine<br />

Phasensteuerung über einen Bereich von 25 dB bzw.<br />

360°. Der entwickelte Sender besteht aus vier Kanälen.<br />

Jeder Kanal enthält digitale 2-Bit Phasenschieber<br />

und Hochleistungsverstärker. Außerdem enthält<br />

er ein vollständiges Netzwerk zur Signalverteilung mit<br />

Leistungsteilern im Millimeterwellen-Bereich, einen<br />

Aufwärtsmischer sowie einen vollständig integrierten<br />

48 GHz Frequenzsynthesizer.<br />

Zusätzlich ist ein hochintegriertes Millimeterwellen-<br />

Netzwerk zur Kombination von Leistung enthalten.<br />

Dieses Netzwerk enthält sowohl aktive als auch passive<br />

Bausteine zur Leistungskombination. Abb. 12 zeigt<br />

das Foto eines Empfänger-Schaltkreises. Zum Test der<br />

Performance der Zwischenfrequenz sind der Abwärtsmischer<br />

und der vollständig integrierte 48 GHz Synthesizer<br />

in der Schaltung enthalten.<br />

SInR values translate into higher bit rates and longer<br />

distances.<br />

For this purpose 60 GHz beamforming transmitters<br />

and receivers have been developed, to prove the concept<br />

of the highly integrated 60 GHz phased-array<br />

system. Fig. 11 illustrates the block diagram of both<br />

tX and RX.<br />

the beamforming chips are based on RF phase shifting<br />

architecture. Here, the phase shifters are arranged after<br />

the lnA on the receiver side and before the pA on<br />

the transmitter side. on the transmitter chip simple<br />

2-bit digital-controlled phase shifters are implemented,<br />

on the receiver chip very accurate vector-modulators<br />

are used. the vector-modulator exhibits both<br />

amplitude and phase control over 25 dB and 360°,<br />

respectively. the developed transmitter consists of<br />

four channels; each channel contains 2-bit digitallycontrolled<br />

phase shifters and high power amplifiers.<br />

It also contains a complete millimeter-wave power<br />

division network, up-conversion mixer and fully integrated<br />

48 GHz frequency synthesizer.<br />

It also includes a highly integrated millimeter-wave<br />

power combining network. the power combining network<br />

contains both active and passive power combiners.<br />

Fig. 12 shows a photo of the receiver chip. For<br />

testing IF performance, the down-conversion mixer<br />

and the fully integrated 48 GHz frequency synthesizer<br />

are integrated.<br />

Abb. 12: Foto des strahlformenden 60 GHz Schaltkreises.<br />

Fig. 12: the beamforming 60 GHz RX Chip photo.


DistCom - Impulse Radio UWB Chipsatz<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel des vom BMWi geförderten Projektes ist es, die Impuls-Funktechnik<br />

neben der Übertragung von Daten<br />

gleichzeitig auch für die hochgenaue funkbasierte Lokalisierung<br />

in Gebäuden nutzbar zu machen. Dazu wurde<br />

ein Transceiver (Sende- und Empfangsschaltung)<br />

entwickelt und optimiert, der auf früheren Ergebnissen<br />

des Projektes PULSERS II basiert. Der nun verfügbare<br />

Chipsatz ist die Basis für UWB Funkmodule, mit denen<br />

eine präzise Lokalisierung im Dezimeterbereich realisiert<br />

werden kann.<br />

Die Basis der Funkübertragung sind extrem kurze Impulse<br />

mit einer Dauer von etwa einer Nanosekunde,<br />

deren Frequenzspektrum im Bereich zwischen 6,0 und<br />

8,5 GHz (entsprechend der ECC-Frequenzmaske) liegt.<br />

Diese Impulsübertragung erlaubt mittels geeigneter<br />

Modulation (Impulse Position Modulation und BPSK)<br />

nicht nur sehr hohe Datenraten bis zu 1 Gbps, sondern<br />

auch die Messung der Ausbreitungszeit von Funksignalen<br />

zwischen Sender und Empfänger. Damit kann<br />

dann der Abstand zwischen zwei Funkknoten bestimmt<br />

werden, der dann wiederum der präzisen Positionsbestimmung<br />

dient.<br />

a) b)<br />

Abb. 13: Fotos des UWB Transceiver Chipsatzes; a) Sender Tx249, b) Empfänger Rx249.<br />

Fig. 13: photographs of the uWB transceiver chipset; a) transmitter tx249, b) receiver Rx249.<br />

distCom - UwB Impulse Radio Chipset<br />

the goal of this project funded by BMWi is to utilize<br />

the impulse radio technique for data transmission as<br />

well as for high-precision indoor localization at the<br />

same time. For this purpose, a transceiver has been<br />

developed and optimized, based on earlier results<br />

from the project pulSeRS II. the chipset is now the<br />

basis of uWB radio modules, which allow precise indoor<br />

localization in the decimeter range.<br />

the basis of this kind of radio transmission consists<br />

of extremely short impulses with a duration of about<br />

1 nanosecond, the frequency spectrum of which lies<br />

in the range of 6.0 to 8.5 GHz. this impulse transmission<br />

allows not only huge data rates up to 1 Gbps by<br />

employing proper impulse position modulation and<br />

BpSK, but also the measurement of propagation delays<br />

of radio signals between the transmitter and the<br />

receiver. taking this, one can calculate the distance<br />

between two radio nodes, which in return serves for<br />

precise position determination.<br />

A n n u A l R e p o R t 2 0 0<br />

7


8 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Mit der Vorgängergeneration dieses Chipsatzes konnten<br />

bereits hervorragende Ergebnisse zur Bestimmung<br />

des Abstandes zweier Funkknoten erzielt und publiziert<br />

werden. Unter günstigen Funkausbreitungsbedingungen<br />

wurde eine Genauigkeit von ca. 4 cm erreicht,<br />

wobei eine Update-Rate von 1000 Hz möglich war. Solche<br />

Ergebnisse sind international bisher von anderer<br />

Seite unerreicht. Allerdings mussten noch Schwächen<br />

der Prototypen bezüglich der Zuverlässigkeit, Funkreichweite<br />

und Temperaturstabilität behoben werden, die im<br />

Rahmen des DistCom Projektes adressiert wurden. Dies<br />

ist gelungen und der neue UWB Chipsatz (bestehend<br />

aus dem Receiver Rx249 und dem Transmitter Tx249)<br />

wird derzeit bei unserem Projektpartner IMST in neu<br />

entwickelte Funkmodule integriert. Die Abb. 13 zeigt<br />

Fotos der beiden Chips, gebondet in ein HF-Gehäuse.<br />

Der Transmitter-Chip erzeugt HF-Impulse mit einer<br />

mittleren Wiederholrate von 56,64 MHz, wobei der tatsächliche<br />

Abstand zwischen den Impulsen stark variiert<br />

in Abhängigkeit von Impulse Position Modulation. Die<br />

Abb. 14 zeigt eine solche Impulsfolge im Zeitbereich<br />

und das dazugehörige Frequenzspektrum des Sendesignals.<br />

Die Form und die Mittenfrequenz der gesendeten<br />

Impulse wurden so gewählt, dass die ECC-Frequenzmaske<br />

möglichst optimal ausgenutzt und trotzdem<br />

nicht verletzt wird.<br />

Der Receiver empfängt die gesendeten Impulse und<br />

gibt die Einhüllende (Pulse Envelope) am analogen<br />

Ausgang zur weiteren Verarbeitung mittels ADC aus.<br />

Gegenüber der Vorgängerversion konnten die Sensitivität<br />

auf -70 dBm und die Linearität erheblich verbessert<br />

werden. Die maximal mögliche Verstärkung wurde auf<br />

knapp 80 dB erhöht, wobei sie in 16 Schritten zu je 3 dB<br />

reduziert werden kann, um eine Adaption an die konkreten<br />

Funkkanalbedingungen zu ermöglichen.<br />

Eine wichtige Besonderheit dieses Chipsatzes ist die<br />

implementierte Einheit für Time-of-Flight Messungen<br />

für die Lokalisierung. Sie ermöglicht die Erfassung<br />

eines „Zeitstempels“ im Moment des ersten Eintreffens<br />

eines Impulses. Das Inkrement dieser Zeitmesseinheit<br />

ist etwa 275 ps. Durch eine geeignete bidirektionale<br />

Übertragung eines Datenpaketes zur Lokalisierung<br />

(Two-Way-Ranging) kann damit der Abstand zwischen<br />

With the previous generation of this chipset we have<br />

been able to achieve excellent results in distance<br />

determination between two radio nodes, which have<br />

already been published. under favorable radio propagation<br />

conditions an accuracy of 4 cm has been reached<br />

with an update rate of 1000 Hz. these results<br />

are unique in this field. However, weaknesses of the<br />

prototypes regarding reliability, radio transmission<br />

range and temperature stability needed to be fixed<br />

and were addressed within the DistCom project. this<br />

has been successfully accomplished and the new<br />

chipset (consisting of the receiver Rx249 and transmitter<br />

tx249) is currently being integrated into a<br />

newly developed radio module by our project partner<br />

IMSt. Fig. 13 shows photographs of the chips bonded<br />

into RF packages.<br />

the transmitter chip generates RF impulses with an<br />

average repetition rate of 56.64 MHz, whereas the<br />

actual distance between two impulses varies heavily<br />

in accordance with the impulse position modulation.<br />

Fig. 14 shows such an impulse train in time domain<br />

and the corresponding frequency spectrum of the<br />

transmitted signal. the shape and the center frequency<br />

of the RF impulses have been chosen in such<br />

a way that the eCC frequency mask is optimally used<br />

but not violated.<br />

the receiver acquires the transmitted impulses and<br />

provides the impulse envelope at the analogue output<br />

for further processing using an ADC. Compared to<br />

the previous version, the sensitivity was improved to<br />

-70 dBm and the linearity was also improved considerably.<br />

the maximum achievable gain was increased<br />

up to almost 80 dB, whereas it can be reduced in 16<br />

steps of 3 dB each in order to allow an adaptation to<br />

the actual given radio channel conditions.<br />

An important feature of the chipset is the implemented<br />

unit for time-of-flight measurements intended<br />

for localization. It allows the acquisition of a “time<br />

stamp” at the moment of the first appearance of an<br />

impulse. the minimum step size of the time measurement<br />

is about 275 ps. By bidirectional transmission<br />

of a suitable data packet for localization (two-way<br />

ranging) the distance of two radio nodes can be de-


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

zwei UWB Funkknoten auf wenige Zentimeter genau<br />

bestimmt werden. Wird diese Abstandsbestimmung<br />

zu verschiedenen Punkten an bekannten Positionen<br />

durchgeführt, kann anschließend die genaue Position<br />

eines mobilen Funkknotens berechnet werden. Dies soll<br />

in Zusammenarbeit mit unserem Projektpartner in naher<br />

Zukunft demonstriert werden.<br />

Abb. 14: Beispiel Sequenz von<br />

Impulsen;<br />

a) Positionsmoduliert,<br />

Schnappschuss vom<br />

Oszilloskop,<br />

b) Fester Pulsabstand,<br />

Frequenzspektrum<br />

Fig. 14: example sequence of<br />

impulses;<br />

a) impulse position<br />

modulated, snapshot<br />

from oscilloscope,<br />

b) regular pulse distance,<br />

frequency spectrum<br />

termined with an accuracy of only few centimeters.<br />

When carrying out distance measurements to different<br />

points from known positions, the precise position<br />

of a mobile node can then be calculated. this shall<br />

be demonstrated in the near future in collaboration<br />

with our project partner.<br />

A n n u A l R e p o R t 2 0 0<br />

9


DOTFIVE<br />

0 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Das im Februar 2008 gestartete EU-Projekt DOTFIVE<br />

verfolgt das Ziel, die maximale Schwingfrequenz f max<br />

von Silizium-Germanium Hetero-Bipolartransistoren<br />

(SiGe-HBT) auf 0,5 Terahertz (500 Gigahertz) zu steigern.<br />

Dieser Frequenzwert bedeutet gegenüber dem zu<br />

Projektbeginn bekannten Stand der Technik eine Verbesserung<br />

etwa um den Faktor 2. Gemeinsam mit 15<br />

europäischen Partnern aus Industrie und Forschung<br />

arbeitete das <strong>IHP</strong> in diesem Projekt sowohl an der Vervollkommnung<br />

bestehender Bauelementekonzepte als<br />

auch an der Entwicklung und Testung neuer Transistorkonstruktionen.<br />

Das Projekt endet im Sommer 2011.<br />

Das <strong>IHP</strong> konnte, wie in der Projektplanung vorgesehen,<br />

im Oktober <strong>2010</strong> die erfolgreiche Erfüllung der vereinbarten<br />

Ziele abrechnen. Darin eingeschlossen ist die<br />

Präsentation neuer Rekordmarken für SiGe HBTs mit<br />

500 GHz f max sowie einer Gatterverzögerungszeit für<br />

Ringoszillatoren von 2 ps. Die im Verlaufe des Projektes<br />

angestrebte deutliche Steigerung der Leistungsfähigkeit<br />

von SiGe-HBTs im Hochfrequenzbereich konnte<br />

nicht nur auf Transistorebene nachgewiesen werden. In<br />

drei aufeinanderfolgenden Iterationen wurde praktisch<br />

ohne Verzögerung die jeweils neueste Transistorgeneration<br />

in Schaltkreisen getestet. Die von Projektpartnern<br />

auf Basis dieser Transistoren entwickelten Schaltungen<br />

mit Arbeitsfrequenzen, die im Bereich von 160 GHz bis<br />

sogar 825 GHz liegen, zeugen auch hier von einer wesentlich<br />

gesteigerten Qualität.<br />

dOTFIVE<br />

the goal defined at the start of the european project<br />

DotFIVe in February 2008 has been the development of<br />

a Silicon-Germanium Hetero-Bipolar-transistor (SiGe<br />

HBt) achieving a maximum oscillation frequency of<br />

about 0.5 terahertz (500 gigahertz). this exhibits a<br />

value exceeding the state-of-the-art performance at<br />

that time by about a factor of two. Within DotFIVe,<br />

IHp has been part of a powerful consortium consisting<br />

of 15 european industry and research partners<br />

working on the optimization of existing as well as on<br />

the exploration of novel transistor constructions. the<br />

project will terminate in summer 2011.<br />

the contribution of IHp within DotFIVe was successfully<br />

fulfilled in october <strong>2010</strong>, as planned at the<br />

project start. By then, IHp was able to mark new records<br />

for SiGe HBts, firstly with a maximum oscillation<br />

frequency f max of 500 GHz and secondly with a<br />

value of 2 ps (picoseconds) for the gate-delay time<br />

of ring-oscillators. It has not only been possible to<br />

demonstrate the performance enhancement that has<br />

been targeted and achieved in the course of the project<br />

merely on the transistor level. Moreover, within<br />

3 subsequent iterations the respective latest transistor<br />

generation has been tested practically without<br />

any delay in complete circuits developed by DotFIVe<br />

project partners. the results achieved with circuits<br />

operating at frequencies of 160 GHz and even up to<br />

a value of 825 GHz document once more an outstanding<br />

improvement of quality.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Eine deutliche Steigerung der Arbeitsfrequenz in einem<br />

mit der etablierten Silizium-Technologie kompatiblen<br />

Materialsystem ermöglicht neuartige und komplexe<br />

Systemlösungen, die zudem tauglich für die Massenproduktion<br />

sind. Die breite Palette möglicher Anwendungsgebiete,<br />

die sich mit dem Vordringen in den unteren<br />

Terahertzbereich (0,3 bis 1 THz) eröffnet, reicht von<br />

schneller Datenübertragung über Radaranwendungen<br />

bis hin zu neuartigen bildgebenden Verfahren in Medizin<br />

und Technik.<br />

Nachdem im Jahre 2008 vom <strong>IHP</strong> eine Doppel-Polysilizium<br />

Technologie mit selektiver Basisepitaxie und<br />

speziell ausgeführtem Basisanschluss im Rahmen von<br />

DOTFIVE entwickelt und vorgestellt wurde, konnte das<br />

finale Projektziel für f max mit 500 GHz auf Basis eines<br />

HBT-Moduls mit nicht-selektiver Basisepitaxie erreicht<br />

werden. Die Leistungssteigerung dieser bereits in der<br />

0,13 µm BiCMOS-Technologie des <strong>IHP</strong> eingesetzten HBT<br />

Konstruktion ist durch eine Verkleinerung von Bauelementeabmessungen<br />

sowie durch Modifikationen des<br />

Basisprofiles, der thermischen Ausheilung, des Silizidwiderstandes,<br />

der Emitterabscheidung und des selektiv<br />

implantierten Kollektors erreicht worden.<br />

Abb. 15 zeigt Querschnitte der ersten und dritten HBT<br />

Generation, hier bezeichnet mit „D51“ und „D53“.<br />

the aspired enhancement of the operating frequency,<br />

within a technology based on a material-system that<br />

is compatible to the well-established silicon-technology,<br />

paves the way for new and complex system solutions,<br />

which are moreover suited for mass-production.<br />

A broad range of possible system-applications<br />

that becomes feasible when entering the low terahertz<br />

frequency-regime (0.3 to 1 tHz), covers highspeed<br />

communication, radar application, as well as<br />

novel applications of mmWave imaging and sensing<br />

for technical and medical applications.<br />

In 2008, significant progress for a novel double-polysilicon<br />

technology with selective epitaxial base deposition<br />

and an unconventional base-link region has<br />

been developed and published within DotFIVe. the<br />

project target of 500 GHz for f max has finally been met<br />

in <strong>2010</strong> based on an HBt module with non-selective<br />

base epitaxy. the underlying device construction is<br />

derived from IHp’s 0.13 µm BiCMoS technology. the<br />

progress in device performance has been achieved<br />

by device scaling and by a number of process modifications,<br />

including the base profile, the annealing<br />

regime, the salicide sheet resistance, the emitter deposition<br />

and the selectively implanted collector.<br />

Fig. 15 shows cross section pictures of the first and<br />

the final third device generations of this architecture<br />

labeled “D51” and “D53”, respectively.<br />

Abb. 15: TEM Querschnittsabbildungen der ersten (D51) und dritten (D53) Generation von HBTs mit differentieller Basisepitaxie,<br />

die im Verlauf des DOTFIVE Projektes entwickelt wurden.<br />

Fig. 15: teM cross sections of the first (D51) and the final third (D53) generation of HBts with differential base epitaxy developed<br />

in the course of the DotFIVe project.<br />

A n n u A l R e p o R t 2 0 0


2 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Statische und Kleinsignal-Messwerte sind für HBTs beider<br />

Generationen in Abb. 16 gezeigt. So konnte die<br />

maximale Transitfrequenz f T von 235 auf 300 GHz und<br />

die maximale Schwingfrequenz f max von 300 auf 500<br />

GHz gesteigert werden. Die Ergebnisse wurden auf der<br />

IEDM-Konferenz im Dezember <strong>2010</strong> in San Francisco<br />

der Fachwelt vorgestellt.<br />

Static and small-signal transistor characteristics are<br />

shown in Fig. 16. these means lead to an increase of<br />

the transit frequency f t from 235 to 300 GHz and of<br />

the maximum oscillation frequency f max from 300 to<br />

500 GHz. these results were presented at the IeDM<br />

conference in December <strong>2010</strong> in San Francisco.<br />

Abb. 16: Statische und Kleinsignal-Kennlinien der ersten (D51) und der dritten (D53) HBT Generation. Gezeigt sind Gummel-Kennlinien<br />

und Ergebnisse von f T / f max Messungen. Die Messungen wurden an Bauelementen mit Emitterflächen von 8x(0.18x0.92)µm 2<br />

(D51) und 8x(0.12x0.96)µm 2 (D53) durchgeführt. Messbedingungen Gummelkennlinien: T = 300 K, V CB = 0 V. Messbedingungen<br />

HF-Messungen: T = 300 K, V CE = 1,5 V , Extrapolation der Stromverstärkung h 21 und der unilateralen Leistungsverstärkung U mit<br />

-20 dB/Dekade bei einer Frequenz von 40 GHz nach Deembedding.<br />

Fig. 16: Static and small-signal device characteristics of the first (D51) and the final (D53) HBt generation, showing the gummel-plot<br />

(a) and the f t / f max measurements. Measurements were carried out on devices with an effective emitter area of 8x(0.18x0.92)µm 2<br />

(D51) and 8x(0.12x0.96)µm 2 (D53), respectively. Gummel plots were measured at t = 300 K with V CB = 0 V. RF measurements were<br />

performed at V Ce = 1.5 V. Deembedded small-signal current gain h 21 and unilateral gain u vs. frequency were used for extrapolation<br />

of f t and f max at 40 GHz with -20 dB per frequency decade.


RF-MEMS Integration<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Das Hauptziel dieses Projektes ist die Integration von<br />

RF-MEMS in einen bestehenden SiGe-BiCMOS-Prozess.<br />

Dies bietet die Möglichkeit, RF-MEMS Schalter in einer<br />

hochmodernen Prozesslinie herzustellen und in<br />

BiCMOS-RFICs zu nutzen.<br />

Im Bereich RF-MEMS wurde in den letzten Jahren ein erheblicher<br />

Aufwand betrieben, aber die industrielle Umsetzung<br />

ging trotz der gezeigten Vorteile wie geringe<br />

Verluste und hohe Linearität nur langsam voran. Ein<br />

wesentlicher Grund dafür ist, dass bei der Herstellung<br />

von RF-MEMS meist spezielle Technologien nötig waren<br />

und dabei z.B. Schwierigkeiten wie Sticking und Integrationsprobleme<br />

mit anderen Teilsystemen auftraten.<br />

RF-MEMS Schalter gelten als Schlüsselkomponente bei<br />

der Strahllenkung und den Anforderungen an phasengesteuerte<br />

Antennen für Millimeter-Wellen-Anwendungen<br />

zum Beispiel bei bildgebenden Systemen für<br />

das 122 GHz ISM Band. Für diese hohen Frequenzen<br />

ist es von Vorteil, den Schalter in einen CMOS- oder<br />

BiCMOS-Prozess monolithisch zu integrieren, da im Gegensatz<br />

zur heterogenen Integration kürzeste Verbindungen<br />

zwischen Schalter und Schaltkreis möglich sind<br />

und damit parasitäre Effekte minimiert werden [1,2].<br />

Dieser technologische Fortschritt ermöglicht damit ein<br />

höheres Level an Komplexität in multifunktionellen<br />

Mikrosystemen. Der IC-Entwurf wird zeigen, wie integrierte<br />

RF-MEMS Schalter in konfigurierbaren ICs für<br />

verschiedenste Anwendungen für mm-Wellenlängen<br />

genutzt werden können. Das Ziel ist es, standardisierte<br />

Bausätze rentabel zu nutzen, welche in großer Stückzahl<br />

und programmierbar für spezifische Anwendungen<br />

hergestellt werden können. Dies verhindert in vielen<br />

Fällen die Notwendigkeit für den anwendungsspezifischen<br />

IC-Entwurf, verringert die Kosten durch das<br />

hohe Marktvolumen und die kürzeren Entwicklungszeiten<br />

und beseitigt Hindernisse, welche zurzeit die<br />

industrielle Umsetzung begrenzen.<br />

RF-MEMS Integration<br />

A major objective of this research is to demonstrate<br />

the integration of RF-MeMS into an existing SiGe BiC-<br />

MoS process. this opens the way to the fabrication of<br />

RF-MeMS within a state of the art processing line and<br />

the use of RF-MeMS switches in BiCMoS RFICs.<br />

RF-MeMS have seen considerable research efforts globally<br />

over the last years, but their industrial uptake<br />

has been very slow, despite their demonstrated advantages<br />

such as low loss and especially high linearity.<br />

A significant part of this dilemma is that RF-MeMS<br />

have mostly been fabricated in dedicated technologies,<br />

with inherent technology related difficulties<br />

such as sticking and integration problems with the<br />

remainder of the system.<br />

RF-MeMS switches are considered to be the key components<br />

to fulfill the beam steering and phase array<br />

antenna requirements of mm-wave applications, such<br />

as imaging systems working in the 122 GHz ISM band.<br />

For such high frequency applications, a monolithic,<br />

embedded integration of the switch with a high-performance<br />

CMoS or BiCMoS platform would be advantageous<br />

over any heterogeneous integration with the<br />

basic IC process, because it provides shortest connection<br />

paths between switch and circuitry resulting in<br />

lowest parasitic effects [1, 2]. the technological advance<br />

will allow a higher level of complexity in multifunctional<br />

microsystems. the IC design approach<br />

will investigate how the fully integrated RF-MeMS<br />

switches can be applied in reconfigurable “core ICs”,<br />

which can be used over a wide range of the millimetre-wave<br />

spectrum for a variety of applications. the<br />

goal is to show a viable way to “off-the-shelf” building<br />

blocks, which can be fabricated in large quantity<br />

and programmed for a specific application. this eliminates<br />

the need for application-specific IC design<br />

in many cases, lowers cost through higher market volume,<br />

reduces design cycle time and hence removes<br />

significant obstacles which currently limit industrial<br />

take-up.<br />

A n n u A l R e p o R t 2 0 0


A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Auf der IEDM <strong>2010</strong> hat das <strong>IHP</strong> einen neuartigen Prozess<br />

zur Integration des RF-MEMS Schalters von der Wafer-Rückseite<br />

vorgestellt [3]. In Abb. 17 ist die neue<br />

Integration des Schalters in den 0,25 µm SiGe:C BiC-<br />

MOS SG25H1 Prozess dargestellt. Der kapazitive Schalter<br />

wird gebildet durch die Schichten Metal3(gelb) und<br />

Metal4(blau). In Metal5 befinden sich die Elektroden<br />

während der Metal4 / Metal5-Stapel als Signalleitung<br />

dient. Die bewegliche Membran besteht aus dem stresskompensierten<br />

Ti / TiN / AlCu / Ti / TiN Metal3 Stapel.<br />

Der RF-MEMS Schalter wurde auf der Rückseite durch<br />

das Kleben eines Glaswafers mittels Polyimid und somit<br />

auf Wafer-Ebene gepackaged (Abb. 18).<br />

Abb. 17: Querschnitt des von der Rückseite integrierten<br />

RF-MEMS Schalters.<br />

Fig. 17: Cross section of back-side processed RF-MeMS<br />

switch integration.<br />

At IeDM <strong>2010</strong>, IHp’s technology group presented a<br />

novel back-side processed RF-MeMS Switch process<br />

[3]. Fig. 17 illustrates the novel RF-MeMS switch<br />

integration in IHp’s 0.25 µm SiGe:C BiCMoS process<br />

SG25H1. the capacitive switch is built between the<br />

Metal3 (yellow) and Metal4 (blue) layers. the highvoltage<br />

electrodes are formed using Metal5 (red)<br />

while the Metal4 / Metal5 stacked layer is used as RF<br />

signal line. the membrane is realized using a stresscompensated<br />

ti / tin / AlCu / ti / tin Metal3 stack. the<br />

released RF-MeMS switch structures were packaged at<br />

wafer level by bonding glass wafers onto the backside<br />

of the BiCMoS+MeMS wafers using polyimide as adhesive<br />

material (Fig. 18).<br />

Abb. 18: Querschnitt mit Rückseiten-Packaging des 8” Wafers.<br />

Fig. 18: Cross section of wafer level packaged 8”<br />

BiCMoS+MeMS wafer.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

In Abb. 19 ist ein mittels FIB hergestellter Querschnitt<br />

des Schalters dargestellt, wobei der Abstand zwischen<br />

M3 und M4 ca. 600 nm beträgt.<br />

Die neuartige Technologie der Integration mittels Rückseitenbearbeitung<br />

benötigt nur einen zusätzlichen<br />

Maskierungsschritt und ist damit unkompliziert und<br />

bietet weiterhin die Möglichkeit eines kostengünstigen<br />

Packagings auf Wafer-Ebene. Der Schalter zeigt sehr<br />

gute elektrische Eigenschaften. Die Einfügedämpfung<br />

ist bis zu 140 GHz kleiner als 0,5 dB. Das Kapazitätsverhältnis<br />

C off / C on liegt bei 1:10 und bietet damit eine<br />

ausgezeichnete Isolation von mindestens 15 dB im<br />

Frequenzbereich von 90-140 GHz (Abb. 20). Die hohe<br />

Zuverlässigkeit des Schalters konnte durch 5 Milliarden<br />

Schaltzyklen ohne Performance-Verluste gezeigt werden.<br />

Abb. 19: FIB Querschnitt des RF-MEMS Schalters (Die Gesamtdicke<br />

der Signalleitung bestehend aus M4 und M5 beträgt 5 µm).<br />

Fig. 19: FIB cross section of the RF-MeMS switch after milling<br />

from front-side. total thickness of the RF-line (M4+M5)<br />

is 5 µm.<br />

A Focus Ion Beam (FIB) cross section of the switch<br />

is shown in Fig. 19. the gap between M3 and M4 was<br />

measured as 600 nm (H1 in Fig. 19).<br />

the novel integration technique using back-side<br />

process is very simple, adding only one mask step to<br />

the underlying high-performance BiCMoS process.<br />

Moreover, it offers low cost, wafer level packaging.<br />

the switch shows excellent electrical parameters after<br />

the wafer level packaging process. the insertion<br />

loss of the switch is less than 0.5 dB up to 140 GHz.<br />

the “off” to “on” capacitance ratio (C off / C on ) is 1:10<br />

providing excellent isolation of more than 15 dB in<br />

the frequency range of 90 to 140 GHz (Fig. 20). no<br />

performance degradation was observed after 5 billion<br />

cold switching cycles demonstrating the high reliability<br />

of the switch.<br />

Abb. 20: S-Parameter des RF-MEMS Schalters.<br />

Fig. 20: Switch S-parameters vs. frequency.<br />

A n n u A l R e p o R t 2 0 0


6 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Zwei Projekte bilden die Grundlage für die Entwicklung<br />

der RF-MEMS Technologie am <strong>IHP</strong>. Ziel des von der EU<br />

geförderten FLEXWIN Projektes (www.flexwin.eu) ist<br />

es, ein intelligentes Antennen-Array unter Nutzung<br />

des eingebetteten RF-MEMS BiCMOS-Prozesses zu entwickeln.<br />

Ein weiteres vom B<strong>MB</strong>F gefördertes Verbundforschungsprojekt<br />

ist nanett (Kompetenznetzwerk für<br />

Nanosystemintegration). Das Ziel dieses Projektes ist<br />

die Entwicklung einer Plattform zur Realisierung von<br />

intelligenten und energieeffizienten Systemen, welche<br />

MEMS Komponenten integriert in einen BiCMOS-Prozess<br />

benötigen. In beiden Projekten ist das <strong>IHP</strong> für die Entwicklung<br />

des integrierten RF-MEMS Prozesses verantwortlich.<br />

[1] M. Kaynak, et al., IEDM, Technical Digest, pp. 797-800, 2009.<br />

[2] M. Kaynak, et., al., SiRF, Technical Digest, pp. 144-147, 2009.<br />

[3] M. Kaynak, et al., IEDM, Technical Digest, pp. 832-835, <strong>2010</strong>.<br />

two important projects form a basis to develop the<br />

RF-MeMS technology in IHp. the FleXWIn project,<br />

supported by eu 7th Framework programme (www.<br />

flexwin.eu), aims to develop an intelligent antenna<br />

array system using RF-MeMS switch embedded BiC-<br />

MoS process. Another collaborative project, which is<br />

supported by B<strong>MB</strong>F, is nanett (network for nanosystem<br />

integration). nanett project’s goal is to develop a<br />

heterogeneous integration platform to realize smart<br />

and power efficient systems. Such systems need<br />

MeMS components, integrated into a BiCMoS process.<br />

In both projects, IHp is responsible for developing<br />

the RF-MeMS integrated BiCMoS process.


Siliziumphotonik<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Photonik wird immer mehr zu einer Schlüsseltechnologie,<br />

die in allen Lebensbereichen zum Einsatz kommt.<br />

Dabei spielen nicht mehr allein die Anwendungen in<br />

direktem Zusammenhang mit der Kommunikation eine<br />

Rolle. Optische Funktionalität ist mittlerweile eine<br />

Voraussetzung für die Wertschöpfung in zahlreichen<br />

Anwendungsgebieten, wodurch die Photonik den Charakter<br />

einer Basistechnologie bekommt. In diesem Zusammenhang<br />

sind die intensiven Anstrengungen zur<br />

Entwicklung integrierter photonischer Technologien,<br />

insbesondere die Zusammenführung von Silizium-IC-<br />

Technologie und integrierter Optik (Siliziumphotonik<br />

bzw. Silicon Photonics) zu sehen.<br />

Am <strong>IHP</strong> konzentriert sich die Forschung in der Siliziumphotonik<br />

auf zwei Kerngebiete: Optische Boards und<br />

Photonische BiCMOS. Dabei sind die Arbeiten eng mit<br />

der internationalen Spitzenforschung verknüpft, was<br />

sich unter anderem in der hohen Anzahl von EU-Projekten<br />

mit photonischem Schwerpunkt widerspiegelt.<br />

Zu den bereits laufenden Projekten BOOM (STREP) und<br />

HELIOS (IP) ist im Jahr <strong>2010</strong> das Projekt GALACTICO<br />

(STREP) hinzugekommen, in welchem das <strong>IHP</strong> der Koordinator<br />

ist. Daneben gibt es diverse nationale Projekte<br />

und regionale Projekte im Raum Berlin-Brandenburg.<br />

Das <strong>IHP</strong> arbeitet mit verschiedenen Industriepartnern<br />

zusammen wie z.B. U2T-D, SECOPTA GmbH, NSN-PT,<br />

Telecom-Italia und Constelex in Griechenland. Weitere<br />

wichtige Kooperationspartner sind IMEC, CEA-LETI, FhG<br />

HHI, FhG IZM, UP Valencia, TU Athen und TU Wien.<br />

Silicon Photonics<br />

photonics is becoming a key technology in everyday<br />

life. Furthermore, the application of photonic technologies<br />

is no longer limited to optical communications.<br />

optical functionality is now leveraging the<br />

commercialization of a vast range of products. photonics<br />

is therefore considered a key-enabling technology<br />

underlying a wide spectrum of applications. parts<br />

of these developments focus on integrated photonics<br />

technologies, in particular on the convergence of<br />

silicon IC technology and integrated optics (silicon<br />

photonics).<br />

At IHp, silicon photonics research is conducted in two<br />

directions: Silicon motherboard technology and photonic<br />

BiCMoS technology. our work is closely linked<br />

to international top-level research in this area, which<br />

reflects in the considerable number of eu-funded<br />

projects with focus on photonics. In addition to ongoing<br />

eu-projects (BooM (StRep) and HelIoS (Ip))<br />

we acquired the project GAlACtICo in <strong>2010</strong>, where<br />

IHp acts as the coordinator. In addition to european<br />

activities there are a number of national and regional<br />

(Berlin-Brandenburg) projects. IHp closely cooperates<br />

with industrial partners such as u2t (Germany &<br />

uK), SeCoptA GmbH, nSn-pt, telecom-Italia and Constelex<br />

in Greece. Similarly, we cooperate with IMeC,<br />

CeA-letI, FhG HHI, FhG IZM, up Valencia, tu Athen<br />

and tu Wien.<br />

A n n u A l R e p o R t 2 0 0<br />

7


Um eine photonische Technologie basierend auf den am<br />

<strong>IHP</strong> zur Verfügung stehenden BiCMOS-Technologiemöglichkeiten<br />

zu entwickeln, wird in enger Kooperation mit<br />

der Technischen Universität Berlin (TU Berlin, FG Hochfrequenztechnik<br />

/ Photonik) gearbeitet. Dabei nutzt<br />

das <strong>IHP</strong> das an der TU Berlin vorhandene Photonik-<br />

Know-how. Die TU Berlin erhält im Gegenzug Zugang<br />

zur <strong>IHP</strong>-Technologie für photonische Anwendungen.<br />

Den Rahmen für die Zusammenarbeit bildet das Joint<br />

Lab Silicon Photonics. Am 18. Juni <strong>2010</strong> fand an der<br />

TU Berlin die offizielle Einweihungsfeier des Joint Labs<br />

mit Vertretern der Leibniz-Gemeinschaft, des <strong>IHP</strong> und<br />

dem Präsidenten der TU Berlin statt.<br />

Im Jahr <strong>2010</strong> wurde die <strong>IHP</strong>-Nanowellenleitertechnologie<br />

weiter optimiert. 1D-Gitterkoppler haben Koppeleffizienzen<br />

um 3-4 dB, wie aus der Koppelcharakteristik<br />

in Abb. 21 ersichtlich. Unsere Nanowellenleiterverluste<br />

bewegen sich im Bereich 2-3 dB / cm. Dies entspricht<br />

dem State-of-the-Art für diese Art Wellenleiter. Dies<br />

wird auch von den Ergebnissen an Ringresonatoren<br />

bestätigt, die Q-Werte im Bereich 10.000 zeigen. Ein<br />

Ringresonator sowie eine zugehörige Filterkurve werden<br />

in Abb. 22 (a) und (b) gezeigt.<br />

8 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

In order to set up a photonics technology based<br />

on IHp’s BiCMoS toolset, a close collaboration with<br />

technische universität Berlin (FG Hochfrequenztechnik<br />

/ photonik) has been established. IHp profits from<br />

the photonics know-how available in Berlin, while<br />

Berlin gains access to the technology of IHp for photonic<br />

research purposes. the frame for this collaboration<br />

is the Joint lab Silicon photonics. on June 18,<br />

the official opening ceremony of the Joint lab Silicon<br />

photonics was held at tu Berlin.<br />

IHp’s nano-waveguide technology was further optimized<br />

in <strong>2010</strong>. 1D grating couplers now reach<br />

efficiencies between 3-4 dB, as shown in Fig. 21.<br />

Waveguide losses are in the range 2-3 dB / cm, which<br />

corresponds to the state-of-the-art for these waveguides.<br />

the quality of the waveguide process is also<br />

confirmed by the measured characteristics of ring<br />

resonators that exhibit Q-values of about 10.000. A<br />

ring resonator and the corresponding filter curve are<br />

shown in Fig. 22.<br />

Abb. 21 (a): REM-Bild eines 1D-Gitterkopplers. Abb. 21 (b): Gemessene Koppelcharakteristik eines Kopplers.<br />

Fig. 21 (a): SeM image of a 1D grating coupler. Fig. 21 (b): Measured coupler characteristics as a function of<br />

wave length.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Neben der passiven Wellenleitertechnologie wird am<br />

<strong>IHP</strong> auch an der Entwicklung aktiver Bauelemente<br />

gearbeitet. Eine hierfür wesentliche Komponente ist<br />

der Modulator, um elektrische in optische Signale zu<br />

transformieren, wobei Geschwindigkeiten > 10 Gbps angestrebt<br />

werden. Innerhalb des Projekts HELIOS wurde<br />

ein erster Modulator-Prototyp entwickelt, der für Modulationsfrequenzen<br />

bis ca. 10 GHz ausgelegt ist. Die<br />

Querschnittsstruktur eines solchen Modulators ist in<br />

Abb. 23 dargestellt. Zielstellung ist die Integration des<br />

Modulators mit der entsprechenden Treiberschaltung im<br />

Front-End-of-Line.<br />

Apart from passive waveguide technology IHp also<br />

works on the development of active devices. An essential<br />

active component is the optical modulator<br />

that transforms signals from the electrical to the optical<br />

domain. Integrated modulators should have a<br />

speed > 10 Gbps. In the frame of the european project<br />

HelIoS, a first modulator prototype is under development<br />

in IHp technology with modulation frequencies<br />

up to about 10 GHz. the cross-section of such a structure<br />

is shown in Fig. 23. the objective of this work is<br />

the integration of the optical modulator in the electronic<br />

front-end of line technology of IHp.<br />

Abb. 22 (a): REM-Bild eines Ring-Resonators und Bus-Wellenleiter. Abb. 22 (b): Gemessene Filtercharakteristik.<br />

Fig. 22 (a): SeM-image of a ring resonator device plus bus waveguide. Fig. 22 (b): Filter characteristics of a ring resonator.<br />

Abb. 23: Querschnitt einer optischen Modulatorstruktur,<br />

wie sie im Projekt HELIOS realisiert wird.<br />

Fig. 23: Cross-section of an optical modulator structure that is<br />

realized in the frame of the project HelIoS.<br />

A n n u A l R e p o R t 2 0 0<br />

9


Fertigung von Nanoelektroden zur Immobilisierung<br />

von Molekülen<br />

Die Labordiagnostik spielt eine zentrale Rolle im Prozess<br />

der Vorsorge, Diagnostik und Therapiebegleitung von Patienten.<br />

Zur Unterstützung medizinischer Diagnosen und<br />

als Basis für eine schnelle Intervention des Arztes (z.B. in<br />

der Notfallmedizin) ist eine zeitnahe Analyse der aktuellen<br />

Patientensituation dringend gefordert.<br />

Forscher des Fraunhofer IBMT und des <strong>IHP</strong> entwickeln<br />

diagnostische Mikrosysteme, die sämtliche analytischen<br />

Prozesse integrieren und die gewonnenen Informationen<br />

an einen geeigneten Datensammler übermitteln (z.B. die<br />

elektronische Patientenakte beim Arzt).<br />

Das Grundkonzept wird anhand so genannter „autonomer<br />

Biosensoren“ deutlich: Diese Sensoren vereinen den<br />

Zugang zur biochemischen Ursache (Probenahme, Präanalytik),<br />

die molekulare Erkennung, die Umsetzung des<br />

Signals und dessen unmittelbare Verarbeitung vor Ort<br />

sowie die Weiterleitung eines aufbereiteten Signals an<br />

ein Netzwerk oder einen Datenknoten. Die Integration<br />

der letzten beiden Schritte ist völliges Neuland und stellt<br />

einen Paradigmenwechsel dar. Dieser wird erst durch den<br />

heute erreichten Grad der Miniaturisierung in der Mikroelektronik<br />

ermöglicht und kann nur durch eine effektive<br />

Zusammenarbeit von Spezialisten aus bisher getrennt arbeitenden<br />

Fachrichtungen realisiert werden.<br />

Ein Schwerpunkt des Projektes ist es, die Verknüpfung<br />

der Infrastruktur des <strong>IHP</strong>, die streng auf Mikroelektronik<br />

ausgerichtet ist, mit den Belangen der Biodiagnostik vorzubereiten.<br />

60 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Fabrication of Nanoelectrodes for Immobilization<br />

of Molecules<br />

laboratory diagnosis plays a central role in the process<br />

of screening, diagnosis and therapy of patients.<br />

to support medical diagnosis and as a basis for rapid<br />

intervention of the physician (e.g. in emergency medicine),<br />

a contemporary analysis of the current patient<br />

situation is urgently required.<br />

Researchers of Fraunhofer IBMt and of IHp develop<br />

diagnostic microsystems which integrate analytical<br />

processes and communicate the obtained data wirelessly<br />

to a suitable data collector (e.g. the electronic<br />

patient record of the doctor).<br />

the basic concept is illustrated by so-called “autonomous<br />

biosensors”: these sensors combine access to<br />

the biochemical cause (sampling, pre-analysis), molecular<br />

recognition, conversion of the signal and its<br />

immediate on-site processing and the transmission<br />

of the processed signal to a network or a data node.<br />

the integration of the last two steps is a completely<br />

new territory and represents a paradigm shift. this is<br />

now possible due to the achieved degree of miniaturization<br />

in microelectronics and can only be realized<br />

through effective cooperation of specialists from previously<br />

separate sets of disciplines.<br />

one focus of the project is preparing the infrastructure<br />

of the IHp, which is strictly geared to microelectronics,<br />

to the needs of Biodiagnostics.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Es wurden Nanostrukturen für die Immobilisierung von<br />

Molekülen zur Bioanalyse am <strong>IHP</strong> gefertigt, siehe Abb.<br />

24. Durch elektrische Felder können Dipolmomente in<br />

neutrale Moleküle induziert werden. Die Wechselwirkung<br />

zwischen den induzierten Dipolen und dem äußeren<br />

Wechselfeld ermöglicht eine kontrollierte Orientierung<br />

der zu untersuchenden Moleküle. Mit der Anwendung der<br />

Halbleitertechnologien zur Strukturierung der Elektroden<br />

können die Kraftfelder auch im mikroskopischen Maßstab<br />

kontrolliert werden.<br />

Abb. 24: Nanoelektroden zur Immobilisierung von Molekülen.<br />

Fig. 24: nanoelectrodes for immobilisatzation of molecules.<br />

nanostructures were fabricated for the immobilization<br />

of molecules for bioanalysis at IHp, as shown in<br />

Fig. 24. Dielectric fields can induce dipole moments in<br />

neutral molecules. the interaction between the induced<br />

dipoles and the external alternating field allows<br />

a controlled orientation of the examined molecules.<br />

With the application of semiconductor technology for<br />

the structuring of the electrodes, the force fields can<br />

also be controlled in the microscopic scale.<br />

A n n u A l R e p o R t 2 0 0<br />

6


Graphen<br />

62 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ziel des Projektes ist die Entwicklung von Graphen-basierten<br />

elektronischen Bauelementen mit Arbeitsfrequenzen<br />

im THz-Bereich.<br />

Graphen ist eine einatomare Schicht von Kohlenstoff mit<br />

der Struktur einer Honigwabe und außerordentlichen<br />

physikalischen Eigenschaften. Seine extrem geringe<br />

Dicke, hohe Leitfähigkeit, Ladungsträgerbeweglichkeit<br />

und Sättigungsdriftgeschwindigkeit können Leistung<br />

und Funktionalität der SiGe-Technologie erhöhen, indem<br />

ultraschnelle Graphenbauelemente integriert werden.<br />

Zwei wichtige Voraussetzungen für die Realisierung<br />

dieser Vision sind die Synthese von Graphen auf isolierendem<br />

Substrat und die Entwicklung von Bauelementekonzepten,<br />

die die außerordentlichen Eigenschaften von<br />

Graphen ausnutzen.<br />

Innerhalb des Projektes wurde eine weltweit einmalige<br />

Synthesemethode von Graphen auf isolierendem Silikatsubstrat<br />

entwickelt (ohne Transferprozess). Dieser<br />

Prozess beinhaltet das Verdampfen von Kohlenstoff aus<br />

einer Feststoffquelle im Ultrahochvakuum bei Substrattemperaturen<br />

unterhalb 1000°C. Dabei findet auf der<br />

sehr ebenen Silikatoberfläche mit nur geringer Wechselwirkung<br />

zum Kohlenstoff eine Nukleation der Atome mit<br />

nachfolgendem Wachstum einer sp 2 -Schicht statt (Abb.<br />

25, links). Wichtiges Verständnis der Wachstumskinetik<br />

wurde durch ab initio Simulationen erreicht (Abb. 25,<br />

rechts).<br />

Graphene<br />

the goal of the project is the development of graphene-based<br />

electronic devices with operation frequencies<br />

extending into the tHz regime.<br />

Graphene is a single layer of carbon atoms arranged<br />

in a honey-comb lattice with outstanding physical<br />

properties. Its ultimate thinness, low resistivity, high<br />

carrier mobility and saturation velocity can be exploited<br />

to realize ultra-fast electronic devices which can<br />

boost Si:Ge technologies and would provide a performance<br />

gain and new functionalities on Si. two of the<br />

most important prerequisites for realizing this vision<br />

are the development of graphene synthesis methods<br />

on insulating substrates and novel tailored device<br />

concepts fully exploiting graphene’s amazing properties.<br />

Within this project a worldwide unique synthesis method<br />

enabling direct (without any transfer process)<br />

deposition of graphene onto insulating silicate substrates<br />

was established. this process involves evaporation<br />

of carbon from a solid-state source in ultra-high<br />

vacuum and with substrate temperatures not exceeding<br />

1000°C. under these conditions, the weakly interacting<br />

and very smooth silicate surface allows for<br />

nucleation and successive growth of sp 2 carbon layers<br />

(Fig. 25, left). Important insights into the growth kinetics<br />

were achieved by ab initio density functional<br />

theory simulations (Fig. 25, right).<br />

Abb. 25: Optische Abbildung von mehrschichtigem Graphen auf isolierendem Silikatsubstrat (links).<br />

Wachstum von Graphen auf einer Silikatoberfläche, simuliert durch ab initio Rechnung (rechts).<br />

Fig. 25: optical microscope image of a multilayer graphene flake deposited directly onto an insulating silicate substrate (left).<br />

Result of ab initio calculations illustrating the growth of graphene on the silicate surface (right).


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Parallel zu den Forschungsarbeiten zum Graphenwachstum<br />

laufen die Entwicklung und Realisierung neuer<br />

Graphenbauelementekonzepte. Der Fokus liegt auf Lösungen,<br />

die sich signifikant von den etablierten Graphenfeldeffekttransistoren<br />

(GFET) unterscheiden. Intensiv<br />

wird am Konzept eines Transistors mit Graphenbasis<br />

(GBT) gearbeitet. Eine schematische Darstellung dafür<br />

ist in Abb. 26 zu sehen.<br />

Im GBT ist die Graphenschicht zwischen zwei isolierenden<br />

sowie zwei metallischen Schichten angeordnet. Die erste<br />

Metallschicht bildet den Elektronenemitter und die<br />

zweite Metallschicht den Kollektor. Graphen wirkt dann<br />

als Basiselektrode, die den Elektronenfluss vom Emitter<br />

zum Kollektor steuert. Da die Graphenbasis sehr dünn ist<br />

(~0,3 nm) und einen sehr geringen Widerstand aufweist,<br />

wird erwartet, dass das vorgeschlagene Bauelement bei<br />

sehr hohen Frequenzen bis in den THz-Bereich arbeiten<br />

kann. Erste Bauelementesimulationen und die experimentelle<br />

Umsetzung einer Konzeptstudie sind in Arbeit.<br />

the research efforts dedicated to graphene deposition<br />

methods run in parallel with the development and<br />

realization of new graphene device concepts. Here,<br />

the focus is on alternative solutions which are significantly<br />

different with respect to the mainstream<br />

graphene field effect devices (GFets). one of the<br />

intensively studied concepts is the graphene base<br />

transistor (GBt). A schematic illustration of a GBt is<br />

shown in Fig.26.<br />

In a GBt, the graphene layer is sandwiched between<br />

two insulator layers and two metal layers. the first<br />

metal layer functions as electron emitter and the second<br />

metal layer as collector. the graphene layer acts<br />

as base electrode which controls the flow of electrons<br />

from the emitter to the collector. Since the graphene<br />

base electrode is ultrathin (~0.3 nm) and exhibits a<br />

very low resistivity, the proposed device is expected<br />

to be capable of operation at very high frequencies<br />

extending into the tHz regime. preliminary device<br />

simulations and experimental realization of a proofof-concept<br />

device are in progress.<br />

Abb. 26: Schematische Darstellung des Konzeptes für einen<br />

Transistor mit Graphenbasis (GBT).<br />

Fig. 26: Schematic illustration of the graphene base transistor<br />

(GBt) concept.<br />

A n n u A l R e p o R t 2 0 0<br />

6


6 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

1T1R HfO 2 -basierte RRAM für e-NVM Module<br />

Neben der weiteren Miniaturisierung Si-basierter Schaltungen<br />

(„More Moore“), wird der zukünftige Fortschritt<br />

auch durch die Integration verschiedener Funktionalitäten<br />

für hochwertige Systeme geprägt sein („More<br />

than Moore“). Der „More than Moore“-Ansatz steht im<br />

Mittelpunkt der <strong>IHP</strong> Forschungsstrategie, die auf Aktivitäten<br />

im Bereich fortschrittlicher System-on-Chip (SoC)<br />

Lösungen für die drahtlose SiGe:C BiCMOS Kommunikation<br />

fokussiert ist. Die Integration eingebetteter nichtflüchtiger<br />

Speichermodule (e-NVM) folgt diesem „More<br />

than Moore“-Ansatz.<br />

Allerdings erfordert die Integration von e-NVM in einem<br />

komplexen SiGe:C BiCMOS Reinraum die Identifikation<br />

von a) kostengünstigen Prozessabläufen (z.B. Front-<br />

End-of-Line (FEOL) vs. Back-End-of-Line (BEOL) und<br />

b) kompatiblen Materialsystemen.<br />

Unter den verschiedenen derzeit in der Literatur diskutierten<br />

e-NVM Technologien fiel die Wahl am <strong>IHP</strong> auf<br />

das widerstandsschaltende Random Access Memory<br />

(RRAM) Konzept. RRAM ist attraktiv, weil es eine vergleichsweise<br />

einfache und kostengünstige Integration<br />

im BEOL Prozessablauf erlaubt.<br />

Dies ist darin begründet, dass RRAM Speicher auf einer<br />

einfachen Metall-Isolator-Metall (MIM) Struktur<br />

basieren, deren Widerstand zwischen einem niedrigresistiven<br />

ON- und einem hoch-resistiven OFF-Zustand<br />

als Funktion der angelegten elektrischen Impulse definiert<br />

wird. Das resistive Schaltverhalten wurde in einer<br />

großen Anzahl von binären Übergangsmetalloxiden wie<br />

NiO, TiO 2 , ZrO 2 , und Cu x O beobachtet. Kürzlich wurde<br />

Hafniumdioxid (HfO 2 ) aufgrund seiner BEOL Si BiCMOS<br />

Kompatibilität untersucht. Darüber hinaus zeigte die<br />

Integration einer CMOS-kompatiblen Ti Deckschicht auf<br />

HfO 2 Filmen eine vielversprechende Verbesserung der<br />

RRAM Schalteigenschaften.<br />

1T1R HfO 2 -based RRAM for e-NVM<br />

Modules<br />

Besides further miniaturization of Si microelectronics<br />

circuits (“More Moore”), future progress will also be<br />

determined by integrating various functionalities to<br />

established Si microelectronic technologies to create<br />

high value systems (“More than Moore”). the “More<br />

than Moore” approach is at the heart of IHp´s research<br />

strategy, focussing its activities on advanced<br />

system-on-chip (SoC) solutions for wireless SiGe:C<br />

BiCMoS communication systems. the integration of<br />

embedded non-volatile memory (e-nVM) modules follows<br />

the “More than Moore” approach.<br />

However, the integration of e-nVM in a complex SiGe:C<br />

BiCMoS cleanroom environment requires to identify<br />

a) cost-effective process flow strategies (e.g. frontend<br />

of line (Feol) vs. back-end of line (Beol) concepts)<br />

and b) compatible material systems.<br />

Among various e-nVM technologies currently discussed<br />

in the literature, the choice was made at IHp<br />

for the Resistance change Random Access Memory<br />

(RRAM) concept. RRAM is attractive because it offers<br />

a comparatively easy and cost-effective integration<br />

concept in the Beol process flow.<br />

this is true because the RRAM memory is based on a<br />

simple metal-insulator-metal (MIM) structure in which<br />

low-resistance “on-” and high-resistance “oFF-”<br />

states are defined by a resistance change of the insulator<br />

as a function of electrical pulses. the resistive<br />

switching behavior was observed in a large number of<br />

binary transition metal oxides such as nio, tio 2 , Zro 2 ,<br />

and Cu x o. Recently, hafnium dioxide (Hfo 2 ) was widely<br />

studied because of its compatibility with typical<br />

Beol Si CMoS processing. In addition, the integration<br />

of a CMoS compatible ti overlayer on Hfo 2 was shown<br />

to be promising for the RRAM cell performance.


Im Rahmen der engen Zusammenarbeit mit der<br />

Technologieabteilung konnte die Integration von<br />

TiN / HfO 2 / Ti(oben) / TiN MIM Speicherzellen (1R Architektur)<br />

im BEOL CMOS Prozess erzielt werden. Die<br />

1R Speicherzellen zeigten mit ausreichender Statistik<br />

das Potenzial des RRAM Konzepts für e-NVM Module<br />

hinsichtlich der Langzeit- und Temperaturstabilität, offenbarten<br />

aber auch Limitierungen in der Anzahl der<br />

Schaltzyklen. Daher wurde die 1R Architektur hin zu einer<br />

1T1R-Architektur mit Auswahl-Transistor erweitert.<br />

Ein elektronenmikroskopisches Querschnittsbild der am<br />

<strong>IHP</strong> gefertigten 1T1R-Architektur ist in Abb. 27 zu sehen.<br />

Um das resistive Schaltverhalten der Speicherzellen<br />

zu beobachten, ist ein Formierungsprozess notwendig<br />

(Einsatz in Abb. 28). Dieser spannungsinduzierte Formierungsprozess<br />

führt zu einem schwachen Durchbruch<br />

der MIM Zelle. Typische Formierungsspannungen liegen<br />

bei V D = 2,7 V und V G = 0,7 V.<br />

Abb. 27: Transmissionselektronenmikroskopisches Bild einer<br />

1T1R Architektur mit 1×1 µm 2 RRAM Zellen.<br />

Fig. 27: transmission electron microscopy cross-sectional<br />

image of a 1t1R architecture with 1×1 µm 2 cells.<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Given the strong collaboration with the IHp technology<br />

department, we integrated tin / Hfo 2 / ti(top) / tin<br />

MIM memory cells (1R architecture) in the Beol CMoS<br />

technology process. these 1R devices demonstrated<br />

with sufficient statistics the potential of the RRAM<br />

concept for e-nVM modules in terms of retention,<br />

temperature stability etc., but also highlighted severe<br />

limitations with respect to cycling endurance.<br />

therefore, the 1R architecture was extended towards<br />

an active matrix concept with a select transistor<br />

(1t1R architecture).<br />

Fig. 27 shows the cross-sectional transmission electron<br />

microscopy (XteM) image of the integrated 1t1R<br />

memory cell. An electroforming process is required to<br />

initiate the resistive switching behavior of the memory<br />

element (inset Fig. 28). this forming process drives<br />

the cell into a voltage-induced soft breakdown. A typical<br />

forming process is achieved by applying V D = 2.7 V<br />

and V G = 0.7 V.<br />

Abb. 28: I-V Charakteristik mit 10 3 Schaltzyklen. Die Pfeile<br />

kennzeichnen die Durchlaufrichtung. Das rechte Inset<br />

zeigt den spannungsgesteuerten Formierungsprozess.<br />

Fig. 28: I-V characteristics with 10 3 cycles. Arrows indicate<br />

sweeping directions. the right inset shows the forming<br />

process in voltage-controlled mode.<br />

A n n u A l R e p o R t 2 0 0<br />

6


Die Strom-Spannungs (I-V) Charakteristik mit 10 3<br />

Schaltzyklen ist in Abb. 28 dargestellt, wenn die Drain-<br />

Spannung in den markierten Schritten 1 bis 4 durchfahren<br />

wird. Durch das Anlegen einer positiven Spannung<br />

am Gate des Transistors kann die Speicherzelle<br />

in den ON-Zustand geschaltet werden. V g = 2,5 V wurde<br />

während des Einschaltvorgangs beibehalten, um den<br />

Strom durch die TiN / HfO 2 / Ti(oben) / TiN Struktur zu<br />

begrenzen. Die Zelle kann wieder in den OFF-Zustand<br />

mit V G = 0 V geschaltet werden.<br />

Die 1T1R-Architektur zeichnet sich aus durch kurze<br />

Schaltzeiten < 100 ns, ein Widerstandsverhältnis von<br />

mehr als 10, und eine ausgezeichnete Temperaturstabilität<br />

bis 125 °C. Zur weiteren Beurteilung des Potentials<br />

der RRAM Speicherzellen für e-NVM Module und zur<br />

weiteren Untersuchung von z.B. Auslesefehlern wurde<br />

das Design eines 4 kb MIM Arrays mit Peripherie in enger<br />

Zusammenarbeit mit der Abteilung System Design<br />

spezifiziert und erstellt.<br />

66 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

the resistive switching characteristics are shown in<br />

Fig. 28, which demonstrates 10 3 cycles as the drain<br />

voltage is swept in the marked steps from 1 to 4. By<br />

applying a positive voltage at the gate of the control<br />

transistor, the memory cell can be switched to<br />

the on-state. V g was maintained at 2.5 V during the<br />

set process in order to limit the current through the<br />

tin / Hfo 2 / ti(top) / tin structure. the MIM cell can<br />

be switched back to the oFF-state for V G = 0 V.<br />

the 1t1R devices showed fast resistance switching<br />


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Ein elektrischer Hall-Messplatz zur Charakterisierung von funktionellen Materialien für die Mikroelektronik.<br />

An electrical hall probe station for characterizing functional materials for microelectronics.<br />

A n n u A l R e p o R t 2 0 0<br />

67


Selektive Ge CVD auf freistehendem, nanostrukturiertem<br />

Si(001)<br />

Ziel des Projektes ist es, vollständig relaxierte, dünne<br />

(


struktur (Wulff-Konstruktion), basierend auf den Oberflächenergien<br />

der verschiedenen Oberflächenorientierungen.<br />

Die große Übereinstimmung ist ebenfalls ein<br />

Indiz für das voll relaxierte Wachstum.<br />

Neben dem klassischen Ansatz sollen künftige experimentelle<br />

Untersuchungen auch die theoretischen Möglichkeiten<br />

der Nanoheteroepitaxie (NHE) erkunden.<br />

Vorausgesetzt, dass das Anwachsgebiet klein genug<br />

ist (für das Ge / Si-System ca. 50 nm und weniger),<br />

kann mit einem elastischen Nachgeben des Substrats<br />

gerechnet werden. Solch eine 3-dimensionale Spannungsreduzierung<br />

in Verbindung mit geeigneter Spannungsverteilung<br />

eröffnet die Vision des Wachstums von<br />

defektfreiem Ge auf Si(001).<br />

Abb. 29: REM-Bild eines Gebietes mit Si-Nanoinseln.<br />

Fig. 29: SeM micrograph of an array of Si nanoislands.<br />

L<br />

2.05<br />

2.00<br />

1.95<br />

1.90<br />

Ge<br />

SiGe<br />

1.85<br />

1.85 1.90 1.95<br />

H<br />

2.00 2.05<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Si<br />

5.0E8<br />

5.0E7<br />

5.0E6<br />

5.0E5<br />

5.0E4<br />

5.0E3<br />

5.0E2<br />

equilibrium crystal shape according to the various<br />

surface energies of the facets. As the superimposed<br />

Wulff-construction widely reproduces the experimental<br />

crystal shape, it is a further indication for relaxed<br />

Ge growth.<br />

Besides the classical approach, future studies will<br />

also be focused on the experimental evaluation of the<br />

theory of nanoheteroepitaxy (nHe). provided that the<br />

seeding area is small enough (in case of Ge / Si system:<br />

about 50 nm and less), one may expect a so-called<br />

compliant substrate effect. Such a 3D stress relief<br />

mechanism together with the strain partitioning phenomena<br />

evokes a vision for growing defect-free Ge on<br />

Si(001).<br />

Abb. 31: Querschnitts-TEM-Bild von epitaktisch gewachsenem Ge<br />

auf freistehenden Si-Nanostrukturen.<br />

Fig. 31: Cross section teM image of epi-Ge grown on a<br />

free-standing Si nanostructure.<br />

Abb. 30: SR-GID-Messung am (202) reziproken Gitterpunkt einer<br />

Probe mit Ge-Inseln.<br />

Fig. 30: SR-GID reciprocal space map of the (202) reflection<br />

of a sample with Ge dots.<br />

A n n u A l R e p o R t 2 0 0<br />

69


Neuartige Lichtemitter auf der Basis von<br />

Germanium-Silizium-Heterostrukturen<br />

Die Anwendung von silizium-basierten Bauelementen<br />

in der Photonik stößt neuerdings auf großes Interesse.<br />

Der Bedarf an schnelleren und kleineren Kommunikationsgeräten<br />

und Rechnern treibt die silizium-basierte<br />

Technologie zu neuen Ansätzen, welche weit über den<br />

Rahmen der klassischen Mikroelektronik hinausgehen.<br />

Derzeit ist eine praktische Umsetzung solcher Bauelemente<br />

im Grunde durch die geringe Fähigkeit des Siliziums<br />

zur Lichterzeugung begrenzt. Silizium ist ein<br />

indirekter Halbleiter, weshalb ein strahlender Band-<br />

Band-Übergang ein Ereignis mit einer geringen Wahrscheinlichkeit<br />

ist.<br />

Eine spezielle Klasse von Bauelementen auf der Basis<br />

von Germanium-Silizium-Heterostrukturen zeigt bemerkenswerte<br />

Lichtemissionseigenschaften und weckt<br />

neue Hoffnungen. Die Bauelemente nutzen den direkten<br />

optischen Übergang in Germanium, welcher der<br />

erforderlichen Kommunikationswellenlänge von 1,55<br />

µm entspricht. Die Leuchtdioden (LEDs) sind in der<br />

Regel auf zugverspannten (0,2 bis 0,25 %), wenige<br />

Mikrometer dicken Germaniumschichten mit niedriger<br />

Versetzungsdichte aufgebaut, welche auf Siliziumsubstraten<br />

gewachsen sind. Eine hohe n +- Dotierung (Phosphor,<br />

8x10 19 cm -3 ) ist einer der wesentlichen Faktoren,<br />

um eine starke direkte Lumineszenz zu erzeugen.<br />

Hier wird ein alternatives Konzept gezeigt, das in Kooperation<br />

mit dem IHT Stuttgart entwickelt wurde und ein<br />

Lumineszenzspektrum mit einer dominanten direkten<br />

Linie um 1,55 µm erzeugt. Dafür werden undotierte<br />

und spannungsfreie Germanium-Schichten mit hoher<br />

Versetzungsdichte (einige 10 8 bis 10 10 cm -2 ) auf Silizium<br />

verwendet. Die starke direkte Strahlung wird dabei<br />

durch die positive Rolle der Versetzungen erreicht.<br />

Die in Stuttgart entwickelten LEDs, hergestellt in quasi-planarer<br />

Technologie, sind im Grunde eine pin-Diode,<br />

die Licht unter Vorspannung in Durchlassrichtung erzeugt.<br />

70 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Novel Germanium / Silicon Heterostructure-based<br />

Light Emitters<br />

the application of silicon-based devices in photonics<br />

is currently attracting the attention of researchers<br />

worldwide. the need for faster and smaller communication<br />

and computing devices drives the technology<br />

to new approaches lying far beyond the frames of<br />

classical microelectronics. Currently, a technological<br />

implementation of such devices is basically hampered<br />

by the low light emission capabilities of silicon. Indeed,<br />

the indirect band gap transition, which governs<br />

the silicon radiation, is a low probability recombination<br />

process and its utilization is difficult.<br />

A special class of devices based on germanium / silicon<br />

heterostructures with remarkable light emission<br />

properties has generated new hope. It uses the direct<br />

optical transition in germanium which corresponds to<br />

the required communication wavelength of 1.55 µm.<br />

the light emitting devices (leDs) are usually fabricated<br />

on tensile strained (0.2-0.25 %) and a few µm<br />

thick germanium layers with low dislocation density,<br />

grown on silicon substrates. High n+ doping (phosphorus,<br />

8x10 19 cm -3 ) is reported to be one of the key<br />

factors to achieve strong direct-gap luminescence.<br />

Here we demonstrate an alternative concept developed<br />

in collaboration with IHt Stuttgart. luminescence<br />

with a strong direct line around 1.55 µm is<br />

obtained using undoped and unstrained germanium<br />

layers with high dislocation density (several 10 8 up to<br />

10 10 cm -2 ) on Si. We show that strong direct band gap<br />

radiation can be reached due to the beneficial role of<br />

the dislocations.<br />

the leDs developed at IHt Stuttgart are fabricated in<br />

a quasi-planar technology and basically represent a<br />

p-i-n diode, which emits light when biased in forward<br />

direction.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Abb. 32 zeigt das Spektrum bei 300 K, gemessen an<br />

einem Bauelement in Betrieb. Das Spektrum besteht<br />

aus einer dominierenden direkten Linie um 0,8 eV (1,55<br />

µm) und einer Reihe von Maxima, die indirekten Band-<br />

Übergängen entsprechen und durch Phonon-Wechselwirkungen<br />

hervorgerufen werden. Die Einfügung<br />

in der Abbildung zeigt die Intensität in Abhängigkeit<br />

vom Strom. Die Intensitäts-Strom-Charakteristik folgt<br />

einem Potenzgesetz mit einem Exponenten von m =<br />

1,7. Der Exponent überschreitet erheblich den Literaturwert.<br />

Er ist ein Maß für die Effizienz der strahlenden<br />

Rekombination, wobei niedrigere Werte eine stärkere<br />

nichtstrahlende Rekombination anzeigen.<br />

Die positive Rolle der Versetzungen ist mit der Bildung<br />

von flachen Energieniveaus unterhalb der Kante des<br />

Leitungsbandes verbunden. Diese Energieniveaus erleichtern<br />

die Besetzung des direkten Minimums mit<br />

Überschussträgern aus dem indirekten Minimum. Es<br />

wird ein Zwischenzustand angeboten für einen Zweischritt-Anregungsprozess.<br />

Auf diese Weise können Träger<br />

aus dem indirekten Minimum leicht in das direkte<br />

springen, wo sie eine direkte Rekombination erfahren<br />

und zur Lichtemission beitragen (Abb. 33).<br />

Abb. 32: Lumineszenzspektren des neuartigen Lichtemitters in<br />

Abhängigkeit vom Strom, gemessen bei 300 K. Eingefügtes<br />

Diagramm: Lumineszenzintensität im Maximum als<br />

Funktion des Stromes.<br />

Fig. 32: luminescence spectra of the novel light emitter for<br />

different drive currents, measured at 300 K.<br />

Inset: luminescence intensity at maximum vs. drive<br />

current.<br />

Fig. 32 shows the spectrum of the device in operation<br />

at 300 K. there is a direct transition related germanium<br />

line around 0.8 eV (1.55 µm) which dominates<br />

the spectrum and a number of peaks of indirect band<br />

transitions mediated by phonon interactions. the inset<br />

shows the el intensity at peak position as function<br />

of the drive current. the intensity-to-current<br />

characteristics is governed by a power law with an exponent<br />

m = 1.7, which significantly exceeds the value<br />

reported in literature. the exponent is related to the<br />

radiative recombination efficiency, with lower values<br />

pointing to larger non-radiative recombination.<br />

the beneficial role of the dislocations is based on<br />

shallow energy levels below the edge of the conduction<br />

band. these levels favour the occupation of the<br />

direct band minimum by excess carriers, providing an<br />

intermediate state for a two-step excitation process.<br />

thus, carriers in the indirect minimum can easily be<br />

transferred into the direct one, where they undergo a<br />

direct recombination and contribute to light emission<br />

(Fig. 33).<br />

Abb. 33: Energie-Diagramm des Zweischritt- Anregungsprozesses<br />

der Träger aus dem indirekten Bandminimum ins direkte<br />

Minimum des Germanium- Leitungsbandes.<br />

Fig. 33: energy diagram of dislocation-mediated, two-step<br />

excitation process of carriers from the indirect into<br />

the direct conduction band minimum of germanium.<br />

A n n u A l R e p o R t 2 0 0<br />

7


Abbildende D1-Photolumineszenz für<br />

Defektnachweis in Solar-Si<br />

Für die Qualitätskontrolle von Wafern und Zellen in der<br />

Photovoltaik werden zunehmend kameragestützte Lumineszenzsysteme<br />

eingesetzt. Unser Ziel war es, auch<br />

für die in solchen Materialien vorhandenen Defekte<br />

Nachweismethoden zu entwickeln und zu bewerten.<br />

Die elektrischen Eigenschaften von multikristallinen<br />

Siliziumwafern werden in starkem Maße durch Kristalldefekte<br />

wie Versetzungen und Korngrenzen bestimmt.<br />

Die Kenntnis ihrer Verteilung und Aktivität ist daher<br />

von beträchtlichem Interesse. Diese Defekte führen zu<br />

unterschiedlichen Lumineszenzbändern bei Energien<br />

unterhalb des Band-Band (BB)-Überganges. Bei Raumtemperatur<br />

wird üblicherweise nur das D1-Band nachgewiesen<br />

(Abb. 34). Die Intensität dieses Bandes erlaubt<br />

einen Zugang zu der Verteilung von Versetzungen<br />

und Korngrenzen.<br />

72 A n n u A l R e p o R t 2 0 0<br />

A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

d1 Photoluminescence Imaging of<br />

defects in PV Silicon<br />

Camera-based luminescence systems are increasingly<br />

used in photovoltaics for monitoring the electrical<br />

quality of wafers and cells. our goal was to also develop<br />

and evaluate imaging methods for the detection<br />

of defects in such materials.<br />

the electrical properties of multicrystalline silicon<br />

wafers are largely governed by crystal defects, in particular<br />

dislocations and grain boundaries. Knowledge<br />

about their distribution and activity is therefore of<br />

substantial interest. these defects are known to exhibit<br />

different luminescence bands at energies below<br />

the band-to-band (BB) transition. At room temperature,<br />

usually only the D1 band is detected (Fig. 34).<br />

Accordingly, the intensity of this band can yield<br />

access to the distribution of dislocations and grain<br />

boundaries.<br />

Abb. 34: Typisches Lumineszenzspektrum aus einem defektreichen<br />

Bereich einer Probe aus Solarsilizium mit Band-Band (BB)-<br />

und D1-Linie bei Raumtemperatur.<br />

Fig. 34: typical luminescence spectrum of a defect-rich area of a<br />

solar silicon sample at room temperature, showing<br />

band-to-band (BB) and D1 lines.


A U S G E w ä H L T E P R O J E K T E – S E L E C T E d P R O J E C T S<br />

Die Apparatur für abbildende Photolumineszenz basiert<br />

auf einer InGaAs-Kamera. Die Beleuchtung der<br />

Probe wird durch einen Laser oder durch eine Matrix von<br />

Leuchtdioden realisiert. Der spektrale Bereich der detektierten<br />

Lumineszenzstrahlung kann durch geeignete<br />

Bandfilter gewählt werden. Abbildende Lumineszenz im<br />

Bereich des D1-Bandes kann genutzt werden, um selektiv<br />

versetzungsreiche Gebiete sichtbar zu machen. Abb.<br />

35 illustriert die Möglichkeiten dieser Technik. Die BB-<br />

und D1-Bilder liefern sich ergänzende Informationen.<br />

Während helle Strukturen im D1-Bild auf Versetzungen<br />

und Korngrenzen zurückzuführen sind, widerspiegeln<br />

dunkle Bereiche im BB-Bild Gebiete reduzierter Trägerlebensdauer,<br />

die durch alle Defekte im jeweiligen Gebiet<br />

verursacht werden. Im Unterschied zu Elektrolumineszenz,<br />

die nur bei kompletten Solarzellen anwendbar<br />

ist, kann abbildende Photolumineszenz praktisch in<br />

allen Schritten der Solarzellenprozessierung und damit<br />

für die Verfolgung der Defektentwicklung genutzt werden.<br />

Die Methode ist schnell und flexibel und kann für<br />

eine Inline-Kontrolle in der Zellproduktion eingesetzt<br />

werden.<br />

the setup used for photoluminescence imaging is<br />

based on an InGaAs camera. Illumination of the sample<br />

is realized by a laser or an array of light emitting<br />

diodes. the spectral range of the emitted luminescence<br />

light can be selected by appropriate band filters.<br />

luminescence imaging in the D1 range can be<br />

used to selectively uncover dislocation-rich areas.<br />

Fig. 35 illustrates the possibilities of the technique.<br />

BB and D1 images provide complementary information.<br />

While bright features in the D1 image are related<br />

to dislocations and grain boundaries, dark features in<br />

the standard BB image reflect the total carrier lifetime<br />

arising from all defects in the respective region of the<br />

sample. unlike electroluminescence imaging applicable<br />

only to complete solar cells, photoluminescence<br />

imaging can be applied at virtually any stage of solar<br />

cell processing enabling the monitoring of defect<br />

evolution. the method is fast and flexible enough to<br />

be used as an inline tool in solar cell production.<br />

Abb. 35: Abbildungen eines multikristallinen Siliziumwafers bei T=300K unter Nutzung des BB- und D1-Lumineszenzbandes.<br />

Die Aufnahmezeit für die Bilder betrug 33 bzw. 500 ms.<br />

Fig. 35: photoluminescence images of a multicrystalline silicon wafer, demonstrating BB and D1 imaging at t=300K.<br />

the capture times of the images were 33 and 500 ms, respectively.<br />

A n n u A l R e p o R t 2 0 0<br />

7


7 A n n u A l R e p o R t 2 0 0<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Joint Labs


Gemeinsames Labor <strong>IHP</strong>/BTU Cottbus<br />

Das Gemeinsame Labor <strong>IHP</strong> / BTU auf dem Campus der<br />

Brandenburgischen Technischen Universität (BTU) Cottbus<br />

besteht seit 2000. Es bündelt die Forschungspotentiale<br />

beider Partner und leistet, unter maßgeblicher Einbeziehung<br />

von Studenten, interdisziplinäre Forschung<br />

auf dem Gebiet der Halbleitermaterialien. Dabei bezieht<br />

es Lehrstühle der BTU in seine Forschungstätigkeit<br />

ein wie Experimentalphysik, Theoretische Physik,<br />

Physikalische Chemie oder Schaltkreisentwurf. Darüber<br />

hinaus beteiligte sich auch die Fachhochschule Lausitz<br />

mit technisch-präparativen Arbeiten.<br />

National kooperiert das Gemeinsame Labor im Rahmen<br />

seiner Projektarbeit mit einer Reihe von Forschungseinrichtungen<br />

wie dem MPI Halle, den Universitäten Göttingen,<br />

Halle und Stuttgart, der RWTH Aachen, dem HZB<br />

Berlin, dem IKZ Berlin oder dem FZ Jülich sowie mit Unternehmen<br />

aus der Silizium-Branche wie der Siltronic<br />

AG, der Conergy SolarModule GmbH, der Schott Solar<br />

Wafer GmbH oder der CSG Solar AG.<br />

Eine wichtige Aufgabe stellt der Ausbau der internationalen<br />

Vernetzung des Gemeinsamen Labors dar. Die BTU<br />

und das <strong>IHP</strong> sind über das Gemeinsame Labor Mitglied<br />

im internationalen Konsortium SiWEDS (Silicon Wafer<br />

Engineering & Defect Science Center, siehe http://mse.<br />

utdallas.edu/siweds/), dem renommierte Halbleiterfirmen<br />

und namhafte Universitäten angehören. Unter<br />

den bestehenden internationalen Verbindungen sind<br />

besonders die engen Kontakte mit der Universität St.<br />

Petersburg (Russland) und SOITEC Bernin (Frankreich)<br />

hervorzuheben.<br />

Das Gemeinsame Labor führt Forschungsarbeiten durch,<br />

deren Ziel es ist, bisher ungenutzte Eigenschaften des<br />

Siliziums für einen künftigen Einsatz auf neuen Gebieten<br />

zu erschließen. Auf der Basis der Ergebnisse dieser<br />

Vorlaufforschung können für das <strong>IHP</strong> Entscheidungen<br />

für seine zukünftige inhaltliche Ausrichtung vorbereitet<br />

werden.<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Joint Lab <strong>IHP</strong> / BTU Cottbus<br />

the Joint lab IHp / Btu located on campus at the<br />

Brandenburg technical university Cottbus (Btu) was<br />

founded in 2000. It pools the research potential of the<br />

partners IHp and Btu and conducts interdisciplinary<br />

research – with substantial participation of students<br />

– in the field of silicon-based semiconductor materials.<br />

experimental physics, Materials Science, theoretical<br />

physics, physical Chemistry and Circuit Design<br />

are closely involved in its research activities. Furthermore,<br />

the nearby university of Applied Sciences<br />

lausitz is also associated with the Joint lab and has<br />

contributed engineering and preparation work.<br />

Within the framework of its research projects, the<br />

Joint lab collaborates on contract basis nation-wide<br />

with various research facilities such as the MpI Halle,<br />

HZB Berlin, IKZ Berlin, FZ Jülich, universities in Göttingen,<br />

Halle and Stuttgart, RWtH Aachen, and with<br />

silicon companies such as Siltronic AG, Conergy SolarModule<br />

GmbH, Schott Solar Wafer GmbH and CSG<br />

Solar AG.<br />

the expansion of its international networking is a<br />

further important task of the Joint lab. Btu Cottbus<br />

and IHp – via the Joint lab IHp / Btu – are members<br />

of the international consortium SiWeDS (Silicon Wafer<br />

engineering & Defect Science Center, see http://mse.<br />

utdallas.edu/siweds/), associating reputed semiconductor<br />

companies and well-known universities.<br />

Among existing international scientific contacts, collaboration<br />

with the Institute of physics at the St. petersburg<br />

State university (Russia) and SoIteC Bernin<br />

(France) has grown particularly close.<br />

the Joint lab conducts research aimed at utilizing<br />

silicon properties that have not been used to date for<br />

new application areas. Based on the results of this<br />

forerunning research, decisions regarding future research<br />

directions of IHp are prepared.<br />

A n n u A l R e p o R t 2 0 0<br />

7


Die nachfolgend aufgeführten Forschungsschwerpunkte<br />

sollen Beiträge zur Weiterentwicklung der Mikroelektronik,<br />

zur Einführung einer Si-basierten Nanoelekronik<br />

und Photonik und zur Unterstützung der Si-basierten<br />

Photovoltaik liefern und werden im Rahmen von Projekten,<br />

meist in Arbeitsteilung mit externen Partnern<br />

und unter Hinzuziehung von BTU-Lehrstühlen, verfolgt:<br />

- Versetzungs-Engineering und Ge-Schichten für<br />

Lichtemitter und andere Anwendungen,<br />

- Si-Nanostrukturen,<br />

- Si-Wafer für zukünftige Technologiegenerationen,<br />

- Elektrische Aktivität von Kristalldefekten in Solar-Si,<br />

- Entwicklung spezieller Mess- und Diagnoseverfahren.<br />

Im Jahr <strong>2010</strong> bearbeitete das Gemeinsame Labor zehn<br />

Drittmittelprojekte, darunter vier B<strong>MB</strong>F-Projekte, ein<br />

BMU-Projekt, zwei Projekte, die von der Technologiestiftung<br />

Berlin bzw. der Investitionsbank des Landes Brandenburg<br />

gefördert wurden, und drei Industrieprojekte.<br />

Durch diese Projekte standen in <strong>2010</strong> mehr als 650 T<br />

Euro Drittmittel zur Verfügung, die vom <strong>IHP</strong> bzw. der<br />

BTU verwaltet wurden.<br />

Auf dem Gebiet der Photovoltaik wirkt das Gemeinsame<br />

Labor im neu gegründeten „Kompetenzzentrum Dünnschicht-<br />

und Nanotechnologie für Photovoltaik Berlin“<br />

(PVComB) mit.<br />

Das Gemeinsame Labor unterstützt das Lehrangebot der<br />

BTU mit Vorlesungen, Übungen und Praktika. Weiterhin<br />

beteiligte es sich an der Graduiertenschule DEDIS-Nano<br />

der BTU. Im Jahr <strong>2010</strong> wurden eine Masterarbeit und<br />

eine Promotion abgeschlossen.<br />

Weiterführende Informationen über das Gemeinsame<br />

Labor sind unter www.jointlab.de abrufbar.<br />

76 A n n u A l R e p o R t 2 0 0<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

the research topics listed below aim to deliver contributions<br />

for the future development of microelectronics,<br />

for the implementation of Si-based nanoelectronics<br />

and photonics, and for the support of Si-based<br />

photovoltaics. the activities are typically organized<br />

in the form of projects, usually carried out in collaboration<br />

with external partners and including Btu<br />

chairs when useful:<br />

- Dislocation-engineering and Ge layers for light<br />

emitters and other applications,<br />

- Si nanostructures,<br />

- Si wafers for future technology generations,<br />

- electrical activity of crystal defects in solar<br />

silicon,<br />

- Development of special methods for measurement<br />

and diagnostics.<br />

In <strong>2010</strong> the Joint lab worked on ten projects funded<br />

by third parties, among them four projects funded by<br />

B<strong>MB</strong>F (Federal Ministry of education and Research),<br />

one project funded by BMu (Federal Ministry for the<br />

environment, nature Conservation and nuclear Safety),<br />

two projects funded by technologiestiftung Berlin<br />

and Investitionsbank des landes Brandenburg, respectively,<br />

and three industry funded projects. More<br />

than € 650 k third-party funds were available for the<br />

projects running in <strong>2010</strong>. the funds were administrated<br />

by IHp and Btu Cottbus, respectively.<br />

In the area of photovoltaics, the Joint lab participates<br />

in the work of the newly founded ‘Competence<br />

Centre thin-Film- and nanotechnology for photovoltaics<br />

Berlin’ (pVComB).<br />

the Joint lab supports teaching at Btu Cottbus by<br />

conducting lectures, exercises and practical courses.<br />

In addition, it contributed to the graduate school De-<br />

DIS-nano of the Btu. In <strong>2010</strong>, one phD thesis and one<br />

master thesis were finished by members of the Joint<br />

lab.<br />

For further information about the Joint lab please visit<br />

the website www.jointlab.de.


Gemeinsames Labor <strong>IHP</strong>/TH Wildau (FH)<br />

Das gemeinsame Forschungs- und Ausbildungszentrum<br />

(Joint Lab) des <strong>IHP</strong> und der Technischen Hochschule<br />

Wildau (THW) wurde 2006 gegründet. Die Schwerpunkte<br />

der Arbeit des Joint Labs sind die gemeinsame<br />

Ausbildung von Studenten auf den Gebieten Physikalische<br />

Technik, Mikroelektronik und Photonik sowie die<br />

Entwicklung neuartiger siliziumbasierter Bauelementekonzepte<br />

und Technologien für die Hochgeschwindigkeits-Elektronik<br />

und Photonik. Von besonderem<br />

Interesse sind neuartige Ansätze, wie z.B. die Nutzung<br />

von Graphen für die Entwicklung von Höchstfrequenzbauelementen<br />

und deren Anwendungen. Im Joint Lab<br />

wurden Verfahren zur Erzeugung von Graphenschichten<br />

untersucht. Gemeinsam werden Anstrengungen unternommen,<br />

diese Schichten zum Erreichen höherer Grenzfrequenzen<br />

bis in den Terahertz-Bereich zu nutzen und<br />

damit neue Anwendungen in der Informationstechnologie,<br />

der Sensorik und Medizintechnik zu erschließen.<br />

Im B<strong>MB</strong>F-Projekt „Neuartige Lichtquellen und Komponenten<br />

für Silizium-Photonik – SiliconLight“ arbeiten<br />

die Projektpartner THW, <strong>IHP</strong>, das Joint Lab <strong>IHP</strong> / BTU<br />

Cottbus, die Technische Universität Berlin (TUB), das<br />

Joint Lab <strong>IHP</strong> / TUB, die Firma MergeOptics GmbH Berlin,<br />

das Max-Planck-Institut für Mikrostrukturphysik<br />

Halle sowie die Firma Fiberware GmbH Mittweida an der<br />

Entwicklung von Lichtquellen auf Siliziumbasis, an aktiven<br />

und passiven Komponenten für die Silizium-Photonik<br />

sowie an der Untersuchung von Möglichkeiten zu<br />

deren Systemintegration.<br />

Das AiF-Projekt „IQ-Level: Innovative high quality level<br />

meter“ (AiF: Arbeitsgemeinschaft industrieller<br />

Forschungsvereinigungen) befasst sich mit der Entwicklung<br />

intelligenter Pegelmesssonden, welche in<br />

Funknetzwerken ihre Informationen austauschen und<br />

sammeln. Diese Entwicklungen sind für die flächendeckende<br />

Überwachung von Wasserständen in Grundwasserreservoiren,<br />

Gewässern und in küstennahen<br />

Bereichen vorgesehen und sollen u.a. das Ressourcenmanagement<br />

erleichtern sowie die Informationslage<br />

über Wasserstände im Katastrophenfall verbessern.<br />

An diesem Projekt arbeiten die THW und das <strong>IHP</strong> gemeinsam<br />

mit den Partnern Prignitz Mikrosystemtechnik<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Joint Lab <strong>IHP</strong> / TUAS wildau<br />

the Joint lab of IHp and the technical university of<br />

Applied Sciences Wildau, a joint research and education<br />

centre, was inaugurated in 2006. the key activities<br />

of this Joint lab are the joint education of<br />

students in the areas of physical engineering, microelectronics<br />

and photonics as well as the development<br />

of new silicon-based device concepts and technologies<br />

for high performance electronics and photonics.<br />

new concepts for the development of high-frequency<br />

devices and their applications, e.g. the use of graphene,<br />

are of special interest. new technologies for<br />

the deposition of graphene layers were evaluated.<br />

Joint efforts are made to reach terahertz frequencies<br />

with such layers and to develop new applications in<br />

information, sensor and medical technologies.<br />

In the B<strong>MB</strong>F-funded project “new light sources and<br />

components for silicon photonics – Siliconlight” the<br />

tuAS Wildau, the IHp, the Joint lab IHp / Btu Cottbus,<br />

the technical university of Berlin (tuB), the Joint lab<br />

IHp / tuB, the company Mergeoptics GmbH Berlin,<br />

the Max planck Institute of Microstructure physics<br />

in Halle and the company Fiberware GmbH Mittweida<br />

cooperate to develop new silicon based light sources,<br />

active and passive components for silicon photonics<br />

as well as the verification of possibilities for its system<br />

integration.<br />

the AiF-project “IQ-level: Innovative high quality level<br />

meter” (AiF: consortium of industrial research associations)<br />

addresses the development of intelligent<br />

level measuring probes, which collect and exchange<br />

information in radio networks. these developments<br />

are designed for the area-wide monitoring of ground<br />

water levels, waters and offshore areas and among<br />

other things aim to ease the management of resources<br />

and to improve the information on water levels<br />

in the case of catastrophes. the tuAS Wildau and the<br />

IHp are working on this project together with the<br />

partners prignitz Mikrosystemtechnik GmbH Wittenberge,<br />

Quantum Hydrometrie GmbH Berlin and the<br />

A n n u A l R e p o R t 2 0 0<br />

77


GmbH Wittenberge, Quantum Hydrometrie GmbH Berlin<br />

sowie die Frankfurter Wasser- und Abwassergesellschaft<br />

mbH Frankfurt (Oder).<br />

Die THW und das <strong>IHP</strong> arbeiten schon seit Jahren in regionalen<br />

Netzwerken (z.B. DiagnostikNet) und in anderen<br />

Vorhaben wissenschaftlich zusammen. Hierbei<br />

stand die Schnittstelle zwischen Siliziumtechnologien<br />

und Analytik in wässrigen Lösungen im Mittelpunkt.<br />

Zwei Arbeitsgruppen der THW arbeiten im B<strong>MB</strong>F Verbundprojekt<br />

IpoGly mit fünf weiteren Partnern zusammen,<br />

darunter auch das <strong>IHP</strong>, welches im Bereich der<br />

Integration eines speziellen Glucose-Nachweises seine<br />

Expertise einbringt.<br />

Die gemeinsame Berufung auf die Professur „Halbleitertechnologie“<br />

in der Studienrichtung Physikalische<br />

Technik der THW, verbunden mit der Leitung des Joint<br />

Lab <strong>IHP</strong>-THW, hat einen stimulierenden Einfluss auf die<br />

laufenden Arbeiten. Wissenschaftler des <strong>IHP</strong> sind mit<br />

einer Vorlesungsreihe zur modernen Halbleitertechnologie,<br />

einschließlich neuester Diagnostikverfahren, der<br />

Photolithographie und dem Plasmaätzen in der Lehre<br />

engagiert. Nach erfolgreichem Abschluss dieser Lehrveranstaltung<br />

bietet das <strong>IHP</strong> den Studierenden der THW<br />

Praktikumsplätze im Rahmen der Veranstaltung „Chip<br />

Processing“ an, wo die Studierenden das erworbene<br />

Wissen unmittelbar in ihrer praktischen Tätigkeit einsetzen.<br />

Darüber hinaus halten Wissenschaftler des <strong>IHP</strong><br />

Vorlesungen im Rahmen des Masterstudiengangs PHO-<br />

TONIK der THW.<br />

Aus den gemeinsamen Aktivitäten in der Ausbildung<br />

sind mehrere sehr erfolgreiche Master- und Diplomarbeiten<br />

entstanden. So wurde eine Masterarbeit zum<br />

Thema „DUV-Technologie zur Herstellung von Bragg-<br />

Gittern auf Silizium-Wellenleitern“ am <strong>IHP</strong> durchgeführt<br />

und von Wissenschaftlern der TUB und der THW<br />

betreut. Eine Diplomarbeit zur Verbesserung der Übertragungseigenschaften<br />

der Wellenleiter ist erfolgreich<br />

verteidigt worden. Insgesamt wurden drei gemeinsame<br />

Diplomarbeiten mit sehr guten Leistungen abgeschlossen.<br />

Im Frühjahrsemester 2011 werden zwei Bachelor-,<br />

eine Diplom- und eine Praktikumsarbeit von Studenten<br />

der THW am <strong>IHP</strong> begonnen.<br />

78 A n n u A l R e p o R t 2 0 0<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Frankfurter Wasser- und Abwassergesellschaft mbH<br />

Frankfurt (oder).<br />

the tuAS Wildau and the IHp have been working in<br />

scientific cooperation for years in regional networks<br />

(e.g. Diagnostiknet) and other projects. the center<br />

of this cooperation was at the intersection between<br />

silicon technologies and analytics in aqueous solutions.<br />

two working groups of the tuAS Wildau are<br />

cooperating with five further partners in the B<strong>MB</strong>F<br />

funded cooperative project IpoGly, including the IHp,<br />

which contributes the expertise for the integration of<br />

a special glucose detection method.<br />

the joint appointment for a professorship “Semiconductor<br />

technology” in engineering physics at the<br />

tuAS, connected with the leadership of the Joint lab<br />

IHp / tuAS Wildau, has a stimulating effect on the<br />

current activities. IHp scientists compiled a lecture<br />

course on modern semiconductor technology including<br />

the latest diagnostic procedures, photolithography<br />

and plasma etching. After completing the<br />

course IHp offers tuAS students interesting traineeships<br />

within the activity “Chip processing”, where the<br />

students immediately use the acquired knowledge<br />

in practice. Moreover, IHp scientists give lectures<br />

within the master course pHotonIK at the tuAS.<br />

Several successful master and diploma theses originated<br />

from the joint education activities. A master thesis<br />

on “DuV technology for the fabrication of Bragggratings”<br />

was written at the IHp and supervised by<br />

scientists of the tu Berlin and the tuAS Wildau. A diploma<br />

thesis on the improvement of transmission properties<br />

of wave guides was defended successfully. A<br />

total of three joint diploma theses were finished with<br />

very good results. two joint bachelors theses, one diploma<br />

thesis and one internship of tuAS students at<br />

the IHp will start in the spring semester 2011.


Eine Reihe von Absolventen hat nach Abschluss der<br />

Ausbildung im Rahmen eines Arbeitsverhältnisses die<br />

Tätigkeit am <strong>IHP</strong> fortgesetzt. Damit wurde ein Beitrag<br />

dazu geleistet, gut ausgebildete junge Ingenieure in<br />

der Region zu halten.<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

numerous graduates started working at the IHp after<br />

their studies. this helps to keep young and qualified<br />

engineers in the region.<br />

Rasterelektronenmikroskop-Aufnahme eines Mikroresonators als ein-dimensionaler photonischer Kristall in einem Silizium-Wellenleiter<br />

(Resonator-Design – TUB / THW, hergestellt am <strong>IHP</strong> Frankfurt (Oder): SiliconLight-Projekt). Einfügung: Gemessene Transmission des Resonators<br />

als Funktion der Wellenlänge (Quelle: St. Meister, TUB).<br />

ReM-picture of a microresonator as a one-dimensional photonic crystal in a silicon waveguide (resonator designed at the tuB / tHW and<br />

manufactured at the IHp Frankfurt (oder), project Siliconlight). the insertion shows the measured transmission of the resonator as a<br />

function of the wavelength. (Source: St. Meister, tuB).<br />

Graphenabscheidung bei 1000°C in der CVD-Reaktionskammer.<br />

Man sieht auf dem Heizer die Kühlwendel und die Proben.<br />

Die Hitzeschilde wurden für die Aufnahme teilweise entfernt.<br />

(Quelle: H. Lux, THW)<br />

Deposition of graphene at 1000°C in the CVD chamber.<br />

the cooling coil and the samples are visible on the heater.<br />

the heat shields were partly removed to make this picture.<br />

(Source: H. lux, tuAS Wildau).<br />

A n n u A l R e p o R t 2 0 0<br />

79


Joint Lab Silicon Photonics von <strong>IHP</strong> und<br />

Technischer Universität Berlin<br />

Die Siliziumphotonik (Silicon Photonics) ist ein neues<br />

und sich rasant entwickelndes Forschungsgebiet im Bereich<br />

der Optoelektronik, in dem die Technologien für<br />

die optische Übertragung und Verarbeitung von Information<br />

auf dem Mikrochip entwickelt werden. Damit<br />

können die sich derzeit abzeichnenden physikalischen<br />

Grenzen der Mikroelektronik um viele Größenordnungen<br />

ausgedehnt werden, was schnellere Chips bei gleichzeitig<br />

geringerem Energieverbrauch bedeutet.<br />

Im Joint Lab Silicon Photonics werden die Spitzenforschung<br />

im Bereich der Halbleitertechnologie des <strong>IHP</strong><br />

und die Exzellenz der TU Berlin in der Photonik gebündelt.<br />

Damit besteht ein einzigartiges Innovations- und<br />

Kompetenzzentrum in Deutschland. Der gemeinsame<br />

Innovationsstandort Berlin/Brandenburg wird nachhaltig<br />

gestärkt. Das Joint Lab Silicon Photonics ist die<br />

logische Konsequenz aus der bisherigen intensiven Kooperation<br />

des <strong>IHP</strong> und der Fakultät 4 der TU Berlin auf<br />

dem neuen Gebiet. Die offizielle feierliche Eröffnungsveranstaltung<br />

für das Joint Lab Silicon Photonics fand<br />

am 18. Juni an der TU Berlin statt.<br />

Das Joint Lab Silicon Photonics verfolgt die Strategie,<br />

gemeinsam Forschungsprojekte einzuwerben und als<br />

Plattform für anwendungsorientierte Forschung zu<br />

agieren, die die Zusammenarbeit mit Hochschulen und<br />

Industrie sucht. Das Joint Lab arbeitet eng mit Firmen<br />

der Region und im europäischen Rahmen mit Spitzeninstitutionen<br />

auf dem Gebiet der Siliziumphotonik zusammen.<br />

Dabei wurden im Rahmen des Clusters <strong>IHP</strong>/TU<br />

Berlin bereits 2.5 Mill. Euro an Drittmitteln eingeworben<br />

(EU, B<strong>MB</strong>F, DFG).<br />

Neben der gemeinsamen Forschungsaktivität verbessert<br />

das Joint Lab Silicon Photonics auch die akademische<br />

Anbindung des <strong>IHP</strong>. Das Joint Lab ist mit zwei<br />

Vorlesungen an der TU Berlin vertreten, die von Prof.<br />

B. Tillack und Dr. L. Zimmermann gehalten werden. Im<br />

Joint Lab werden zahlreiche Studien-, Diplom-, Master-<br />

und Doktorarbeiten betreut.<br />

80 A n n u A l R e p o R t 2 0 0<br />

G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Joint Lab Silicon Photonics of <strong>IHP</strong> and TU<br />

Berlin<br />

Silicon photonics is a rapidly developing research area<br />

in the field of optoelectronics. In Silicon photonics,<br />

technologies are developed for optical on-chip data<br />

transmission and processing. photonic technologies<br />

have the potential to enhance the physical limits of<br />

present microelectronic technologies by orders of<br />

magnitude. this will allow for the fabrication of faster<br />

ICs with lower energy consumption than current<br />

technologies.<br />

the Joint lab Silicon photonics bundles IHp’s excellence<br />

in semiconductor processing and the expertise<br />

of tu Berlin in photonics. this creates a unique center<br />

of innovation and excellence in Germany, enhancing<br />

the innovation potential of Berlin/Brandenburg. the<br />

establishment of the Joint lab is the logical result of<br />

the close collaboration between IHp and Faculty 4 at<br />

tu Berlin in Silicon photonics. the official opening<br />

ceremony of the Joint lab Silicon photonics was conducted<br />

at tu Berlin on June 18.<br />

It is the strategy of the Joint lab to jointly acquire<br />

research funding and to act as a platform for application-oriented<br />

research seeking cooperation with<br />

industry and academia. therefore, the Joint lab<br />

collaborates closely with companies from Berlin /<br />

Brandenburg. on the european level, the Joint lab<br />

cooperates with top-level research labs in the area<br />

of Silicon photonics. So far, the Joint lab has been<br />

able to acquire 2.5 million euro of research funding<br />

for the cluster IHp / tu Berlin, coming from funding<br />

programs and agencies such as eu-Fp7, B<strong>MB</strong>F, DFG.<br />

Apart from collaborative research the Joint lab actively<br />

links IHp to academia. Currently, 2 courses at<br />

tu Berlin are provided by IHp, lectured by prof. B. tillack<br />

and Dr. l. Zimmermann. A considerable number<br />

of diploma, master, and phD works is conducted in the<br />

frame of the Joint lab.


G E M E I N S A M E L A B O R E – J O I N T L A B S<br />

Prof. Kazumi Wada, Tokyo University, der Ehrengast der JointLab-Eröffnungsveranstaltung (rechts) und Prof. Bernd Tillack (mitte) am<br />

Focused-Ion-Beam-Laborgerät (FIB) im <strong>IHP</strong> bei der Betrachtung einer TEM-Lamellenpräparation.<br />

prof. Kazumi Wada (tokyo university) is a guest of honor at the Joint lab opening event (to the right) and prof. Bernd tillack (in the middle)<br />

at the Focused-Ion-Beam equipment (FIB) at the IHp observing a teM lamellae preparation.<br />

A n n u A l R e p o R t 2 0 0<br />

8


82 A n n u A l R e p o R t 2 0 0<br />

Z U S A M M E N A R B E I T U N d P A R T N E R – C O L L A B O R A T I O N A N d P A R T N E R S<br />

Collaboration and Partners


Industrie / Industry*<br />

Z U S A M M E N A R B E I T U N d P A R T N E R – C O L L A B O R A T I O N A N d P A R T N E R S<br />

advICo microelectronics GmbH, Germany<br />

Air liquide Deutschland GmbH, Germany<br />

AIXtRon AG, Germany<br />

Alcatel-lucent Deutschland AG, Germany<br />

Alma Consulting Group S.A.S., France<br />

alpha microelectronics GmbH, Germany<br />

AMD, Germany<br />

AMo GmbH, Germany<br />

AuCoteAM – Ingenieurgesellschaft für Automatisierungs-<br />

und Computertechnik mbH, Germany<br />

Austriamicrosystems AG, Austria<br />

Berliner Feuerwehr, Germany<br />

Bio Sensor technology GmbH, Germany<br />

BRAHMS AG, Germany<br />

Federal office for Information Security, Germany<br />

Celestrius AG, Switzerland<br />

Centellax Inc., uSA<br />

Centrotherm thermal Solutions GmbH & Co. KG,<br />

Germany<br />

Cisco Systems GmbH, Germany<br />

Conergy SolarModule GmbH, Germany<br />

Coreoptics GmbH, Germany<br />

european Aeronautic Defence and Space Company,<br />

Germany<br />

european Institute for Research and Strategic Studies<br />

in telecommunications GmbH, Germany<br />

european Space Agency, Germany<br />

FHR Anlagenbau GmbH, Germany<br />

First Solar Manufacturing GmbH, Germany<br />

France telecom SA, France<br />

Frankfurter Wasser- und Abwassergesellschaft mbH,<br />

Germany<br />

FSue S&pe pulsar, Russia<br />

Genesys ltd., Kiev, ukraine<br />

Helenic Aerospace Industry, Greece<br />

Hubner & Suhner AG, Switzerland<br />

IMSt GmbH, Germany<br />

Infineon technologies AG, Germany<br />

Institut Industrial It (inIt), Germany<br />

Institut für umwelttechnologien GmbH, Berlin<br />

InnoSent GmbH, Germany<br />

ItAVA Systems GmbH, Germany<br />

Kayser-threde GmbH, Germany<br />

landshut Silicon Foundry GmbH, Germany<br />

lantiq Deutschland GmbH, Germany<br />

lesswire AG, Germany<br />

lIMeteC Biotechnologies GmbH, Germany<br />

lIonIX BV, the netherlands<br />

lucent technologies network Systems GmbH, Germany<br />

MeDAV GmbH, Germany<br />

Mergeoptics GmbH, Germany<br />

MeYteC GmbH Informationssysteme, Germany<br />

Micro lambda Wireless, uSA<br />

Mikron JSC Moscow, Russia<br />

namlab GmbH, Germany<br />

nanotron technologies GmbH, Germany<br />

neC europe ltd., uK<br />

nokia Siemens network, Finland<br />

nXp Semiconductors netherlands B.V., the netherlands<br />

odersun AG, Germany<br />

pac tech GmbH, Germany<br />

phasor Solutions, uK<br />

philips Consumer lifetime, the netherlands<br />

philotech GmbH, Germany<br />

phoenix Contact GmbH & Co. KG, Germany<br />

photline technologies SA, France<br />

picoQuant GmbH, Germany<br />

pReMA Semiconductor GmbH, Germany<br />

pRIGnItZ Mikrosystemtechnik GmbH, Germany<br />

Q-Cells AG thalheim, Germany<br />

Quantum Hydrometrie Gesellschaft für Mess- und<br />

Systemtechnik mbH, Germany<br />

Robert Bosch GmbH, Germany<br />

Rohde & Schwarz GmbH & Co. KG, Germany<br />

Schwarting-Biosystem GmbH, Germany<br />

SelMIC, Finland<br />

Sequence Design, uSA<br />

SICK AG, Germany<br />

Siemens AG, Germany<br />

Siemens Austria<br />

SiGe Semiconductor Inc., Canada<br />

Silicann Systems GmbH, Germany<br />

Silicon Radar GmbH, Germany<br />

Silicon Wafer engineering and Defect Science, uSA<br />

A n n u A l R e p o R t 2 0 0<br />

8


8 A n n u A l R e p o R t 2 0 0<br />

Z U S A M M E N A R B E I T U N d P A R T N E R – C O L L A B O R A T I O N A N d P A R T N E R S<br />

Silistix ltd., uK<br />

Siltronic AG, Germany<br />

SIRRIX AG, Germany<br />

Sitec GmbH, Germany<br />

Skyvision ltd., Finland<br />

SpiDCoM technologies, France<br />

St <strong>Microelectronics</strong> SA, France<br />

Step Sensortechnik und elektronik pockau GmbH,<br />

Germany<br />

teleBItcom GmbH, Germany<br />

telecom Italia S.p.A, Italy<br />

teS electronic Solutions GmbH, Germany<br />

texas Instruments AG, Germany<br />

thales Berlin, Germany<br />

thales Communication, Italy<br />

timeKontor AG, Germany<br />

toshiba Research europe ltd., uK<br />

VDI/VDe Innovation + technik GmbH, Germany<br />

VI Systems GmbH, Germany<br />

Wacker Chemie AG, Germany<br />

What!What! Records, Germany<br />

X-FAB Semiconductor Foundries AG, Germany<br />

XMoD technologies, France<br />

*Ausgewählte partner / Selected partners<br />

Forschungsinstitute und Universitäten /<br />

Research Institutes and Universities*<br />

AStRon- netherlands Institute for Radio Astronomy,<br />

the netherlands<br />

Australia telescope national Facility, Australia<br />

Brandenburg university of technology, Germany<br />

Brandenburg university of Applied Sciences, Germany<br />

Budapest university of technology and economics,<br />

Hungary<br />

California Institute of technology, uSA<br />

Center for energy efficent electronics Science,<br />

Berkeley, uSA<br />

telemedizinzentrum Charité – universitätsmedizin<br />

Berlin, Germany<br />

CeRn, France<br />

Chemnitz university of technology, Germany<br />

Christian-Albrechts-university of Kiel, Germany<br />

Democritus university of thrace, Greece<br />

epFl, Switzerland<br />

eindhoven university of technology, the netherlands<br />

eSA / eSteC – teC-etp, the netherlands<br />

etH Zurich, Switzerland<br />

etRI – electronics and telecommunications Research<br />

Institute, Korea<br />

eurescom, Germany<br />

european Synchrotron Radiation Facility, Germany<br />

european university Viadrina, Germany<br />

Ferdinand-Braun-Institut, leibniz-Institut für<br />

Hoechstfrequenztechnik, Germany<br />

FHtW Berlin, Germany<br />

Forschungszentrum Jülich, Germany<br />

Fraunhofer HHI, Germany<br />

Fraunhofer IAF, Germany<br />

Fraunhofer IBMt, Germany<br />

Fraunhofer IIS, Germany<br />

Fraunhofer IZM, Germany<br />

Fraunhofer ISe, Germany<br />

Freie universität Berlin, Germany<br />

French national Center for Scientific Research, France<br />

Friedrich-Alexander-university of<br />

erlangen-nuremberg, Germany<br />

German Aerospace Center, Germany<br />

Hangzhou Dianzi university, China<br />

Helmholtz-Centre Berlin for Materials and energy,<br />

Germany<br />

Helmholtz-Zentrum Dresden-Rossendorf, Germany<br />

Humboldt university of Berlin, Germany<br />

IMeC, Belgium<br />

IneSC Inovação - Instituto De novas tecnologias,<br />

portugal<br />

Inp Greifswald e.V., Germany<br />

InRIA – national Institute for Research in Computer<br />

Science and Control, France<br />

InSA – Institut national des Sciences Appliquees<br />

de Rennes, France<br />

Institute of <strong>Microelectronics</strong>, Singapore<br />

Institute for Solar energy Research Hameln /<br />

emmerthal, Germany


Z U S A M M E N A R B E I T U N d P A R T N E R – C O L L A B O R A T I O N A N d P A R T N E R S<br />

Karlsruhe Institute of technology, Germany<br />

KtH Stockholm, Sweden<br />

leibniz Institute for Solid State and Materials<br />

Research Dresden, Germany<br />

leibniz Institute for Crystal Growth, Germany<br />

leibniz Institute for Solid State and Materials<br />

Research, Germany<br />

leibniz university Hannover, Germany<br />

letI, France<br />

luleå university of technology, Sweden<br />

Max-planck Institute for Metal physics Stuttgart,<br />

Germany<br />

Max planck Institute for Microstructure physics,<br />

Germany<br />

Max planck Institute for physics, Germany<br />

nanoelectronic Materials laboratory, Germany<br />

nanosens, the netherlands<br />

national and Kapodistrian university of Athens,<br />

Greece<br />

national Institute for Materials Science, Japan<br />

national taiwan university, taiwan<br />

national nano Device laboratories, taiwan<br />

national nanotechnology Fabrication Center, Korea<br />

netherlands organisation for Applied Scientific<br />

Research, the netherlands<br />

next Generation Media, Federal Ministry for<br />

economics and technology, Germany<br />

otto von Guericke university Magdeburg, Germany<br />

paul Drude Institute for Solid State electronics,<br />

Germany<br />

poznan university of technology, poland<br />

progress <strong>Microelectronics</strong> Research Institute, Russia<br />

Ruhr-university Bochum, Germany<br />

RWtH Aachen, Germany<br />

Sabanci university Istanbul, turkey<br />

Saint petersburg State university, Russia<br />

technical university of Berlin, Germany<br />

technical university of Ilmenau, Germany<br />

technical university of ukraine, ukraine<br />

technische universität Bergakademie Freiberg,<br />

Germany<br />

technical university Carolo-Wilhelmina at Brunswick,<br />

Germany<br />

technical university Dresden, Germany<br />

technical university Graz, Austria<br />

technical university Hamburg-Harburg, Germany<br />

technical university Munich, Germany<br />

tecnatom S.A., Spain<br />

technology transfer Center of east Brandenburg,<br />

Germany<br />

tohoku university Sendai, Japan<br />

uCl leuven, Belgium<br />

universidad politécnica de Madrid, Spain<br />

university of Cantabria, Spain<br />

university of udine, Italy<br />

university of Bologna, Italy<br />

university of the Bundeswehr Munich, Germany<br />

university of Applied Sciences Wildau, Germany<br />

university of Bologna, Italy<br />

university of California (uClA), uSA<br />

university of Dortmund, Germany<br />

university of Helsinki, Finland<br />

university of Houston texas, uSA<br />

university of Kassel, Germany<br />

university of leipzig, Germany<br />

university of Malaga, Spain<br />

university of Manchester, uK<br />

university of osnabrück, Germany<br />

university of oulu, Finland<br />

university of oxford, uK<br />

university of paderborn, Germany<br />

university of paris-Sud 11, France<br />

university of potsdam, Germany<br />

university of Siegen, Germany<br />

university of St Andrews, uK<br />

university of Stuttgart, Germany<br />

university of Surrey, uK<br />

university of toronto, Canada<br />

university of twente, the netherlands<br />

university of ulm, Germany<br />

Bergische university of Wuppertal, Germany<br />

Vienna university of technology, Austria<br />

Vilnius university, lithuania<br />

Vtt technical Research Centre of Finland, Finland<br />

Weierstrass Institute for Applied Analysis and<br />

Stochastics, Germany<br />

West pomerania university of technology Szeczin,<br />

poland<br />

Yonsei university, Korea<br />

Zhejiang university, China<br />

*Ausgewählte partner / Selected partners<br />

A n n u A l R e p o R t 2 0 0<br />

8


G A S T w I S S E N S C H A F T L E R U N d S E M I N A R E – G U E S T S C I E N T I S T S A N d S E M I N A R S<br />

86 A n n u A l R e p o R t 2 0 0<br />

Guest Scientists and Seminars


G A S T w I S S E N S C H A F T L E R U N d S E M I N A R E – G U E S T S C I E N T I S T S A N d S E M I N A R S<br />

Gastwissenschaftler / Guest Scientists<br />

Gastwissenschaftler Institution Forschungsgebiet<br />

Guest Scientists Institution Research Area<br />

1. Mr. Michael Augustin Brandenburg university of technology, Cottbus, Germany System Design<br />

2. Mr. Ahmed Awny university of paderborn, Germany Circuit Design<br />

3. Dr. Antonio Di Bartolomeo university of Salerno, Italy Materials Research<br />

4. Dr. Maciej Bazarnik poznan university of technology, poland Materials Research<br />

5. Mr. thomas Bertaud ltM CnRS, Grenoble, France Materials Research<br />

6. Mr. Jim Fiorenza AmberWave Systems, Salem, new Hampshire, uSA Materials Research<br />

7. Mr. Andrzej Gajda technical university of Berlin, Germany technology<br />

8. Mr. Seunghyun Jang electronics and telecommunications Research<br />

Institute (etRI), Daejeon, Korea Circuit Design<br />

9. Mr. Myung-Jae lee Yonsei university, Seoul, Korea technology<br />

10. Mrs. Jana Matejova Charles university in prague, Czech Republic Materials Research<br />

11. Mr. enrique Miranda university of Barcelona, Spain Materials Research<br />

12. prof. Junichi Murota tohoku university, Sendai, Japan technology<br />

13. Mr. Bonghyuk park electronics and telecommunications<br />

Research Institute (etRI), Daejeon, Korea Circuit Design<br />

14. Mrs. Sarah Röhe university of Bremen, Germany Materials Research<br />

15. Dr. Florencio Sanchez Instituto de Ciencia de Materiales de Madrid, Spain Materials Research<br />

16. Dr. tobias Schulli european Synchrotron Radiation Facility (eSRF),<br />

Grenoble, France Materials Research<br />

17. Dr. Ibrahim tekin Sabanci university, Istanbul, turkey technology<br />

18. Dr. David thomson university of Surrey, uK technology<br />

19. prof. oleg Vyvenko St. petersburg State university, Russia Materials Research<br />

20. prof. Kazumi Wada the university of tokio, Japan technology<br />

21. prof. Ya-Hong Xie university of California, los Angeles, uSA Materials Research<br />

A n n u A l R e p o R t 2 0 0<br />

87


G A S T w I S S E N S C H A F T L E R U N d S E M I N A R E – G U E S T S C I E N T I S T S A N d S E M I N A R S<br />

Seminare / Seminars<br />

Vortragender Institution Thema<br />

Presenter Institution Topic<br />

1. prof. lambert Alff Darmstadt university of technology, Designed thin film materials for<br />

Germany oxide electronics<br />

2. Dr. Antonio university of Salerno, Italy electronic Applications of<br />

Di Bartolomeo Carbon nanotubes<br />

3. prof. Frederico university of Bologna, Italy X-ray Absorption Fine Structure<br />

Boscherini in Semiconductor physics<br />

4. prof. edmund p. Burte otto von Guericke university of Magdeburg, Materials for non-Volatile Memories<br />

Germany<br />

5. prof. Giovanni Capellini university of Rome, Italy the SiGe activity at RM3: islands,<br />

multi-layers and, possibly, a Quantum<br />

Cascade laser based on silicon<br />

6. prof. Ryszard Czajka poznan university of technology, poland Characterization of Si Surfaces, (Ag)n<br />

Clusters, Metal Silicides‘ nanostructures,<br />

and Individual organic Molecules<br />

by Means of StM & StS Methods<br />

7. Dr. pinarDogan & paul Drude Institute for Solid State pendeoepitaxial overgrowth and<br />

Dr. oliver Brandt electronics, Berlin, Germany coalescence of Gan nanowires on<br />

Si(111) by molecular beam epitaxy<br />

8. prof. Frank Fitzek Aalborg university, Denmark towards Very High transmission Rates<br />

for Cooperating Mobile Devices<br />

9. Dr. Jim Fiorenza AmberWave Systems, Salem, Aspect Ratio trapping: A Heterointenew<br />

Hampshire, uSA gration Solution for Ge and III-V CMoS<br />

10. Mr. Jean Fompeyrine IBM Research - Zuerich Research the Future of nanoelectronics<br />

laboratory, Switzerland<br />

11. prof. Wolfgang Heinrich Ferdinand-Braun-Institut (FBH), leibniz- Advanced Switch-Mode Concepts<br />

& Mr. Andreas Wentzel Institut für Höchstfrequenztechnik, using Gan: the Class-S Amplifier<br />

Berlin, Germany<br />

12. prof. Karsten Horn Fritz Haber Institute, Berlin, Germany Graphene – preparation and electronic<br />

Structure<br />

13. prof. Ingmar Kallfass Karlsruhe Institute of technology (KIt), Active MMIC technology for<br />

Germany 200-300 GHz Wireless Data links<br />

88 A n n u A l R e p o R t 2 0 0


G A S T w I S S E N S C H A F T L E R U N d S E M I N A R E – G U E S T S C I E N T I S T S A N d S E M I N A R S<br />

14. prof. Viktor Krozer Goethe university, Frankfurt am Main, Herausforderungen an Siliziumtechno-<br />

Germany logien in High-Speed und terahertz<br />

Anwendungen<br />

15. Dr. Max C. lemme Harvard university, Cambridge, uSA Current Status of Graphene transistors<br />

16. Dr. Gregor Mussler Forschungszentrum Jülich, Germany <strong>MB</strong>e-growth of SiGe heterostructures<br />

for electronic and opto-electronic<br />

applications<br />

17. Dr. piotr plotka Gdansk university of technology, poland 10-nm GaAs-Based Devices Fabricated<br />

with Monolayer precision for terahertz<br />

electronics<br />

18. Dr. Marian W. Radny poznan university of technology, poland Slab-thickness effects on the Ge(001)<br />

Surface<br />

19. prof. Bernd leibniz Institute of Surface Modification Selbstorganisierte nanostrukturen<br />

Rauschenbach (IoM), leipzig, Germany auf Halbleiteroberflächen durch nieder-<br />

energetische Ionenbestrahlung<br />

20. prof. Matthias Rudolph Brandenburg university of technology, noise Modeling of GaAs HBts<br />

Cottbus, Germany<br />

21. Dr. Florencio Sánchez Institute of Materials Science of Barcelona Integration of epitaxial films of ferro-<br />

(ICMAB), Spain & Spanish national Research magnetic CoFe 2 o 4 with dissimilar<br />

Council (CSIC), Madrid, Spain materials<br />

22. Dr. tobias Schulli european Synchrotron Radiation Facility X-rays inside nanostructures: from in<br />

(eSRF), Grenoble, France situ growth to device structures<br />

23. prof. oliver G. Schmidt Institute for Integrative nanosciences Quantum Dots and Smart tubes for<br />

(IFW), Dresden, Germany on- and off-Chip Applications<br />

24. prof. Roland thewes tu Berlin, Germany CMoS Sensor Arrays for Bio-Molecule<br />

Detection and neural tissue Interfacing<br />

25. Dr. Markus Wedler university of Kaiserslautern, Germany Formal Verification of Systems-on-<br />

Chip – Industrial practices<br />

26. prof. Martin Weinelt Max-Born-Institut, Berlin, Germany two-photon photoemission study of<br />

Si(100) – a benchmark for electronic<br />

structure and carrier dynamics at semiconductor<br />

surfaces<br />

27. prof. eli Yablonovitch university of California, Berkeley, uSA new uS national Center for energy<br />

efficient electronics Science (e 3 S)<br />

A n n u A l R e p o R t 2 0 0<br />

89


90 A n n u A l R e p o R t 2 0 0<br />

P U B L I K A T I O N E N – P U B L I C A T I O N S<br />

Publications


Erschienene Publikationen<br />

Published Papers<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(1) Optimization of the Luminescence<br />

Properties of Silicon diodes Produced by<br />

Implantation and Annealing<br />

t. Arguirov, t. Mchedlidze, M. Reiche,<br />

M. Kittler<br />

Solid State phenomena 156-158, 579 (<strong>2010</strong>)<br />

Incorporation of optical components into microelectronic<br />

devices will significantly improve their performance.<br />

Absence of effective Si-based light emitter<br />

hampers such integration. In the present work light<br />

emitting Si diodes, fabricated by dopant (boron or<br />

phosphorous) implantation and annealing are investigated.<br />

Different implantation doses and annealing<br />

temperatures were employed. the efficiency of<br />

the electroluminescence (el), obtained from such<br />

structures was measured and correlated with the fabrication<br />

process parameters. As previously reported,<br />

the el of band-to-band radiative transition in Si is<br />

strongly influenced, by the dopant implantation dose,<br />

i.e. higher doses usually enhance el. our results suggest<br />

that the effect is mainly related to the increase<br />

of minority carrier lifetime in the substrate. Distinct<br />

measurements showed that the higher implantation<br />

doses lead longer carrier lifetimes in the samples. the<br />

correlation between lifetime and the el efficiency<br />

could be satisfactory explained in the frame of a classical<br />

model, considering the carrier-injection dependence<br />

of the rates of the three main recombination<br />

mechanisms in silicon, i.e. multi-phonon, radiative<br />

and Auger recombination. We suppose that the increase<br />

in the implantation dose improves minority carrier<br />

lifetime due to the gettering of impurity atoms<br />

from the substrate material to the highly doped emitter<br />

region.<br />

(2) Investigations of Thermal Annealing<br />

Effects on Electrical and Structural<br />

Properties of SrTaO based MIM Capacitor<br />

C. Baristiran-Kaynak, M. lukosius, I. Costina,<br />

B. tillack, Ch. Wenger, G. Ruhl, S. Rushworth<br />

Microelectronic engineering 87, 2561 (<strong>2010</strong>)<br />

the annealing effects on dielectric and electrode<br />

materials in ti / Srtao / tan / tin / ti / Si metal–insulator–metal<br />

(MIM) capacitors were studied. the<br />

electrical and structural properties were investigated<br />

after subjecting the samples to annealing temperatures<br />

of 500 °C, 700 °C and 900 °C. the electrical results<br />

revealed that the dielectric constant (k value)<br />

of Sr–ta–o increased from 18 to 50 with increasing<br />

annealing temperature. this improvement in k value<br />

can be associated to the crystallization of dielectric<br />

layer. However, the leakage current density increased<br />

several orders of magnitudes with increase of the annealing<br />

temperatures. this observation was attributed<br />

to crystallization of dielectric, degradation of tan<br />

electrode and out-diffusion of Si from the substrate.<br />

(3) Systemarchitektur intelligenter<br />

Sensorimplantate<br />

t. Basmer, p. Kulse, M. Birkholz<br />

Biomedical engineering / Zeitschrift für<br />

Biomedizinische technik 55, 43 (<strong>2010</strong>)<br />

Intelligente Implantate gewinnen zunehmend an<br />

Bedeutung. Sie zeichnen sich durch eine möglichst<br />

weitgehende Miniaturisierung und einen geringen energiebedarf<br />

aus. Die Kleinheit des Systems ist wichtig<br />

für seine Akzeptanz beim patienten und eine medizinisch<br />

wenig aufwändige Implantationstechnik. Der<br />

geringe energiebedarf ist wichtig für die lebensdauer<br />

des Systems. Diese Arbeit stellt die allgemeinen in jedem<br />

intelligenten Sensorimplantat benötigten Komponenten<br />

vor und diskutiert ihren einsatz am Beispiel<br />

eines in entwicklung befindlichen Glucosesensors.<br />

(4) A Thin Film Approach to Protein<br />

Crystallography<br />

M. Birkholz<br />

nuclear Instruments and Methods in physics<br />

Research B 268, 414 (<strong>2010</strong>)<br />

A novel approach for the investigation of proteins or<br />

macromolecules is outlined in this conceptual study.<br />

the preparation of grapho-epitaxial layers on nanotemplated<br />

substrates is proposed as an alternative to<br />

the preparation of single crystals by vapour diffusion<br />

techniques. Crystal structure investigations of such<br />

A n n u A l R e p o R t 2 0 0<br />

9


92 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

layers may then be performed via grazing-incidence<br />

diffraction (GIXRD) in the laue mode. Quantitative<br />

expressions for the position and intensities of XRD<br />

peaks in this geometry are presented that fully consider<br />

the effects of refraction and absorption. A simulation<br />

of the laue-GIXRD pattern of single-crystalline<br />

layers of Concanavalin A is given. the main challenges<br />

of the approach are concluded to relate to the preparation<br />

of single-crystalline protein layers. However,<br />

if those obstacles could be overcome, a 10-100-fold<br />

faster sample throughput would become possible.<br />

(5) Corrosion-Resistant Metal Layers from a<br />

CMOS Process for Bioelectronic<br />

Applications<br />

M. Birkholz, K.-e. ehwald, D. Wolansky,<br />

C. Baristiran-Kaynak, M. Fröhlich, H. Beyer,<br />

A. Kapp, F. lisdat<br />

Surface and Coatings technology 204, 2055<br />

(<strong>2010</strong>)<br />

the use of the dominant CMoS (complementary metal–oxide–semiconductor)<br />

process technology in<br />

perspective bioelectronic applications imposes severe<br />

restrictions on the materials used with respect<br />

to their stability in aqueous solutions with high concentrations<br />

of electrolytes. We report the results of a<br />

comparative study of Al:Cu, CoSi 2 and tin metal layers<br />

that were prepared within a regular CMoS process and<br />

characterized by depth profiling with X-ray photoelectron<br />

spectroscopy (XpS) in order to determine chemical<br />

composition and contaminants. the corrosion<br />

caused by isotonic naCl solution was investigated<br />

and the most pronounced corrosion resistance was<br />

observed for tin layers showing only negligible conductivity<br />

degradation when exposed to high concentration<br />

of electrolytes at elevated temperature for a<br />

time span of days. In addition, tin layer electrodes<br />

turned out to be stable in an electrochemical cell over<br />

a large potential range and a wide pH range. no electrocatalytic<br />

activity for the conversion of hexacyanoferrate<br />

or catechol has been found. It is concluded<br />

that from the different metal layers available in CMoS<br />

processing, tin layers are best suited for biomedical<br />

electrode applications.<br />

(6) Separation of Extremely Miniaturized<br />

Medical Sensors by IR Laser dicing<br />

M. Birkholz, K.-e. ehwald, M. Kaynak, t. Semperowitsch,<br />

B. Holz, S. nordhoff<br />

Journal of optoelectronics and Advanced<br />

Materials 3(12), 479 (<strong>2010</strong>)<br />

A microchip separation process operating by pulsedwave<br />

IR laser irradiation was applied to microviscosimeters<br />

that are intended to operate as glucose<br />

sensors for continuous monitoring of blood sugar<br />

levels in diabetics. After its technological preparation<br />

the sensor should no more dry up, since the<br />

retreating water would stick a movable cantilever to<br />

the ground plate and thereby plastically deform the<br />

sensor’s micromechanics. the cooling-free IR laser<br />

dicing process was thus chosen for the separation. It<br />

is shown that virtually particle free dices with lateral<br />

dimension down to some 100 µm could be prepared.<br />

the process is concluded to enable the dry, fast and<br />

clean separation of MeMS devices.<br />

(7) Application of wireless Sensor Networks in<br />

Critical Infractructure Protection -<br />

Challenges and design Options<br />

l. Buttyan, D. Gessner, A. Hessler,<br />

p. langendörfer<br />

Ieee Wireless Communications 17(5), 44<br />

(<strong>2010</strong>)<br />

the protection of critical infrastructures provides an<br />

interesting application area for wireless sensor networks.<br />

threats such as natural catastrophes, criminal<br />

or terrorist attacks against CIs are increasingly reported.<br />

the large-scale nature of CIs requires a scalable<br />

and low-cost technology for improving CI monitoring<br />

and surveillance. WSns are a promising candidate<br />

to fulfill these requirements, but if the WSn becomes<br />

part of the CI in order to improve its reliability, then<br />

the dependability of the WSn itself needs to be significantly<br />

improved first. In this article we discuss<br />

the challenges and potential solutions to achieve dependability<br />

of WSns taking into account accidental<br />

failures as well as intentional attacks. We inspect the<br />

whole system starting from individual sensor nodes<br />

via the protocol stack to the middleware layer above.


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(8) Impact of Si Cap Layer Growth on Surface<br />

Segregation of P Incorporated by Atomic<br />

Layer doping<br />

Y. Chiba, M. Sakuraba, B. tillack, J. Murota<br />

thin Solid Films 518, S231 (<strong>2010</strong>)<br />

In atomic layer doping of p using an ultraclean lowpressure<br />

chemical vapor deposition (CVD), the relationship<br />

between surface segregation of p during Si cap<br />

layer growth at 450 °C with Si 2 H 6 partial pressure of<br />

3-20 pa on p atomic layer formed on Si 0.3 Ge 0.7 / Si(100)<br />

and the incorporated p amount at initial position has<br />

been investigated. For higher Si 2 H 6 partial pressure<br />

and for the initial p atom amount of p atomic layer<br />

below about 4 × 10 14 cm -2 , the incorporated p atoms<br />

are almost confined within the 1 nm region around<br />

the heterostructure interface. the p amount is nearly<br />

the same as the initial one. For initial p atom amount<br />

higher than 4 × 10 14 cm -2 , p segregation on surface<br />

is enhanced, and the incorporated p atom amount<br />

around the heterointerface tends to saturate to maximum<br />

value of about 4 × 10 14 cm -2 . this maximum<br />

value decreases with decreasing Si 2 H 6 partial pressure.<br />

these results suggest that the number of site at<br />

the heterointerface between Si cap layer and Si 0.3 Ge 0.7<br />

layer, in which p atoms are incorporated, is about 4 ×<br />

10 14 cm -2 and in the case of low Si2H6 surface coverage,<br />

the incorporated p atom amount at the heterointerface<br />

decreases due to surface segregation.<br />

(9) Radiation Studies of Power LdMOS devices<br />

for High Energy Physics Applications<br />

S. Diez, M. ullán, M. Ruat, p. Fernández-<br />

Martinez, A. Villamor, G. pellegrini, M. lozano,<br />

R. Sorge, D. Knoll<br />

Ieee transactions on nuclear Science 57(6),<br />

3322 (<strong>2010</strong>)<br />

We present radiation hardness studies performed on<br />

lDMoS devices included in a 0.25 µm SiGe BiCMoS<br />

technology from IHp <strong>Microelectronics</strong>. Results show<br />

degradation of devices performances only beyond<br />

1 x 10 15 n eq / cm 2 .<br />

(10) Integrated Adjustable Phase Shifters<br />

F. ellinger, u. Mayer, M. Wickert, n. Joram, J.<br />

Wagner, R. eickhoff, I. Santamaria,<br />

J.C. Scheytt, R. Kraemer<br />

Ieee Microwave Magazine 11(6), 97 (<strong>2010</strong>)<br />

When examining a monthly bank account statement,<br />

it is not only the number below the bottom line that<br />

matters. Whether that number has a minus or plus in<br />

front of it is crucial. For many technical problems, the<br />

sign matters as well. In circuits, we can change the<br />

sign by means of phase shifters. Moreover, by using<br />

phase shifters, intermediate states between the signs<br />

(including complex values) can be set in circuits.<br />

Hence, phase shifters play an important role in electrical<br />

engineering. unfortunately, this article does<br />

not give direct insights to change the sign of your<br />

bank statement. However, it aims to give a comprehensive<br />

overview of tunable phase shifters for radio<br />

frequency (RF) applications including cookbook like<br />

design guidelines and performance comparisons. the<br />

focus of this article is put on phase shifters fully integrated<br />

in a chip.<br />

(11) TId and displacement damage Effects in<br />

Vertical and Lateral Power MOSFETs for<br />

Integrated dC-dC Converters<br />

F. Faccio, B. Allongue, G. Blanchot, C. Fuentes,<br />

S. Michelis, S. orlandi, R. Sorge<br />

Ieee transactions on nuclear Science 57(4),<br />

1790 (<strong>2010</strong>)<br />

tID and displacement damage effects are studied for<br />

vertical and lateral power MoSFets in five different<br />

technologies in view of the development of radiation-tolerant<br />

fully integrated DC-DC converters. Investigation<br />

is pushed to the very high level of radiation<br />

expected for an upgrade to the lHC experiments. tID<br />

induces threshold voltage shifts and, in n-channel<br />

transistors, source-drain leakage currents. Wide variability<br />

in the magnitude of these effects is observed.<br />

Displacement damage increases the on-resistance of<br />

both vertical and lateral high-voltage transistors. In<br />

the latter case, degradation at high particle fluence<br />

might lead to a distortion of the output characteristics<br />

curve. HBD techniques to limit or eliminate the<br />

A n n u A l R e p o R t 2 0 0<br />

9


9 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

radiation-induced leakage currents are successfully<br />

applied to these high-voltage transistors, but have<br />

to be used carefully to avoid consequences on the<br />

breakdown voltage.<br />

(12) A Novel Engineered Oxide Buffer<br />

Approach for Fully Lattice-Matched SOI<br />

Heterostructures<br />

A. Giussani, p. Zaumseil, o. Seifarth, p. Storck,<br />

t. Schroeder<br />

new Journal of physics 12, 093005 (<strong>2010</strong>)<br />

epitaxial (epi) oxides on silicon can be used to integrate<br />

novel device concepts on the canonical Si platform,<br />

including functional oxides, e.g. multiferroics,<br />

as well as alternative semiconductor approaches. For<br />

all these applications, the quality of the oxide heterostructure<br />

is a key figure of merit. In this paper, it<br />

is shown that, by co-evaporating Y 2 o 3 and pr 2 o 3 powder<br />

materials, perfectly lattice-matched prYo 3 (111)<br />

epilayers with bixbyite structure can be grown on<br />

Si(111) substrates. A high-resolution x-ray diffraction<br />

analysis demonstrates that the mixed oxide<br />

epi-films are single crystalline and type B oriented.<br />

Si epitaxial overgrowth of the prYo 3 (111) / Si(111)<br />

support system results in flat, continuous and fully<br />

lattice-matched epi-Si(111) / prYo 3 (111) / Si(111) silicon-on-insulator<br />

heterostructures. Raman spectroscopy<br />

proves the strain-free nature of the epi-Si films.<br />

A Williamson–Hall analysis of the mixed oxide layer<br />

highlights the existence of structural defects in the<br />

buffer, which can be explained by the thermal expansion<br />

coefficients of Si and prYo 3 .<br />

(13) Analysis, design, and Evaluation of LdMOS<br />

FETs for RF Power Applications up to 6 GHz<br />

D. Gruner, R. Sorge, o. Bengtsson,<br />

A. Al tanany, G. Boeck<br />

Ieee transactions on Microwave theory and<br />

techniques 58, (12), 4022 (<strong>2010</strong>)<br />

the analysis, design and evaluation of medium voltage<br />

lDMoS Fets for wireless applications up to 6 GHz is<br />

presented. using an RF optimized nlDMoS transistor,<br />

power devices of different transistor geometries were<br />

fabricated in a standard 0.25 µm BiCMoS technology<br />

with and without on-chip stabilizing networks. the<br />

influence of the finger geometry and the stabilizing<br />

networks on the RF performance was studied based<br />

on small and large-signal on-wafer measurements. It<br />

was analytically shown and experimentally verified<br />

that transistor geometries with reduced gate width<br />

per finger but higher number of fingers are advantageous<br />

regarding the maximum oscillation frequency.<br />

From the source / load-pull characterization of a 1.8<br />

mm total gate-width device, state-of-the-art largesignal<br />

performance with a maximum output power of<br />

29.7 dBm and a peak drain efficiency of 44 % were<br />

obtained at 5.8 GHz. power evaluation of the lDMoS<br />

transistors was also carried out in designed hybrid<br />

power amplifier modules targeted for vehicular wireless<br />

lAn applications. In the 5.8-5.9 GHz band an<br />

output power of 1 W at 1 dB power compression, an<br />

adjacent channel power ratio of -38 dBc and an error<br />

vector magnitude of 3 % at 1 dB peak power compression<br />

are reported.<br />

(14) An Integrated 8-12 GHz Fractional-N<br />

Frequency Synthesizer in SiGe BiCMOS for<br />

Satellite Communications<br />

F. Herzel, S.A. osmany, K. Hu, K. Schmalz,<br />

u. Jagdhold, J.C. Scheytt, o. Schrape,<br />

W. Winkler, R. Follmann, D. Köther, t. Kohl, o.<br />

Kersten, t. podrebersek, H.-V. Heyer,<br />

F. Winkler<br />

Analog Integrated Circuits and Signal<br />

processing 65(1), 21 (<strong>2010</strong>)<br />

We present an integrated fractional-n low-noise<br />

frequency synthesizer for satellite applications. By<br />

using two integrated VCos and combining digital and<br />

analog tuning techniques, a pll lock range from 8 to<br />

12 GHz is achieved. Due to a small VCo fine tuning<br />

gain and optimized charge pump output biasing, the<br />

phase noise is low and almost constant over the tuning<br />

range. All 16 sub-bands show a tuning range<br />

above 900 MHz each, allowing temperature compensation<br />

without sub-band switching. this makes the<br />

synthesizer robust against variations of the device<br />

parameters with process, supply voltage, temperature<br />

and aging. the measured phase noise is -87 dBc / Hz<br />

and -106 dBc / Hz at 10 kHz and 1 MHz offset, respec-


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

tively. In integer-n mode, phase noise values down<br />

to -98 dBc / Hz at 10 kHz and -111 dBc / Hz at 1 MHz<br />

offset, respectively, were measured.<br />

(15) Analytical Phase Noise Modeling and<br />

Charge Pump Optimization for<br />

Fractional-N PLLs<br />

F. Herzel, S. osmany, J.C. Scheytt<br />

Ieee transactions on Circuits and Systems I<br />

57(8), 1914 (<strong>2010</strong>)<br />

We present an analytical frequency-domain phase-noise<br />

model for fractional-n phase-locked loops<br />

(plls). the model includes the noise of the crystal<br />

reference, the reference input buffer, the voltagecontrolled<br />

oscillator (VCo), the loop filter, charge<br />

pump (Cp) device noise, and sigma-delta modulator<br />

(SDM) noise, including its effect on the in-band phase<br />

noise. the thermal device noise of the Cp and the<br />

turn-on time of the Cp output current are found to be<br />

limiting the in-band phase noise of state-of-the-art<br />

synthesizers. Device noise considerations for bipolar<br />

transistors and MoSFets suggest the use of CMoSonly<br />

Cps, even in BiCMoS technologies. We present a<br />

noise-optimized CMoS Cp specifically designed for a<br />

dual-loop pll architecture using two Cps. this pll architecture<br />

keeps the dc output voltage of the noiserelevant<br />

Cp and the phase-noise spectrum constant,<br />

regardless of temperature variations.<br />

(16) Heavy Carbon Atomic-Layer doping at<br />

Si 1-x Ge x / Si Heterointerfaces<br />

t. Hirano, M. Sakuraba, B. tillack, J. Murota<br />

thin Solid Films 518, S222 (<strong>2010</strong>)<br />

Heavy C atomic-layer doping of about 10 14 cm -2 at<br />

the heterointerface between Si 1-x Ge x and Si using<br />

an ultraclean low-pressure chemical vapor deposition<br />

has been investigated. By heavy C atomic-layer<br />

doping at heterointerface between a Si cap layer<br />

and a Si 0.55 Ge 0.45 layer in Si / Si 0.55 Ge 0.45 / Si(100) heterostructure,<br />

the intermixing between Si and Ge at<br />

heterointerface is effectively suppressed. For 4 nm<br />

thick Si 0.55 Ge 0.45 cap layer / Si(100) heterostructure<br />

with C atomic-layer doping, Ge fraction of 0.45 and<br />

strain scarcely change with the heat treatment at<br />

750 °C, while those without C atomic-layer doping are<br />

reduced. For 40 nm thick Si 0.55 Ge 0.45 cap layer / Si(100)<br />

heterostructure, whose Si 0.55 Ge 0.45 thickness is close<br />

to the critical thickness, it is found that the strained<br />

Si 0.55 Ge 0.45 cap layer is relaxed by C atomic-layer doping<br />

at heterointerface. these results suggest that<br />

the heavy C atomic-layer doping suppresses strain<br />

relaxation as well as intermixing between Si and Ge<br />

at the Si 1-x G ex / Si heterointerface especially for the<br />

heterostructure composed of nm-order thick films.<br />

(17) Analysis of Silicon Carbide and Silicon<br />

Nitride Precipitates in Block Cast<br />

Multicrystalline Silicon<br />

M. Holla, t. Arguirov, W. Seifert, M. Kittler<br />

Solid State phenomena 156-158, 41 (<strong>2010</strong>)<br />

We report on the optical and mechanical properties<br />

of Si 3 n 4 inclusions, formed in the upper part of mc-<br />

Si blocks during the crystallization process. those<br />

inclusions usually appear as crystalline hexagonal<br />

tubes or rods. Here we show that in many cases the<br />

Si 3 n 4 inclusions contain crystalline Si in their core.<br />

the presence of the Si phase in the centre was proven<br />

by means of cathodoluminescence spectroscopy and<br />

imaging, electron beam induced current measurements<br />

and Raman spectroscopy. the crystalline Si 3 n 4<br />

phase was identified as β-Si 3 n 4 . Residual stress was<br />

revealed at the particles. While the stress is compressive<br />

in the Si material surrounding the Si 3 n 4 particles<br />

tensile stress is found in the Si core. We assume that<br />

the stress is formed during cool down of the Si block<br />

and is a consequence of the larger thermal expansion<br />

coefficient of Si in comparison to that of β-Si 3 n 4 .<br />

Iron assisted nitridation of Si at temperatures below<br />

1400 °C is considered a possible mechanism of Si 3 n 4<br />

formation.<br />

(18) defect Characterization of Poly-Ge and<br />

VFG-Grown Ge Material<br />

M. Holla, t. Arguirov, G. Jia, M. Kittler,<br />

C. Frank-Rotsch, F.M. Kiessling, p. Rudolph<br />

Solid State phenomena 156-158, 483 (<strong>2010</strong>)<br />

Germanium is an attractive model system for studying<br />

the crystallization mechanism and optimization of<br />

A n n u A l R e p o R t 2 0 0<br />

9


96 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

the growth processes in photovoltaics. In comparison<br />

to Si it has a lower melting point and that is why its<br />

usage is cost effective. the main aim of our work was<br />

to verify the similarities in the growth related defect<br />

formation between Ge and Si. We apply standard Si<br />

characterization methods to poly and VGF-grown ntype<br />

Ge. Room temperature and 80 K eBIC measurements<br />

were done to reveal the defect structure. photoluminescence<br />

spectra were used to characterize the<br />

optical properties as for instance the Ge band-to-band<br />

or defect originated transitions. Additionally, photoluminescence<br />

and cathodoluminescence maps were<br />

preformed to reveal the defect distribution/activity,<br />

too, by using the direct Ge band-to-band transition.<br />

(19) Correlation between the Nanoscale<br />

Electrical and Morphological Properties of<br />

Crystallized HfO 2 -based MOS Structures<br />

V. Iglesias, M. porti, n. nafria, X. Aymerich,<br />

p. Dudek, G. Bersuker<br />

Applied physics letters 97, 262906 (<strong>2010</strong>)<br />

the relationship between electrical and structural<br />

characteristics of polycrystalline Hfo 2 films has been<br />

investigated by conductive atomic force microscopy<br />

under ultrahigh vacuum conditions. the results<br />

demonstrate that highly conductive and breakdown<br />

(BD) sites are concentrated mainly at the grain boundaries<br />

(GBs). Higher conductivity at the GBs is found<br />

to be related to their intrinsic electrical properties,<br />

while the positions of the electrical stress-induced<br />

BD sites correlate to the local thinning of the dielectric.<br />

the results indicate that variations in the local<br />

characteristics of the high-k film caused by its crystallization<br />

may have a strong impact on the electrical<br />

characteristics of high-k dielectric stacks.<br />

(20) Extrinsic Effects of Indirect Radiative<br />

Transition of Ge<br />

S.R. Jan, C.-H. lee, t.-H. Cheng, Y.Y. Chen,<br />

K.-l. peng, S.-t. Chan, C.W. liu, Y. Yamamoto,<br />

B. tillack<br />

eCS transactions 33, 555 (<strong>2010</strong>)<br />

the effects of surface roughness and defects on Ge<br />

indirect radiative transition was observed. the oxide<br />

roughness scattering can conserve the momentum<br />

during electron-hole recombination and enhance the<br />

indirect radiative transition. the indirect transition is<br />

affected more sensitively by the non-radiative transition<br />

resulting from defects in Ge. the passivation of<br />

Geo 2 on Ge also decreases the surface defect density<br />

and leads to intensity enhancement of photoluminescence.<br />

(21) Heavy Atomic-Layer doping of Nitrogen in<br />

Si 1-x Ge x Epitaxial Growth on Si (100) by<br />

Ultraclean Low-Pressure CVd<br />

t. Kawashima, M. Sakuraba, B. tillack,<br />

J. Murota<br />

thin Solid Films 518, S62 (<strong>2010</strong>)<br />

n atomic-layer doping in a nanometer-order<br />

Si / Si 1-x Gex / Si(100) heterostructure using ultraclean<br />

low-pressure chemical vapor deposition and its<br />

thermal stability at 650 °C were investigated. In the<br />

Si 0.5 Ge 0.5 epitaxial layer, it is found that a n doping<br />

dose of 6 × 10 14 cm -2 can be confined within an about<br />

1.5 nm-thick region even after 650 °C heat treatment<br />

in contrast to the result for Si cap layer growth on<br />

the thermally nitrided Si(100) with a n doping dose<br />

of 6 × 10 14 cm -2 which was found to be amorphous.<br />

Moreover, it is suggested that the confined n atoms<br />

in Si 1-x Ge x preferentially form Si-n bonds and that formation<br />

of Si 3 n 4 is enhanced by the heat treatment at<br />

650 °C.<br />

(22) Realisation of a Single-Chip, Silicon<br />

Germanium:C-based Power Amplifier for<br />

Multi-Band worldwide Interoperability for<br />

Microwave Access Applications<br />

M. Kaynak, I. tekin, Y. Gurbuz<br />

Iet Microwaves, Antennas & propagation 4,<br />

2273 (<strong>2010</strong>)<br />

A fully integrated multi-band power amplifier (pA)<br />

using a 0.25 µm silicon germanium (SiGe):C process<br />

with an output power of above 25 dBm is presented.<br />

the behaviour of the amplifier has been optimised<br />

for multi-band operation covering, 2.4, 3.6 and 5.4<br />

GHz (ultra wide band-worldwide interoperability for<br />

microwave access) frequency bands for higher 1-dB


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

compression point and efficiency. Multi-band operation<br />

is achieved using a multi-stage topology where<br />

parasitic components of active devices are also used<br />

as components for matching networks, in turn decreasing<br />

the value and number of matching components.<br />

Measurement results of the pA provided the following<br />

performance parameters: 20.5 dBm 1-dB compression<br />

point, 23 dB gain and 7% efficiency at the 2.4 GHz<br />

band; 25.5 dBm 1-dB compression point, 31.5 dB gain<br />

and 17.5% efficiency at the 3.6 GHz band; 22.4 dBm<br />

1-dB compression point, 24.4 dB gain and 9.5% efficiency<br />

at the 5.4 GHz band. Measurement results show<br />

that usage of both multi-stage topology and parasitic<br />

components as part of the matching network have<br />

provided a wider band operation with higher output<br />

power levels, above 25 dBm, with SiGe:C process.<br />

(23) General Time-domain Representation of<br />

Chromatic dispersion in Single-Mode Fibers<br />

M. Khafaji, H. Gustat, F. ellinger, J.C. Scheytt<br />

Ieee photonics technology letters 22(5), 314<br />

(<strong>2010</strong>)<br />

In this letter, an analytical method in time domain for<br />

calculation of the effect of chromatic dispersion (CD)<br />

in a single-mode fiber is presented. By using Fourier<br />

series representation of a general pulse approach,<br />

the CD effect could be obtained for arbitrary pulse<br />

shapes. As one improvement beyond the commonly<br />

used models, this work provides an analytical way to<br />

calculate the required number of taps for a finite impulse<br />

response filter equalizer without the need for<br />

empirical values. As an example, the spreading of a<br />

pulse carved by a Mach-Zehnder interferometer in an<br />

optical communication system is calculated. the novel<br />

analytical expression is in good agreement with<br />

other published results.<br />

(24) Getter Effects in Low Oxygen and High<br />

Oxygen Czochralski Silicon wafers<br />

G. Kissinger, D. Kot, W. Häckl<br />

eCS transactions 33(11), 113 (<strong>2010</strong>)<br />

Gettering of Cu and ni in wafers with low and high<br />

concentrations of interstitial oxygen was investigated<br />

by haze tests. the RtA induced getter effects for<br />

Cu and ni in low-oxygen and high-oxygen wafers are<br />

based on two different getter mechanisms, internal<br />

gettering by oxide precipitates and internal gettering<br />

by nanometer sized voids (noids), respectively. Both<br />

types of internal gettering contain a defect denuded<br />

zone below the surface. While gettering by noids is<br />

active immediately after RtA, efficient gettering by<br />

oxide precipitates requires a certain annealing time<br />

in order to achieve a high enough density and size<br />

of precipitates. It was found that the getter effect<br />

of noids is destroyed by annealing at temperatures<br />

≥800 °C.<br />

(25) Modeling the Early Stages of Oxygen<br />

Agglomeration<br />

G. Kissinger, J. Dabrowski, D. Kot,<br />

V.D. Akhmetov, A. Sattler, W. von Ammon<br />

eCS transactions 27(1), 1021 (<strong>2010</strong>)<br />

the results of ab initio calculations and rate equation<br />

modeling of the early stages of oxide precipitation<br />

are compared with the results of highly sensitive FtIR<br />

spectrometry of oxygen and vacancy oxygen containing<br />

complexes in silicon after RtA treatment. the<br />

ab initio calculations have shown that the binding<br />

energy of interstitial oxygen in Vo n is higher than in<br />

o n for n ≤ 6. For higher n, the energy gain is comparable.<br />

the point defect species o 1 , o 2 , o 3 , and Vo 4<br />

were detected by highly sensitive FtIR in high oxygen<br />

Czochralski silicon wafers after RtA at 1250°C.<br />

the concentrations obtained from the ab initio modeling<br />

approach for I, V, o n with n = (1-4) and Vo n with<br />

n = (1-8) without fitting parameters are in good agreement<br />

with the experimental data for o 1 , o 2 , o 3 , and<br />

Vo 4 as determined by highly sensitive FtIR.<br />

(26) Rate Equation Modeling, Ab Initio<br />

Calculation, and High Sensitive FTIR<br />

Investigations of the Early Stages of Oxide<br />

Precipitation in Vacancy-rich CZ Silicon<br />

G. Kissinger, J. Dabrowski, V.D. Akhmetov,<br />

A. Sattler, D. Kot, W. von Ammon<br />

Solid State phenomena 156-158, 211 (<strong>2010</strong>)<br />

the results of highly sensitive FtIR investigation, ab<br />

initio calculations and rate equation modeling of the<br />

A n n u A l R e p o R t 2 0 0<br />

97


98 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

early stages of oxide precipitation are compared. the<br />

attachment of interstitial oxygen to Vo n is energetically<br />

more favorable than the attachment to o n for<br />

n ≤ 6. For higher n the energy gain is comparable. the<br />

point defect species which were detected by highly<br />

sensitive FtIR in high oxygen Czochralski silicon wafers<br />

are o 1 , o 2 , o 3 , and Vo 4 . Rate equation modeling<br />

for I, V, o n and Vo n with n = (1..4) also yields o 1 , o 2 , o 3<br />

to appear with decreasing concentration and Vo 4 as<br />

that one of the Vo n species which would appear in the<br />

highest concentration after RtA.<br />

(27) Simulation of Trap Assisted Leakage<br />

Through Thin dielectric Films<br />

G. Kozlowski, J. Dabrowski<br />

Iop Conference Series: Materials Science and<br />

engineering 8, 012029 (<strong>2010</strong>)<br />

A simple quantum mechanical model was used to investigate<br />

the influence of charged defects on trap<br />

assisted tunnelling (tAt) current through dielectric<br />

films. tAt current behaves in two distinct ways for<br />

defects close and far from the interface. leakage<br />

through traps with normal and homogenous distribution<br />

of energy states is compared to currents through<br />

ideal material with thickness inhomogeneity.<br />

(28) Reducing EMI using GALS Approach<br />

M. Krstic, t. Krol, e. Grass<br />

Journal of low power electronics 6(1), 181<br />

(<strong>2010</strong>)<br />

In this paper, the possibilities of reducing eMI in<br />

GAlS systems are investigated and presented. Based<br />

on the special software tool for eMI analysis, several<br />

different abstract models of GAlS circuits have been<br />

designed in order to extract a realistic eMI properties<br />

of a GAlS system. Based on the clock behavior, we<br />

have been able to analyze using our tool the current<br />

profile of each modeled system, both in frequency and<br />

in time domain. the results have been compared with<br />

the synchronous counterparts including low-eMI solutions.<br />

As a result, a reduction up to 25 dB can be<br />

achieved when applying a low-eMI GAlS methodology<br />

in comparison to the synchronous designs. Finally,<br />

we have analyzed eMI reduction depending on the<br />

granularity of GAlS approach. We have analyzed the<br />

limits of eMI reduction with high granularity GAlS<br />

systems and extrapolated the results for asynchronous<br />

systems.<br />

(29) Alternative High-k dielectrics for<br />

Metal-Insulator-Metal Applications<br />

M. lukosius, C. Baristiran Kaynak, Ch. Wenger<br />

eCS transactions 33(3), 15 (<strong>2010</strong>)<br />

Atomic Vapor Deposition (AVD) technique was successfully<br />

applied for the depositions of amorphous<br />

Hfo 2 , Sr-ta-o and ti-ta-o thin films, which were investigated<br />

as alternative dielectrics to replace the<br />

standard Sio 2 or Si 3 n 4 dielectrics used in Metal-Insulator-Insulator<br />

(MIM) capacitors. Metal oxides were<br />

grown on 200 mm tin / Si (100) substrates within the<br />

thermal budget of back-end-of-line (Beol) process.<br />

electrical properties, investigated after sputtering Au<br />

top electrodes, revealed that the main characteristics<br />

are different for each dielectric. on one hand, ti-ta-o<br />

based MIM capacitors possessed a dielectric constant<br />

of 50, which is more than a factor of 2 higher compared<br />

to the ones of Sr-ta-o (20) and Hfo 2 (18). on<br />

the other hand, Sr-ta-o based MIM capacitors showed<br />

the lowest leakage current densities as well as the<br />

smallest capacitance-voltage linearity coefficients.<br />

(30) High Performance Metal-Insulator-Metal<br />

Capacitors with Atomic Vapour deposited<br />

HfO 2 dielectrics<br />

M. lukosius, Ch. Walczyk, M. Fraschke,<br />

D. Wolansky, H.H. Richter, Ch. Wenger<br />

thin Solid Films 518, 4380 (<strong>2010</strong>)<br />

thin Hfo 2 films were grown as high-k dielectrics for<br />

Metal–Insulator–Metal applications by Atomic Vapor<br />

Deposition on 8 inch tin / Si substrates using pure<br />

tetrakis(ethylmethylamido)hafnium precursor. Influence<br />

of deposition temperature (320–400 °C) and<br />

process pressure (2–10 mbar) on the structural and<br />

electrical properties of Hfo 2 was investigated. X-ray<br />

diffraction analysis showed that Hfo 2 layers, grown<br />

at 320 °C were amorphous, while at 400 °C the films<br />

crystallized in cubic phase. electrical properties, such<br />

as capacitance density, capacitance–voltage lineari-


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

ty, dielectric constant, leakage current density and<br />

breakdown voltage are also affected by the deposition<br />

temperature. Finally, tin / Hfo 2 / tin stacks, integrated<br />

in the Back-end-of-line process, possess 3<br />

times higher capacitance density compared to standard<br />

tin / Si 3 n 4 / tin capacitors. Good step coverage<br />

(n90%) is achieved on structured wafers with aspect<br />

ratio of 2 when Hfo 2 layers are deposited at 320 °C<br />

and 4mbar.<br />

(31) Characterization of Thin Film Photovoltaic<br />

Material Using Photoluminescence and<br />

Raman Spectroscopy<br />

t. Mchedlidze, t. Arguirov,<br />

S. Kouteva-Arguirova, M. Kittler<br />

Solid State phenomena 156-158, 419 (<strong>2010</strong>)<br />

electrical and structural properties of thin-film photovoltaic<br />

(pV) material fabricated using Crystal Silicon<br />

on Glass (CSG) technology was investigated applying<br />

photoluminescence (pl) and Raman spectroscopy<br />

(RS). the obtained results and their correlation with<br />

the pV properties of the cells prepared from the same<br />

material showed that pl is applicable for in-line characterization<br />

of the material before the electrical<br />

contact fabrication processes. the results obtained<br />

using RS gave useful information on crystallization<br />

grade of the material during the fabrication process.<br />

(32) determination of the Origin of dislocation<br />

Related Luminescence from Silicon Using<br />

Regular dislocation Networks<br />

t. Mchedlidze, o. Kononchuk, t. Arguirov,<br />

M. trushin, M. Reiche, M. Kittler<br />

Solid State phenomena 156-158, 567 (<strong>2010</strong>)<br />

the investigation of regular dislocation networks<br />

(Dn) formed by direct wafer bonding suggests that<br />

the D1 and D2 peaks of dislocation-related luminescence<br />

(DRl) in silicon is linked to screw dislocations,<br />

whereas edge dislocations are responsible for D3 and<br />

D4 DRl peaks. non-radiative recombination activity<br />

in Dn could be attributed to edge dislocations and<br />

could be related to enhanced ability of these dislocations<br />

to getter impurity atoms. obtained relation of<br />

DRl intensity with the density of screw dislocations<br />

suggests existence of the optimum twist angle for<br />

the wafer-bonding geometry for which the DRl intensity<br />

has a maximum. the dependence of DRl intensity<br />

on the spacing between screw dislocations has<br />

the maximum at about 7 nm. <strong>Report</strong>ed radiative and<br />

non-radiative recombination properties of Dn present<br />

substantial interest not only for possible leD applications<br />

in all-Si photonics but also for photovoltaics,<br />

since Dns represent a model system for grain boundaries<br />

controlling carrier lifetime in microcrystalline-Si<br />

material.<br />

(33) Light-Induced Solid-Phase Crystallization<br />

of Si Nanolayers in Si / SiO 2 Multiple<br />

Quantum wells<br />

t. Mchedlidze, t. Arguirov,<br />

S. Kouteva-Arguirova, M. Kittler<br />

Journal of Applied physics 107, 124302<br />

(<strong>2010</strong>)<br />

the process of light-induced crystallization (lIC) of<br />

nanometer-thick amorphous silicon (a-Si) layers in<br />

Si / Sio 2 multiquantum wells (MQW) was investigated<br />

using Raman spectroscopy. In the present investigations,<br />

a laser was employed as the light source. An<br />

analysis of obtained and previously published results<br />

suggests strong influence of radiation wavelength<br />

on the outcome of the process. namely, for certain<br />

ranges of wavelengths and radiation fluxes the crystallization<br />

proceeds through the light-induced solid<br />

phase crystallization (lISpC) process. An optimal set<br />

of radiation wavelength and flux values allows formation<br />

of fully crystallized and almost strain-free layers<br />

of nanocrystalline silicon (Si-nc). the difference in<br />

the absorption coefficients between a-Si and Si-nc<br />

was considered responsible for the obtained results.<br />

A mechanism explaining the wavelength and the radiation<br />

flux dependence was proposed. understanding<br />

of the mechanism of lISpC in MQW structures would<br />

allow improving the lIC processes for thin silicon<br />

films.<br />

A n n u A l R e p o R t 2 0 0<br />

99


00 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(34) Model for the Resistive Switching Effect in<br />

HfO 2 MIM Structures Based on the<br />

Transmission Properties of Narrow<br />

e. Miranda, Ch. Walczyk, Ch. Wenger,<br />

t. Schroeder<br />

Ieee electron Device letters 31(6), 609 (<strong>2010</strong>)<br />

A physics-based analytical model for the current–voltage<br />

(I–V) characteristics corresponding to the low<br />

and high resistive states in electroformed metal–insulator–metal<br />

structures with Hfo 2 layers is proposed.<br />

the model relies on the landauer theory for the electron<br />

transport in mesoscopic systems. the switching<br />

phenomenon is ascribed to the modulation of the<br />

constriction’s bottleneck cross-sectional area associated<br />

with atomic rearrangements within the confinement<br />

path. the extracted parameter values allow one<br />

to conclude that the length and radius of the region<br />

that controls the conduction characteristics are in<br />

the nanometer range.<br />

(35) Heavy B Atomic-Layer doping in Si<br />

Epitaxial Growth on Si(100) Using<br />

Electron-Cyclotron-Resonance Plasma CVd<br />

t. nosaka, M. Sakuraba, B. tillack, J. Murota<br />

thin Solid Films 518, S140 (<strong>2010</strong>)<br />

Heavy B atomic-layer doping in Si epitaxial growth<br />

on Si(100) by electron-cyclotron-resonance (eCR) Ar<br />

plasma enhanced chemical vapor deposition (CVD) has<br />

been investigated. By B atomic-layer formation and<br />

subsequent Si epitaxial growth on Si(100) without<br />

substrate heating, atomic-layer doping is achieved.<br />

Most of the incorporated B atom amount of about<br />

7 × 10 14 cm -2 in the B atomic-layer doped Si film is confined<br />

within about 2 nm-thick region. For Si cap layer<br />

deposition under lower energy plasma condition, the<br />

incorporated B atom amount is scarcely changed. on<br />

the other hand, in higher energy plasma irradiation<br />

condition, it is found that B atoms on Si(100) desorb<br />

due to Ar+ ion irradiation. these results demonstrate<br />

that lower energy plasma conditions are effective to<br />

perform heavy B atomic-layer doping.<br />

(36) Self-Adapting Event Configuration in<br />

Ubiquitous wireless Sensor Networks<br />

St. ortmann, M. Maaser, p. langendörfer<br />

International Journal of Adaptive, Resilient<br />

and Autonomic Systems 1(2), 46 (<strong>2010</strong>)<br />

Wireless Sensor networks are the key-enabler for low<br />

cost ubiquitous applications in the area of homeland<br />

security, health-care, and environmental monitoring.<br />

A necessary prerequisite is reliable and efficient event<br />

detection in spite of sudden failures and environmental<br />

changes. Due to the fact that the sensors need to<br />

be low cost, they have only scarce resources leading<br />

to a certain level of failures of sensor nodes or sensing<br />

devices attached to the nodes. Available fault<br />

tolerant solutions are mainly customized approaches<br />

that revealed several shortcomings, particularly in<br />

adaptability and energy efficiency. the authors present<br />

a complete event detection concept including<br />

all necessary steps from formal event definition to<br />

autonomous device configuration. It features an<br />

event definition language that allows defining complex<br />

events as well as enhance the reliability by tailor-made<br />

voting schemes and application constraints.<br />

Based on that, this paper introduces a novel approach<br />

for self-adapting on-node and in-network processing,<br />

called event Decision tree (eDt). eDt autonomously<br />

adapts to available resources and environmental<br />

conditions, even though it requires to (re-)organize<br />

collaboration between neighboring nodes for evaluation.<br />

the authors’ approach achieves fine-grained<br />

event-related fault tolerance with configurable adaptation<br />

rate while enhancing maintainability and<br />

energy efficiency.<br />

(37) An Integrated 0.6-4.6 GHz, 10-14 GHz, and<br />

20-28 GHz Frequency Synthesizer for<br />

Software-defined Radio Applications<br />

S.A. osmany, F. Herzel, J.C. Scheytt<br />

Ieee Journal of Solid State Circuits 45(9),<br />

1657 (<strong>2010</strong>)<br />

We present an integrated frequency synthesizer which<br />

is able to provide in-phase / quadrature phase signal<br />

over the frequency bands 0.6-4.6 GHz, 5-7 GHz, 10-14<br />

GHz, and in-phase signal over 20-28 GHz for software-


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

defined radio applications. An integrated voltagecontrolled<br />

oscillator (VCo) with 34% tuning range<br />

and a set of high-speed dividers are used to accomplish<br />

all the frequencies. to achieve a wide tuning<br />

range while keeping a low gain and a low phase noise,<br />

the VCo employs digitally controlled sub-bands.<br />

the measured pll phase noise is - 108 dBc / Hz, -121<br />

dBc / Hz, and -135 dBc / Hz at 1 MHz offset for 24 GHz,<br />

4 GHz, and 700 MHz, respectively. Fabricated in a 0.25<br />

im SiGe BiCMoS process, the synthesizer occupies a<br />

chip area of 4.8 mm 2 . the synthesizer was optimized<br />

for reconfigurable base station applications, but can<br />

also be used for cognitive radio, radar systems, satellite<br />

communication, and high-speed digital clock generation.<br />

(38) delineation of Microdefects in Silicon<br />

Substrates by Chromium-free Preferential<br />

Etching Solutions and Laser Scattering<br />

Tomography (LST): A Comparison of Etching<br />

Recipes and LST<br />

M. pellowska, D. possner, D. Kot, G. Kissinger,<br />

A. Huber, B.o. Kolbesen<br />

Solid State phenomena 156-158, 443 (<strong>2010</strong>)<br />

Chromium-free preferential etching techniques in<br />

combination with light optical microscopy were compared<br />

with the non-destructive laser Scattering tomography<br />

(lSt) for the evaluation of crystal defect<br />

densities in Czochralski substrates grown under different<br />

conditions. Dichromate containing etching solutions<br />

(original Secco etch and dilute Secco etch) were<br />

included into the study as reference. the chromiumfree<br />

etching solutions with high etch rates comprised<br />

mixtures of nitric, hydrofluoric and acetic acid with<br />

water (JeItA 1, MeMC). those with low etch rates consisted<br />

of mixtures of nitric and acetic or propanoic<br />

acid with hydrogen peroxide which form peracetic or<br />

perpropanoic acid (organic peracid etches). ope solutions<br />

provide improved discrimination of different<br />

types of defects and work also on highly doped substrates.<br />

As a general result, it turned out that the defect<br />

densities determined by the preferential etching<br />

solutions applied were significantly higher than those<br />

evaluated by lSt. Relatively close to the lSt defect<br />

densities are those determined by original Secco etch<br />

for larger etch pits.<br />

(39) Adaptable Security in wireless Sensor<br />

Networks by Using Reconfigurable ECC<br />

Hardware Coprocessors<br />

J. portilla, A.o. Marnotes, e.de la torre,<br />

t. Riesgo, o. Stecklina, St. peter, p. langendörfer<br />

International Journal of Distributed Sensor<br />

networks (<strong>2010</strong>)<br />

Specific features of Wireless Sensor networks (WSns)<br />

like the open accessibility to nodes, or the easy observability<br />

of radio communications, lead to severe<br />

security challenges. the application of traditional<br />

security schemes on sensor nodes is limited due to<br />

the restricted computation capability, low-power<br />

availability, and the inherent low data rate. In order<br />

to avoid dependencies on a compromised level of security,<br />

a WSn node with a microcontroller and a Field<br />

programmable Gate Array (FpGA) is used along this<br />

work to implement a state-of-the art solution based<br />

on eCC (elliptic Curve Cryptography). In this paper it<br />

is described how the reconfiguration possibilities of<br />

the system can be used to adapt eCC parameters in order<br />

to increase or reduce the security level depending<br />

on the application scenario or the energy budget. two<br />

setups have been created to compare the software-<br />

and hardware-supported approaches. According to the<br />

results, the FpGA-based eCC implementation requires<br />

three orders of magnitude less energy, compared with<br />

a low power microcontroller implementation, even<br />

considering the power consumption overhead introduced<br />

by the hardware reconfiguration.<br />

(40) Lightweight Cryptography and RFId:<br />

Tackling the Hidden Overheads<br />

A. poschmann, M. Robshaw, F. Vater, C. paar<br />

KSII transactions on Internet and Information<br />

Systems 4(2), 98 (<strong>2010</strong>)<br />

the field of lightweight cryptography has developed<br />

significantly over recent years and many impressive<br />

implementation results have been published. However<br />

these results are often concerned with a core<br />

computation and when it comes to a real implementation<br />

there can be significant hidden overheads.<br />

In this paper we consider the case of cryptoGpS and<br />

we outline a full implementation that has been fa-<br />

A n n u A l R e p o R t 2 0 0<br />

0


02 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

bricated in ASIC. Interestingly, the implementation<br />

requirements still remain within the typically-cited<br />

limits for on-the-tag cryptography.<br />

(41) dislocation-Based Si-Nanodevices<br />

M. Reiche, M. Kittler D. Buca, A. Hähnel,<br />

Qing-tai Zhao, S. Mantl, u. Gösele<br />

Japanese Journal of Applied physics pt. 1 49,<br />

04DJ02 (<strong>2010</strong>)<br />

the realization of defined dislocation networks by<br />

hydrophobic wafer bonding allows the electrical characterization<br />

of individual dislocations. the present<br />

paper investigates the properties of such dislocations<br />

in samples containing high dislocations densities<br />

down to only six dislocations. the current induced by<br />

a single dislocation is determined by extrapolation<br />

of the current measured for various dislocation densities.<br />

Based on our present and previously reported<br />

analyses the electronic properties of individual dislocations<br />

can be inferred. the investigations show that<br />

dislocations in the channel of metal–oxide–semiconductor<br />

field-effect transistors (MoSFets) result in<br />

increasing drain currents even at low drain and gate<br />

voltages. Because a maximum increase of the current<br />

is obtained if a single dislocation is present in the<br />

channel, arrays of MoSFets each containing only one<br />

dislocation could be realized on the nanometer scale.<br />

the distance of the dislocations can be well controlled<br />

by wafer bonding techniques.<br />

(42) Properties of Interfacial dislocations in<br />

Hydrophobic Bonded Si-wafers<br />

M. Reiche, M. Kittler, A. Haehnel, t. Arguirov,<br />

t. Mchedlidze<br />

eCS transactions 33(4), 441 (<strong>2010</strong>)<br />

the realization of defined dislocation networks by<br />

hydrophobic wafer bonding allows the characterization<br />

of electrical and optical properties of dislocations.<br />

the present paper investigates the electrical<br />

properties in samples containing only a few (up to 6)<br />

dislocations. By taking results of other analysis into<br />

account the electronic properties of individual dislocations<br />

can be described. the dislocation-induced<br />

luminescence between 1.3 µm and 1.5 µm was also<br />

analyzed using different types of light-emitting diodes<br />

(leD). It was shown that the emission depends<br />

on the structure of the dislocation network.<br />

(43) Hot wire Chemical Vapor deposition of<br />

Ge 2 Sb 2 Te 5 Thin Films<br />

D. Reso, M. Silinskas, B. Kalkofen, M. lisker,<br />

e.p. Burte<br />

eCS transactions 28(15), 35 (<strong>2010</strong>)<br />

Germanium-antimony-telluride or, particularly, Ge 2 Sb 2 te 5<br />

(GSt) thin films were deposited by hot-wire (HW) chemical<br />

vapor deposition (CVD). tetraallylgermanium<br />

(tAGe), triisopropylantimony (tIpSb), and diisopropyltelluride<br />

(DIpte) were used as precursors for germanium,<br />

antimony, and tellurium, respectively. the influence<br />

of deposition parameters such as a temperature,<br />

pressure, and hydrogen content was investigated. It<br />

was found that higher temperature, higher pressure,<br />

and lower hydrogen flow yielded higher growth rates<br />

of the films. An admixture of hydrogen reduced the<br />

te concentration in the GSt thin films and enhanced<br />

the content of Ge and Sb. the chemical composition<br />

could also be shifted by other deposition parameters<br />

but these dependences were not as well determined<br />

as in the hydrogen case. Generally, higher germanium<br />

concentration was related to smaller amount of<br />

tellurium. the films deposited at higher pressure<br />

showed significantly higher roughness. Additionally,<br />

the switching from low resistivity to high resistivity<br />

state was tested.<br />

(44) A 0.13 µm SiGe BiCMOS Technology<br />

Featuring f T / f max of 240/330 GHz and<br />

Gate delays below 3ps<br />

H. Rücker, B. Heinemann, W. Winkler,<br />

R. Barth, J. Borngräber, J. Drews, G.G. Fischer,<br />

A. Fox, t. Grabolla, u. Haak, D. Knoll,<br />

F. Korndörfer, A. Mai, S. Marschmeyer,<br />

p. Schley, D. Schmidt, J. Schmidt, K. Schulz,<br />

B. tillack, D. Wolansky, Y. Yamamoto<br />

Ieee Journal of Solid State Circuits 45(9),<br />

1678 (<strong>2010</strong>)<br />

A 0.13 µm SiGe BiCMoS technology for millimeterwave<br />

applications is presented. this technology fea-


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

tures high-speed HBts with peak transit frequencies<br />

f t of 240 GHz, maximum oscillation frequencies f max of<br />

330 GHz, and breakdown voltages BV Ceo of 1.7 V along<br />

with high-voltage HBts (f t = 50 GHz, f max = 130 GHz,<br />

BV Ceo = 3.7 V) integrated in a dual gate oxide RF-CMoS<br />

process. Ring oscillator gate delays of 2.9 ps, lownoise<br />

amplifiers for 122 GHz, and lC oscillators with<br />

fundamental-mode oscillation frequencies above 200<br />

GHz are demonstrated.<br />

(45) A Subharmonic Receiver in SiGe Technology<br />

for 122 GHz Sensor Applications<br />

K. Schmalz, W. Winkler, J. Borngräber,<br />

W. Debski, B. Heinemann, J.C. Scheytt<br />

Ieee Journal of Solid State Circuits 45(9),<br />

1644 (<strong>2010</strong>)<br />

the iterative design of an integrated subharmonic<br />

receiver for 120-127 GHz is presented. the receiver<br />

consists of a single-ended low-noise amplifier (lnA),<br />

a push-push voltage-controlled oscillator (VCo) with<br />

1 / 32 divider, a polyphase filter, and a subharmonic<br />

mixer. the receiver is fabricated in SiGe:C BiCMoS<br />

technology with f t / f max of 255 GHz / 315 GHz. In the<br />

first design the differential down-conversion gain of<br />

the receiver is 25 dB at 127 GHz, and the corresponding<br />

noise figure (nF) is 11 dB. the 3 dB bandwidth<br />

reaches from 125 GHz to 129 GHz. the input 1 dB<br />

compression point is at - 40 dBm. the receiver draws<br />

139 mA from a supply voltage of 3.3 V. A subsequent<br />

design demonstrates 31 dB differential gain at 122<br />

GHz, and 11 dB nF. the 3 dB bandwidth is from 121<br />

GHz to 124 GHz. the receiver has a nF of 8 dB for 3<br />

GHz IF frequency due to integrated RF bandpass-filtering.<br />

It is realized by the lower nF of the lnA, and<br />

the lnA itself.<br />

(46) Integration of Strained and Relaxed Silicon<br />

Thin Films on Silicon wafers via Engineered<br />

Oxide Heterostructures: Experiment and<br />

Theory<br />

o. Seifarth, B. Dietrich, p. Zaumseil,<br />

A. Giussani, p. Storck, t. Schroeder<br />

Journal of Applied physics 108, 073526<br />

(<strong>2010</strong>)<br />

Strained and relaxed single crystalline Si on insulator<br />

systems is an important materials science approach<br />

for future Si-based nanoelectronics. layer transfer<br />

techniques are the dominating global integration approach<br />

over the whole wafer system but are difficult<br />

to scale down for local integration purposes limited<br />

to the area of the future device. In this respect, the<br />

heteroepitaxy approach by two simple subsequent<br />

epitaxial deposition steps of the oxide and the Si<br />

thin film is a promising way. We introduce tailored<br />

(pr 2 o 3 ) 1-x (Y 2 o 3 )x oxide heterostructures on Si(111)<br />

as flexible heteroepitaxy concept for the integration<br />

of either strained or fully relaxed single crystalline Si<br />

thin films. two different buffer concepts are explored<br />

by a combined experimental and theoretical study.<br />

First, the growth of fully relaxed single crystalline Si<br />

films is achieved by the growth of mixed prYo 3 insulators<br />

on Si(111) whose lattice constant is matched<br />

to Si. Second, isomorphic oxide-on-oxide epitaxy is<br />

exploited to grow strained Si films on lattice mismatched<br />

Y2o 3 / pr 2 o 3 /Si(111) support systems. A thickness<br />

dependent multilayer model, based on Matthew’s<br />

approach for strain relaxation by misfit dislocations,<br />

is presented to describe the experimental data.<br />

(47) Single Crystalline Pr 2-x y x O 3 dielectrics on<br />

Si with Tailored Electronic and<br />

Crystallographic Structure<br />

o. Seifarth, M.A. Schubert, A. Giussani,<br />

D.o. Klenov, D. Schmeißer, t. Schroeder<br />

Journal of Applied physics 108, 103709<br />

(<strong>2010</strong>)<br />

Crystalline oxides on Si with tailored electronic and<br />

crystallographic properties are of importance for the<br />

integration of functional oxides or alternative semiconductors<br />

to enable novel device concepts in Si<br />

microelectronics. We present an electronic band gap<br />

study of single crystalline pr 2-x Y x o 3 (0 ≤ x ≤ 2) heterostructures<br />

on Si(111). the perfect solubility of the<br />

isomorphic bixbyites pr 2 o 3 and Y 2 o 3 during molecular<br />

beam epitaxy thin film growth on Si enables a linear<br />

band gap tuning. Special focus is devoted to the<br />

determination of the electronic band offsets across<br />

the dielectric / Si interface. In addition, the composition<br />

x allows to control the crystallographic lattice<br />

A n n u A l R e p o R t 2 0 0<br />

0


0 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

parameter where, for example, pr 0.8 Y 1.2 o 3 enables the<br />

growth of fully lattice matched oxide heterostructures<br />

on Si.<br />

(48) Improving the dielectric Constant of Al 2 O 3<br />

by Cerium for High-k MIM Applications<br />

R. Sohal, G. lupina, p. Zaumseil, Ch. Walczyk,<br />

t. Schroeder<br />

Surface Science 604, 276 (<strong>2010</strong>)<br />

process compatible high-k dielectric thin films are<br />

one of the key solutions to develop high performance<br />

metal–insulator–metal (MIM) structures for future<br />

microelectronic devices. engineered cerium–aluminate<br />

(Ce x Al 2–x o 3 ) thin films were deposited on titanium<br />

nitride metal electrodes by electron-beam coevaporation<br />

of ceria and alumina in a molecular beam<br />

deposition chamber. X-ray photoelectron spectroscopy<br />

clearly reveals that Ce cations can be stabilized<br />

in the 3+ valence state in Ce x Al 2–x o 3 up to x = 0.7 by<br />

accommodation in the alumina host matrix. Higher<br />

Ce content was observed to result in cerium dioxide<br />

segregation in cerium aluminate matrix, probably due<br />

to the chemical tendency of Ce cations to exist rather<br />

in the 4+ than in the 3+ state. electrical characterization<br />

of the X-ray amorphous Ce 0.7 Al 1.3 o 3 films reveals<br />

a dielectric constant value of about 11 and leakage<br />

current lower than 10 -4 A / cm 2 . no parasitic low-k interface<br />

formation between the high-k Ce 0.7 Al 1.3 o 3 film<br />

and the tin metal electrode is detected.<br />

(49) The European BOOM Project: Silicon<br />

Photonics for High Capacity Optical Packet<br />

Routers<br />

l. Stampoulidis, K. Vyrsokinos, K. Voigt,<br />

l. Zimmermann, F. Gomez-Agis, H. Dorren,<br />

Z. Sheng, D. Van thourhout, l. Moerl,<br />

J. Kreissl, B. Sedighi, A. pagano, e. Riccardi<br />

Ieee Journal of Selected topics in Quantum<br />

electronics 16(5), 1422 (<strong>2010</strong>)<br />

During the past years, monolithic integration in Inp<br />

has been the driving force for the realization of integrated<br />

photonic routing systems. the advent of<br />

silicon as a basis for costeffective integration and<br />

its potential blend with III–V material is now ope-<br />

ning exciting opportunities for the development of<br />

new, high-performance switching and routing equipment.<br />

Following thisrationale, BooM - as a european<br />

research initiative - aims to develop compact,<br />

cost-effective, and power-efficient silicon photonic<br />

components to enable optical tb / s routers for current<br />

and new generation broadband core networks.<br />

this “siliconization” of photonic routers is expected<br />

to enable ultrahigh bit rates as well as higher levels<br />

of integration and power efficiency. the BooM “device<br />

portfolio” includes all-optical wavelength converters,<br />

ultradense wave-division multiplexing (uD-<br />

WDM) photodetectors, and high-speed transmitters;<br />

all based on silicon waveguide substrates. Here, we<br />

present the device concepts, the fabrication of photonic<br />

buildingblocks and the experiments carried out<br />

as the initial steps toward the realization of the first<br />

high-capacity silicon photonic router.<br />

(50) Single Crystalline Sc 2 O 3 / y 2 O 3<br />

Heterostructure as a Novel Engineered<br />

Buffer Approach for GaN Integration on<br />

Si(111)<br />

l. tarnawska, A. Giussani, p. Zaumseil,<br />

M.A. Schubert, R. paszkiewicz, p. Storck,<br />

t. Schroeder<br />

Journal of Applied physics 108, 063502<br />

(<strong>2010</strong>)<br />

the preparation of Gan virtual substrates on Si wafers<br />

via buffer layers is intensively pursued for high power<br />

/ high frequency electronics as well as optoelectronics<br />

applications. Here, Gan is integrated on the Si<br />

platform by a novel engineered bilayer oxide buffer,<br />

namely, Sc 2 o 3 / Y 2 o 3 , which gradually reduces the lattice<br />

misfit of ~-17 % between Gan and Si. Single crystalline<br />

Gan(0001) / Sc 2 o 3 (111) / Y 2 o 3 (111) / Si(111)<br />

heterostructures were prepared by molecular beam<br />

epitaxy and characterized ex situ by various techniques.<br />

laboratory-based x-ray diffraction shows<br />

that the epitaxial Sc 2 o 3 grows fully relaxed on the<br />

Y 2 o 3 / Si(111) support, creating a high quality template<br />

for subsequent Gan overgrowth. the high structural<br />

quality of the Sc 2 o 3 film is demonstrated by<br />

the fact that the concentration of extended planar<br />

defects in the preferred 111 slip planes is below the


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

detection limit of synchrotron based diffuse x-ray<br />

scattering studies. transmission electron microscopy<br />

(teM) analysis reveal that the full relaxation of the<br />

-7 % lattice misfit between the isomorphic oxides is<br />

achieved by a network of misfit dislocations at the<br />

Sc 2 o 3 / Y 2 o 3 interface. X-ray reflectivity and teM prove<br />

that closed epitaxial Gan layers as thin as 30 nm can<br />

be grown on these templates. Finally, the Gan thin<br />

film quality is studied using a detailed Williamson–<br />

Hall analysis.<br />

(51) Atomic Control of doping during Si Based<br />

Epitaxial Layer Growth Processes<br />

B. tillack, Y. Yamamoto, J. Murota<br />

eCS transactions 33(6), 603 (<strong>2010</strong>)<br />

Atomic-level processing based on surface reaction<br />

control is used for B and p doping during Si, SiGe or<br />

Ge epitaxy. the concept of atomic layer processing is<br />

base on the separation of adsorption of the dopant<br />

gases from the layer growth. By this way the doping<br />

process is controlled by surface adsorption / desorption<br />

of dopant gas mainly. For B atomic layer doping of<br />

SiGe and pure Ge using B2H6, high doping levels and<br />

steep doping profiles have been reached. the process<br />

was found to be self-limited at ~100 °C indicating<br />

preferred adsorption of B 2 H 6 on Si and Ge sites and<br />

suppression of B cluster formation. For p atomic layer<br />

doping on Si and SiGe self-limitation of the process<br />

has been observed for temperatures between 200-600<br />

°C allowing very precise dopant dose and location<br />

control.<br />

(52) Electronic States of Oxygen-free<br />

dislocation Networks Produced by direct<br />

Bonding of Silicon wafers<br />

M. trushin, o. Vyvenko, t. Mchedlidze,<br />

o. Kononchuk, M. Kittler<br />

Solid State phenomena 156-158, 283 (<strong>2010</strong>)<br />

the results of experimental investigations of the<br />

dislocation-related DltS-peaks originated from the<br />

dislocation networks (Dn) are presented. Samples<br />

with Dns were produced by direct bonding of p-type<br />

silicon wafers and no enhancement of oxygen concentration<br />

was detected near the Dn plane. origins of<br />

the DltS peaks were proposed and a correlation with<br />

the dislocation-related photoluminescence data was<br />

established based on known dislocation structure of<br />

the samples. two types of shallow DltS peaks exhibited<br />

pool-Frenkel effect, which could be linked to the<br />

dislocation deformation potential. one of the shallow<br />

DltS peaks was related to straight parts of screw<br />

dislocations and another - to the intersections of the<br />

dislocations.<br />

(53) XBIC / µ-XRF / µ-XAS Analysis of Metals<br />

Precipitation in Block-Cast Solar Silicon<br />

M. trushin, W. Seifert, o. Vyvenko, J. Bauer,<br />

G. Martinez-Criado, M. Salome, M. Kittler<br />

nuclear Instruments and Methods in physics<br />

Research B 268(3-4), 254 (<strong>2010</strong>)<br />

the results of the investigations of the interaction<br />

between the different impurities in intentionally<br />

contaminated block-cast multi-crystalline silicon<br />

by means of synchrotron-based microprobe techniques<br />

XBIC (X-ray beam induced current), µ-XRF<br />

(X-ray fluorescence microscopy) and µ-XAS (X-ray<br />

absorption microspectroscopy) recently implemented<br />

at beamlines ID-21 and ID-22 of eSRF, Grenoble,<br />

are presented. It was found that Si 3 n 4 / SiC particles<br />

frequently observed in the upper part of multi-crystalline<br />

Si blocks represent effective sinks for Fe and<br />

Cu impurities. the amount of precipitated iron was<br />

the same order magnitude both at nitride and carbide<br />

particles. the amount of Cu precipitated at the SiC<br />

inclusions was significantly larger than that at Si 3 n 4<br />

rods. Chemical state of the copper precipitates was<br />

identified as copper-rich silicide Cu 3 Si. the anneal at<br />

950 °C that is known to enhance oxygen precipitation<br />

in silicon was found to accompany with the enhanced<br />

formation of nanoscale iron disilicide precipitates<br />

both inside the grains and at grain boundaries.<br />

A n n u A l R e p o R t 2 0 0<br />

0


06 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(54) Scanning X-Ray Excited Optical<br />

Luminescence Microscopy of<br />

Multicrystalline Silicon<br />

o. Vyvenko, t. Arguirov, W. Seifert, I. Zizak,<br />

M. trushin, M. Kittler<br />

physica Status Solidi A 207, 1940 (<strong>2010</strong>)<br />

In X-ray beam induced current (XBIC) in combination<br />

with X-ray fluorescence (XRF) and X-ray absorption<br />

(XAS) microscopy techniques is now widely used as a<br />

powerful tool for the investigation of transition metal<br />

(tM) behavior in Si. the aim of this work was to<br />

replace XBIC with a new recombination-sensitive, but<br />

contactless technique, that is, scanning X-ray beam<br />

excited optical luminescence microscopy (µ-SXeol).<br />

We report for the first time the successful realization<br />

of µ-SXeol to register the spatial distribution of<br />

band-band luminescence in silicon in a reasonable<br />

time of 1 s / pixel with X-ray flux of 10 11 / s. Results of<br />

simultaneous µ-SXeol / XBIC / µ-XRF measurements<br />

on multi-crystalline silicon are presented. A correlation<br />

between XBIC and µ-SXeol maps of the same sample<br />

as well as significant differences are found. the<br />

magnitude of µ-SXeol signal is shown to anti-correlate<br />

with the averaged amount of copper detected by<br />

µ-XRF.<br />

(55) Functionalized Back-End devices for<br />

(Bi)CMOS Circuits<br />

Ch. Wenger, Ch. Walczyk, M. lukosius,<br />

D. Wolansky, p.V. Santos<br />

eCS transactions 33(6), 823 (<strong>2010</strong>)<br />

the integration of various functionality to (Bi)CMoS<br />

circuits is in the focus of the “More than Moore” approach.<br />

Here, we demonstrate the incorporation of<br />

surface acoustic wave (SAW) devices as well as of<br />

nonvolatile memories (nVM) into the Back end of line<br />

(Beol) of Bi(CMoS) circuits. the added functionalities<br />

open new technological possibilities for high value<br />

microelectronics systems.<br />

(56) B Atomic Layer doping of Ge<br />

Y. Yamamoto, K. Köpke, R. Kurps, J. Murota,<br />

B. tillack<br />

thin Solid Films 518, S44 (<strong>2010</strong>)<br />

B Atomic layer doping (B-AlD) of Ge is investigated<br />

at temperatures between 100 °C and 400 °C using a<br />

single wafer reduced pressure CVD system. Hydrogenterminated<br />

and hydrogen-free Ge (100) surfaces are<br />

exposed to B 2 H 6 at different H 2 partial pressures. B<br />

atoms are adsorbed on hydrogen-free Ge surface by<br />

B 2 H 6 exposure even at 100 °C. Very steep B profiles<br />

(b1 nm / dec.), which is the resolution limit of SIMS,<br />

have been achieved. on the hydrogen-free Ge surface<br />

at 200–400 °C, B adsorption is increasing with<br />

increasing B 2 H 6 exposure time and no saturation behavior<br />

is observed. on the other hand, in the case of<br />

100 °C, B adsorption is increasing with increasing<br />

B 2 H 6 exposure time and tends to saturate, indicating<br />

that B 2 H 6 is adsorbed at Ge surface site mainly. the<br />

level of the saturation is increasing with increasing<br />

B 2 H 6 partial pressure. By decreasing the H 2 partial<br />

pressure, the level of the saturation is increasing and<br />

the saturation becomes less pronounced. For the B 2 H 6<br />

exposure without H 2 , the saturation is not observed.<br />

this result indicates that H 2 has to be taken into consideration<br />

for the adsorption mechanism of B. these<br />

results demonstrate the possibility of dopant dose<br />

and location control at 100 °C.<br />

(57) Phosphorus Atomic Layer doping in<br />

Si Using PH 3<br />

Y. Yamamoto, J. Murota, B. tillack<br />

eCS transactions 33(6), 995 (<strong>2010</strong>)<br />

Atomic layer doping of p (p-AlD) in Si is investigated<br />

using reduced pressure chemical vapor deposition<br />

(RpCVD). For p-AlD, pH 3 exposure on Si (100) surface<br />

followed by Si cap layer deposition using SiH 4 or Si 2 H 6<br />

is performed. p adsorption is suppressed by hydrogentermination<br />

of the Si surface. on the hydrogen-free Si<br />

surface, the p adsorption is increasing with increasing<br />

pH 3 exposure temperature saturating at temperatures<br />

above 600 °C. p adsorption is also increasing with increasing<br />

pH 3 exposure time. It tends to saturate at<br />

long exposure time indicating a self-limitation of the


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

process. By Si deposition using Si 2 H 6 , higher p peak<br />

concentration and higher p doping level in the Si cap<br />

layer is observed compared to those with SiH4 based<br />

Si deposition. For both SiH 4 and Si 2 H 6 based capping<br />

process lower p segregation is observed by lowering<br />

the growth temperature.<br />

(58) High-Speed Monolithic SiGe BiCMOS<br />

Photoreceiver with an Integrated<br />

Avalanche Photodetector<br />

J.-S. Youn, M.-J. lee, K.-Y. park, H. Rücker,<br />

W.-Y. Choi<br />

IeICe electronics express 7(9), 659 (<strong>2010</strong>)<br />

We demonstrate an 850-nm high speed photoreceiver<br />

with a monolithically integrated silicon avalanche<br />

photodetector for optical interconnect applications.<br />

the photoreceiver is fabricated with standard 0.25<br />

µm SiGe bipolar complementary metal-oxide-semiconductor<br />

technology without any process modification.<br />

the photoreceiver achieves 7-Gb / s optical data<br />

transmission with the bit-error rate less than 10 -10 at<br />

-1 dBm incident optical power.<br />

(59) SiGe HBT CML Ring Oscillator with 2.3 ps<br />

Gate delay at Cryogenic Temperatures<br />

J. Yuan, K.A. Moen, J.D. Cressler, H. Rücker,<br />

B. Heinemann, W. Winkler<br />

Ieee transactions on electron Devices 57(5),<br />

1183 (<strong>2010</strong>)<br />

We present a measured current-mode logic ring oscillator<br />

gate delay of 2.3 ps, a record for digital circuits<br />

in silicon-based technologies. this result was achieved<br />

in a silicon– germanium (SiGe) heterojunction bipolar<br />

transistor (HBt) technology operating at 25 K.<br />

In addition to higher cutoff frequency and lower collector-base<br />

capacitance, lower base resistance is also<br />

responsible for the improved switching speed at cryogenic<br />

temperatures. the self-heating characteristics<br />

of these SiGe HBt circuits are also investigated across<br />

temperatures.<br />

(60) Characterization of Semiconductor Films<br />

Epitaxially Grown on Thin Metal Oxide<br />

Buffer Layers<br />

p. Zaumseil, A. Giussani, o. Seifarth,<br />

t. Arguirov, M. A. Schubert, t. Schroeder<br />

Solid State phenomena 156-158, 467 (<strong>2010</strong>)<br />

Silicon and germanium films epitaxially grown on metal<br />

oxide buffer layers on Si(111)substrates are characterized<br />

by different X-ray techniques, transmission<br />

electron microscopy and Raman spectroscopy. pr 2 o 3<br />

and Y 2 o 3 or a combination of both is used as buffer<br />

material. X-ray pole figure measurements and grazing<br />

incident X-ray diffraction prove that epi-semiconductor<br />

layers can be grown single crystalline with exactly<br />

the same in-plane orientation as the Si(111) substrate.<br />

epi-Ge layers show a small fraction (less than<br />

0.5 vol. %) of so-called type B rotation twin regions<br />

located near the oxide-Ge interface. the main structural<br />

defects for both epi materials are micro twin lamellas<br />

lying in 111 planes 70° inclined to the wafer<br />

surface that may reach through the whole layer from<br />

the oxide interface to the surface. Furthermore, teM<br />

confirms the existence of stacking faults and threading<br />

dislocations. X-ray grazing incident diffraction<br />

and Raman measurements show that epi-Ge layers on<br />

pr 2 o 3 buffer are nearly fully relaxed, while epi-Si layers<br />

on Y 2 o 3 / pr 2 o 3 double buffer are compressive strained<br />

depending on their own thickness and the thickness<br />

of the underlying Y 2 o 3 layer. It is demonstrated that<br />

the epi-layer quality can be improved by post-deposition<br />

annealing procedures.<br />

(61) Laboratory-based Characterization of<br />

Heteroepitaxial Structures: Advanced<br />

Experiments not needing Synchrotron<br />

Radiation<br />

p. Zaumseil<br />

powder Diffraction Journal 25(2), 92 (<strong>2010</strong>)<br />

It is demonstrated that a complex X-ray characterization<br />

of semiconductor films epitaxially grown on<br />

metal oxide buffer layers and Si(111) substrates is<br />

possible using laboratory-based equipment. this is<br />

demonstrated with epi-germanium on pr 2 o 3 as buffer<br />

material. pole figure measurements prove that epi-Ge<br />

A n n u A l R e p o R t 2 0 0<br />

07


08 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

layers are nearly single crystalline with exactly the<br />

same in-plane orientation (type A) as the Si(111)<br />

substrate, while the lattice of the oxide layer is 180°<br />

rotated around the [111] surface normal (type B).<br />

only a small fraction (less than 0.6 vol %) of the epi-<br />

Ge exhibits type B rotation twins. the main structural<br />

defects are microtwin lamellas lying in 111 planes<br />

70.5° inclined to the wafer surface. the different inplane<br />

orientation of the Si substrate and epi-Ge on<br />

one side and the pr 2 o 3 buffer layer on the other side<br />

allows a very sensitive analysis of strain and defects<br />

even for a 10-nm oxide layer buried under a 100-nm<br />

Ge. the epi-Ge layers are nearly fully relaxed and the<br />

pr 2 o 3 buffer layer is compressively strained. Due to<br />

the existing defects the Ge (111) planes are tilted in<br />

a characteristic pattern relative to the Si substrate.<br />

(62) X-Ray Characterization of Epi-Ge<br />

Pr 2 O 3 / Si(111) Films<br />

p. Zaumseil, A. Giussani, p. Storck,<br />

t. Schroeder<br />

europhysics news 41(1), 12 (<strong>2010</strong>)<br />

(63) Chemical Vapor deposition and<br />

Characterization of High-k BaHf 1-x Ti x O 3<br />

dielectric Layers for Microelectronic<br />

Applications<br />

A. Abrutis, t. Dapkus, S. Stanionyte,<br />

V. Kubilius, G. lupina, Ch. Wenger, M. lukosius<br />

proc. 16 th Workshop on Dielectrics in <strong>Microelectronics</strong><br />

(WoDIM <strong>2010</strong>), 87 (<strong>2010</strong>)<br />

(64) Eine neue Fehlertoleranzmethode zur<br />

Verringerung des Flächenaufwandes von<br />

TMR-Systemen<br />

M. Augustin, M. Gössel, R. Kraemer<br />

Zuverlässigkeit und entwurf, 4. GMM / GI / ItG-<br />

Fachtagung, (GMM-Fachbericht ; 66), 89<br />

(<strong>2010</strong>)<br />

(65) Reducing the Area Overhead of<br />

TMR-Systems by Protecting Specific Signals<br />

M. Augustin, M. Gössel, R. Kraemer<br />

proc. Ieee International on-line test Symposium<br />

<strong>2010</strong> (IoltS <strong>2010</strong>), (<strong>2010</strong>)<br />

(66) Speed / Power Performance of d-type<br />

Flip-Flops in a 0.13 µm SiGe:C HBT<br />

Technology demonstrated by a 86 GHz<br />

Static Frequency divider<br />

A. Awny, A. thiede, J. Borngräber,<br />

M. elkhouly, J.C. Scheytt<br />

proc. 5 th German Microwave Conference<br />

(GeMiC <strong>2010</strong>), 24 (<strong>2010</strong>)<br />

(67) design and Characterization of a V-Band<br />

Quadrature VCO Based on a<br />

Common-Collector SiGe Colpitts VCO<br />

A. Barghouti, A. Krause, C. Carta, F. ellinger,<br />

J.C. Scheytt<br />

proc. Ieee Compound Semiconductor IC<br />

Symposium (CSICS <strong>2010</strong>), (<strong>2010</strong>)<br />

(68) deep-UV KrF Lithography for the<br />

Fabrication of Bragg Gratings on SOI Rib<br />

waveguids<br />

J. Bauer, D. Stolarek, l. Zimmermann,<br />

I. Giuntoni, u. Haak, H.H. Richter,<br />

St. Marschmeyer, A. Gajda, J. Bruns,<br />

K. petermann, B. tillack<br />

proc. of the 26 th european Mask and lithography<br />

Conference (eMlC <strong>2010</strong>), Grenoble, SpIe,<br />

7545, (<strong>2010</strong>)<br />

(69) The Synchronization Challenge<br />

D. Bertozzi, A. Strano, D. ludovici, V. pavlidis,<br />

F. Angiolini, M. Krstic<br />

Designing network-on-Chip Architectures for<br />

the nanoscale era / ed. by J. Flich, D. Bertozzi,<br />

CRC press, (<strong>2010</strong>)<br />

(70) Modular Planar waveguide Technologies for<br />

Grating Fabrication in Silicon-on-Insulator<br />

(SOI)<br />

J. Bruns, l. Zimmermann, I. Giuntoni,<br />

B. Wohlfeil, D. Stolarek, J. Bauer, B. tillack,<br />

K. petermann<br />

proc. 6 th Joint Symposium on opto- & Microelectronic<br />

Devices and Circuits (SoDC <strong>2010</strong>),<br />

(<strong>2010</strong>)


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(71) ILA: Idle Listening Avoidance in Scheduled<br />

wireless Sensor Networks<br />

M. Brzozowski, H. Salomon, p. langendörfer<br />

proc. 8 th International Conference on<br />

Wired / Wireless Internet Communications,<br />

(WWIC <strong>2010</strong>), Berlin, Springer, LNCS 6074,<br />

363 (<strong>2010</strong>)<br />

(72) Limiting End-to-End delays in Long-Lasting<br />

Sensor Networks<br />

M. Brzozowski, H. Salomon, p. langendörfer<br />

proc. 8 th ACM International Symposium on<br />

Mobility Management and Wireless Access<br />

(MobiWac <strong>2010</strong>), 11 (<strong>2010</strong>)<br />

(73) On Efficient Clock drift Prediction Means<br />

and their Applicability to IEEE 802.15.4<br />

M. Brzozowski, H. Salomon, p. langendörfer<br />

proc. 8 th Ieee / IFIp International Conference<br />

on embedded and ubiquitous Computing<br />

(euC-10), 216(<strong>2010</strong>)<br />

(74) Influence of Strain on P Atomic-Layer<br />

doping Characteristics in Strained<br />

Si 0.3 Ge 0.7 / Si(100) Heterostructures<br />

Y. Chiba, M. Sakuraba, B. tillack, J. Murota<br />

proc. of the International SiGe technology<br />

and Device Meeting <strong>2010</strong> (IStDM <strong>2010</strong>),<br />

abstr. (<strong>2010</strong>)<br />

(75) 60-GHz Adaptive Beamforming Receiver<br />

Arrays for Interference Mitigation<br />

Ch.-S. Choi, M. elkhouly, e. Grass, J.C. Scheytt<br />

proc. Ieee International Symposium on personal,<br />

Indoor and Mobile Radio Communications<br />

(pIMRC <strong>2010</strong>), 761 (<strong>2010</strong>)<br />

(76) 60 GHz OFdM Systems for Multi-Gigabit<br />

wireless LAN Applications<br />

Ch.-S. Choi, e. Grass, M. piz, M. ehrig,<br />

R. Kraemer, J.C. Scheytt<br />

proc. Ieee Consumer Communications and<br />

networking Conference, (CCnC <strong>2010</strong>), (<strong>2010</strong>)<br />

(77) High-speed Comparators for SAR AdCs in<br />

130 nm BiCMOS<br />

J. Digel, M. Crozing, M. Berroth, H. Gustat,<br />

J.C. Scheytt<br />

proc. <strong>2010</strong> 6 th Conference on ph.D. Research<br />

in <strong>Microelectronics</strong> and electronics (pRIMe<br />

<strong>2010</strong>), 4 (<strong>2010</strong>)<br />

(78) Atomic Scale Engineering of Future High-k<br />

dRAM dielectrics: The Example of Partial<br />

Hf Substitution by Ti in BaHfO 3<br />

p. Dudek, G. lupina, p. Zaumseil,<br />

D. Schmeißer, t. Schroeder<br />

proc. 16 th Workshop on Dielectrics in <strong>Microelectronics</strong><br />

(WoDIM <strong>2010</strong>), abstr. book, 72<br />

(<strong>2010</strong>)<br />

(79) Millimeter-wave Beamforming Circuits in<br />

SiGe BiCMOS<br />

M. elkhouly, Ch.-S. Choi, S. Glisic, J.C. Scheytt,<br />

F. ellinger<br />

proc. <strong>2010</strong> Bipolar / BiCMoS Circuits and<br />

technology Meeting (BCtM <strong>2010</strong>), 129 (<strong>2010</strong>)<br />

(80) Baseband Processor for RF-MIMO wLAN<br />

V. elvira, J. Ibanez, I. Santamaria, M. Krstic,<br />

K. tittelbach-Helmrich, Z. Stamenkovic<br />

proc. 17 th International Conference on<br />

electronics, Circuits and Systems, (ICeCS<br />

<strong>2010</strong>), 800 (<strong>2010</strong>)<br />

(81) LEON2 Processor with High-Speed USB<br />

Port: A System-On-Chip for wireless<br />

Applications<br />

M. eric, G. panic, Z. Stamenkovic<br />

proc. 27 th International Conference on<br />

<strong>Microelectronics</strong>, 357 (<strong>2010</strong>)<br />

(82) A GALS FFT Processor with Clock<br />

Modulation for Low-EMI Applications<br />

X. Fan, M. Krstic, e. Grass, Ch. Wolf<br />

proc. 21 st Ieee International Conference on<br />

Application-specific Systems, Architectures<br />

and processors, 273 (<strong>2010</strong>)<br />

A n n u A l R e p o R t 2 0 0<br />

09


0 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(83) An Impulse Radio UwB Transceiver with<br />

High-Precision TOA Measurement Unit<br />

G. Fischer, o. Klymenko, D. Martynenko,<br />

H. luediger<br />

proc. <strong>2010</strong> International Conference on<br />

Indoor positioning and Indoor navigation,<br />

(<strong>2010</strong>)<br />

(84) A Low-Noise 8-12 GHz Fractional-N PLL in<br />

SiGe BiCMOS Technology<br />

R. Follmann, D. Köther, F. Herzel, F. Winkler,<br />

H.-V. Heyer<br />

proc. 5 th european Microwave Integrated<br />

Circuits Conference, 98 (<strong>2010</strong>)<br />

(85) Advanced Architectures for High<br />

Performance SiGe HBTs<br />

A. Fox, B. Heinemann<br />

proc. 5 th International SiGe technology and<br />

Device Meeting (IStDM <strong>2010</strong>), abstr. (<strong>2010</strong>)<br />

(86) Integrated drop-Filter for dispersion<br />

Compensation based on SOI Rib<br />

waveguides<br />

I. Giuntoni, D. Stolarek, A. Gajda, G. Winzer, J.<br />

Bruns, B. tillack, K. petermann,<br />

l. Zimmermann<br />

proc. optical Fiber Communication<br />

Conference (oFC), (<strong>2010</strong>)<br />

(87) A 1 w Si-LdMOS Power Amplifier with 40%<br />

drain Efficiency for 6 GHz wLAN<br />

Applications<br />

D. Gruner, R. Sorge, o. Bengtsson, A.Z. Markos,<br />

G. Boeck<br />

proc. Ieee International Microwave<br />

Symposium (IMS <strong>2010</strong>), 517 (<strong>2010</strong>)<br />

(88) 6 GHz Medium Voltage LdMOS Power<br />

Amplifier Based on Load/Source Pull<br />

Characterization<br />

D. Gruner, R. Sorge, A.Z. Markos, o. Bengtsson,<br />

G. Boeck<br />

proc. 5 th German Microwave Conference<br />

(GeMiC), 178 (<strong>2010</strong>)<br />

(89) CMOS Compatible Medium Voltage LdMOS<br />

Transistors for wireless Application up to<br />

5.8 GHz<br />

D. Gruner, R. Sorge, o. Bengtsson, A.Z. Markos,<br />

G. Boeck<br />

proc. european Microwave Integrated Circuits<br />

Conference <strong>2010</strong>, 146 (<strong>2010</strong>)<br />

(90) A Switching-Mode Amplifier for Class-S<br />

Transmitters for Clock Frequencies up to<br />

7.5 GHz in 0.25 µm SiGe-BiCMOS<br />

St. Heck, M. Schmidt, A. Bräckle, F. Schuller,<br />

M. Grözing, M. Berroth, H. Gustat, J.C. Scheytt<br />

proc. Ieee Radio Frequency Integrated<br />

Circuits Symposium (RFIC <strong>2010</strong>), 565 (<strong>2010</strong>)<br />

(91) A SiGe H-Bridge Switching Amplifier for<br />

Class-S Amplifiers with Clock Frequencies<br />

up to 6 GHz<br />

St. Heck, A. Bräckle, M. Schmidt, F. Schuller,<br />

M. Grözing and M. Berroth, H. Gustat,<br />

J.C. Scheytt<br />

proc. 5 th German Microwave Conference<br />

(GeMiC <strong>2010</strong>), 174 (<strong>2010</strong>)<br />

(92) SiGe HBT Technology with f T / f max of<br />

300 GHz / 500 GHz and 2.0 ps CML Gate delay<br />

B. Heinemann, R. Barth, D. Bolze, J. Drews,<br />

G.G. Fischer, A. Fox, o. Fursenko,<br />

t. Grabolla, u. Haak, D. Knoll, R. Kurps,<br />

M. lisker, S. Marschmeyer, H. Rücker,<br />

D. Schmidt, J. Schmidt, M.A. Schubert,<br />

B. tillack, C. Wipf, D. Wolansky, Y. Yamamoto<br />

IeDM technical Digest, 688 (<strong>2010</strong>)<br />

(93) An Efficient Algorithm for Phase Error<br />

Minimization in Phase-locked Loops<br />

F. Herzel<br />

proc. 7 th Ieee International Conference in<br />

Signals and electronic Systems (ICSeS <strong>2010</strong>),<br />

153 (<strong>2010</strong>)


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(94) Local Oscillator Radiation Test Results of<br />

the SiGe Technology SGB25 TM1TM2 of <strong>IHP</strong><br />

H.-V. Heyer, W. Moldenhauer, R. Follmann,<br />

D. Köther, t. podrebersek, F. Herzel,<br />

J.C. Scheytt, M. poizat, p. piironen<br />

proc. 3 rd International Workshop on Analogue<br />

and Mixed Signal Integrated Circuits for<br />

Space Applications (AMICSA <strong>2010</strong>), (<strong>2010</strong>)<br />

(95) SiGe Single Chip Fractional-N Local<br />

Oscillator for Telecommunication Satellite<br />

Applications<br />

H.-V. Heyer, R. Follmann, D. Köther, F. Herzel,<br />

F. Winkler, H. Janson, R. petersson,<br />

B.-M. Folio, C. Miquel, p. piironen<br />

proc. Microwave technology and techniques<br />

Workshop, (<strong>2010</strong>)<br />

(96) Oxygen Engineering of Hafnium Oxide Thin<br />

Films Grown by Reactive Molecular Beam<br />

Epitaxy (R-<strong>MB</strong>E)<br />

e. Hildebrandt, J. Kurian, I. Costina,<br />

t. Schroeder, l. Alff<br />

proc. 16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), abstr. book,<br />

91 (<strong>2010</strong>)<br />

(97) A Comparison of npn vs. pnp SiGe HBT<br />

Oscillator Phase Noise Performance in a<br />

Complementary SiGe Platform<br />

St. J. Horst, p. Chakraborty, p. Saha,<br />

J.D. Cressler, H. Gustat, B. Heinemann,<br />

G.G. Fischer, D. Knoll, B. tillack<br />

proc. <strong>2010</strong> Bipolar / BiCMoS Circuits and<br />

technology Meeting (BCtM <strong>2010</strong>), 13 (<strong>2010</strong>)<br />

(98) Fully Integrated 9 GHz CMOS VCO with Very<br />

Low Phase Noise<br />

K. Hu, F. Herzel, J.C. Scheytt<br />

Ieee International Symposium on Circuits and<br />

Systems (ISCAS <strong>2010</strong>), 1899 (<strong>2010</strong>)<br />

(99) Evaluation and Optimisation of Robustness<br />

in the IEEE 802.15.4a Standard<br />

J. Hund, S. olonbayar, R. Kraemer,<br />

Ch. Schwingenschlögel<br />

proc. Ieee International Conference on<br />

ultra-Wideband (ICuWB <strong>2010</strong>), 2, 747 (<strong>2010</strong>)<br />

(100) dielectric Bd in Polycrystalline HfO 2 Gate<br />

dielectrics Investigated with CAFM<br />

V. Iglesias, M. porti, M. nafria, X. Aymerich,<br />

p. Dudek, G. Bersuker<br />

proc. 16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), 56 (<strong>2010</strong>)<br />

(101) Creation of a Radiation Hard 0.13 Micron<br />

CMOS Library at <strong>IHP</strong><br />

u. Jagdhold<br />

proc. DAta Systems In Aerospace (DASIA),<br />

(<strong>2010</strong>)<br />

(102) BiCMOS Embedded MEMS Technology<br />

M. Kaynak<br />

proc. european Microwave Week, notes,<br />

(<strong>2010</strong>)<br />

(103) Characterization of BiCMOS Embedded<br />

RF-MEMS Modules<br />

M. Kaynak, K.-e. ehwald, J. Drews, R. Scholz, F.<br />

Korndörfer, C. Wipf, D. Knoll, R. Barth,<br />

K. Schulz, D. Wolansky, B. tillack<br />

proc. Smart Systems Integration <strong>2010</strong>, 100<br />

(<strong>2010</strong>)<br />

(104) Embedded MEMS Modules for BiCMOS<br />

Process<br />

M. Kaynak, K.-e. ehwald, J. Drews, R. Scholz, F.<br />

Korndörfer, C. Wipf, D. Knoll, R. Barth,<br />

M. Birkholz, K. Schulz, D. Wolansky, B. tillack<br />

proc. 5 th German Microwave Conference<br />

(GeMiC <strong>2010</strong>), 78 (<strong>2010</strong>)<br />

A n n u A l R e p o R t 2 0 0


(105) BiCMOS Embedded RF-MEMS Switch for<br />

Above 90 GHz Applications Using Backside<br />

Integration Techniques<br />

M. Kaynak, M. Wietstruck, R. Scholz, J. Drews,<br />

R. Barth, K.-e. ehwald, A. Fox, u. Haak,<br />

D. Knoll, F. Korndörfer, S. Marschmeyer,<br />

K. Schulz, C. Wipf, D. Wolansky, B. tillack,<br />

K. Zoschke, t. Fischer, Y.S. Kim, J.S. Kim,<br />

W.-G. lee, J.W. Kim<br />

IeDM technical Digest, 832 (<strong>2010</strong>)<br />

(106) Characterization of an Embedded RF-MEMS<br />

Switch<br />

M. Kaynak, K.-e. ehwald, R. Scholz,<br />

F. Korndörfer, C. Wipf, Y. Sun, B. tillack,<br />

S. Zihir, Y. Gurbuz<br />

proc. Silicon Monolithic Integrated Circuits in<br />

RF Systems (SiRF <strong>2010</strong>), 144 (<strong>2010</strong>)<br />

(107) A 6 Bit Linear Binary RF dAC in 0.25 µm<br />

BiCMOS for Communication Systems<br />

M. Khafaji, H. Gustat, J.C. Scheytt<br />

proc. International Microwave Symposium<br />

(IMS <strong>2010</strong>), 916 (<strong>2010</strong>)<br />

(108) Monotonic above 20 GSps Binary dAC in<br />

0.25 µm SiGe BiCMOS<br />

M. Khafaji, H. Gustat, J.C. Scheytt<br />

proc. 6. Joint Symposium on opto- and<br />

Microelectronic Devices and Circuits<br />

(SoDC <strong>2010</strong>), 107(<strong>2010</strong>)<br />

(109) Initial Stages of Oxygen and Vacancy<br />

Agglomeration: Kinetic and Getter Effects<br />

G. Kissinger, D. Kot, J. Dabrowski, W. Häckl,<br />

V.D. Akhmetov, A. Sattler<br />

proc. 6 th Forum of the Science and technology<br />

of Silicon Materials, 36 (<strong>2010</strong>)<br />

(110) Simulation and Measurement of Back Side<br />

Etched Inductors<br />

F. Korndörfer, M. Kaynak, V. Mühlhaus<br />

proc. of the 40 th Microwave Conference, 1631<br />

(<strong>2010</strong>)<br />

2 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(111) Simulation and Measurement of Back Side<br />

Etched Inductors<br />

F. Korndörfer, M. Kaynak, V. Mühlhaus<br />

proc. of the 5 th european Microwave Circuits<br />

Conference, 389 (<strong>2010</strong>)<br />

(112) On the dependence of the Thermal<br />

Resistance on Collector Properties of<br />

SiGe HBTs<br />

F. Korndörfer, C. Wipf<br />

proc. Ieee Bipolar / BiCMoS Circuits and<br />

technology Meeting (BCtM <strong>2010</strong>), 269 (<strong>2010</strong>)<br />

(113) Challenges of wireless Ultra-High Speed<br />

Communications<br />

R. Kraemer<br />

proc. 21 st <strong>Annual</strong> Workshop on<br />

Interconnections within High Speed Digital<br />

Systems, Workshop notes, (<strong>2010</strong>)<br />

(114) drahtlose Kommunikation im Auto der<br />

Zukunft<br />

R. Kraemer<br />

IV. Automobil-Zuliefertag <strong>2010</strong>, (<strong>2010</strong>)<br />

(115) Efficient Synchronization Method for<br />

IR-UwB 802.15.4a Non-Coherent Energy<br />

detection Receiver<br />

D. Kreiser, S. olonbayar<br />

proc. Internet of things Symposium<br />

(IotS <strong>2010</strong>), 521 (<strong>2010</strong>)<br />

(116) Test einer Bluetooth-Funkstrecke für die<br />

Prozessautomatisierung<br />

J. Krimmling, St. peter, D. Schmidt, M. Mahlig<br />

proc. SpS / IpC / Drives Kongress <strong>2010</strong>, ed.: K.<br />

Bender, W. Schumacher, A. Verl, 167 (<strong>2010</strong>)<br />

(117) A 52-75 GHz Frequency Quadrupler in<br />

0.25 µm SiGe BiCMOS Process<br />

n.-Ch. Kuo, Z.-M. tsai, K. Schmalz,<br />

J.C. Scheytt, H. Wang<br />

proc. european Microwave Week<br />

(euMW <strong>2010</strong>), 365 (<strong>2010</strong>)


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(118) directional Couplers from 30 to 140 GHz<br />

in Silicon<br />

B. lämmle, K. Schmalz, J.C. Scheytt,<br />

A. Koelpin, R. Weigel<br />

proc. Asia pacific Microwave Conference<br />

(ApMC <strong>2010</strong>), (<strong>2010</strong>)<br />

(119) Future Trends of Integrated Millimeter<br />

wave Sixt-Port Receivers<br />

B. lämmle, K. Schmalz, J.C. Scheytt,<br />

A. Koelpin, R. Weigel<br />

proc. 6 th Joint Symposium on opto- &<br />

Micro-electronic Devices and Circuits,<br />

(SoDC <strong>2010</strong>), 103 (<strong>2010</strong>)<br />

(120) Electrical Characteristics of TiTaO Based<br />

MIM Capacitors<br />

M. lukosius, Ch. Wenger, G. Ruhl, S. Rushwoth<br />

proc. 16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), abstr. book,<br />

70 (<strong>2010</strong>)<br />

(121) Automated Mapping of MAC Parameters<br />

into Generic QoS Parameters by Inter-MAC<br />

Adaptors<br />

M. Maaser, St. nowak, p. langendörfer<br />

proc. Ieee International Symposium on<br />

personal, Indoor and Mobile Radio<br />

Communications (pIMRC <strong>2010</strong>), 2815 (<strong>2010</strong>)<br />

(122) Remote Medical Treatment at Home Using<br />

the Java Mobile Sensor API<br />

M. Maaser, St. ortmann<br />

proc. Ieee Globecom <strong>2010</strong>, 3 rd International<br />

Workshop on Smart Homes for tele-Health<br />

(Smarttel ‚10), (<strong>2010</strong>)<br />

(123) drain-Extended MOS Transistors Capable for<br />

Operating at 10 V and Radio Frequencies<br />

A. Mai, H. Rücker<br />

proc. european Solid-State Device Research<br />

Conference (eSSDeRC), 110 (<strong>2010</strong>)<br />

(124) Performance Evaluation of Channel Coding<br />

for Gbps 60-GHz OFdM-based wireless<br />

Communications<br />

M. Marinkovic, M. piz, Ch.-S. Choi, G. panic,<br />

M. ehrig, e. Grass<br />

proc. Ieee International Symposium on<br />

personal, Indoor and Mobile Radio<br />

Communications (pIMRC <strong>2010</strong>), 993 (<strong>2010</strong>)<br />

(125) How Key Establishment in Medical Sensor<br />

Networks Benefits from Near Field<br />

Communication Technology<br />

o. Maye, St. peter<br />

proc. of the 1 st Ieee / ACM Internet of things<br />

Symposium (IotS <strong>2010</strong>), 566 (<strong>2010</strong>)<br />

(126) Stand und Entwicklungstrends bei<br />

Si-basierten Mikrosystemen im<br />

GHz-Bereich<br />

W. Mehr, Ch. Wenger<br />

proc. 15. Heiligenstädter Kolloquium,<br />

67 (<strong>2010</strong>)<br />

(127) Photonic Crystal Microacavities in SOI<br />

waveguides Produced in a CMOS<br />

Environment<br />

St. Meister, A. Al-Saadi, B. A. Franke, S. Mahdi,<br />

K. Voigt, B. Kuhlow, B. tillack, H.H. Richter,<br />

l. Zimmermann, V. Ksianzou, S.K. Schrader,<br />

H.-J. eichler<br />

SpIe proceedings, 7606, 760616 (<strong>2010</strong>)<br />

(128) MAC Protocol Requirements for wLANs<br />

with Beamforming<br />

e. Miletic, K. tittelbach-Helmrich<br />

proc. 18th telecommunications Forum,<br />

(telFoR <strong>2010</strong>), 412 (<strong>2010</strong>)<br />

(129) Atomically Controlled Processing in<br />

Strained Si-Based CVd Epitaxial Growth<br />

J. Murota, M. Sakuraba, B. tillack<br />

proc. International Conference on Solid State<br />

and Integrated-Circuit technology (ICSICt<br />

<strong>2010</strong>), I12_05 (<strong>2010</strong>)<br />

A n n u A l R e p o R t 2 0 0


(130) In-Situ Heavy B-doped Si Epitaxial Growth<br />

on Tensile-Strained Si (100) by Ultraclean<br />

Low-Pressure CVd using SiH 4 and B 2 H 6<br />

M. nagato, M. Sakuraba, J. Murota, B. tillack,<br />

Y. Inokuchi, Y. Kunii, H. Kurokawa<br />

proc. of the International SiGe technology<br />

and Device Meeting (IStDM <strong>2010</strong>), abstr.<br />

(<strong>2010</strong>)<br />

(131) wrapper design for a CdMA Bus in SOC<br />

t. nikolic, M. Stojcev, Z. Stamenkovic<br />

proc. 13 th Ieee International Symposium on<br />

Design and Diagnostics of electronic Circuits<br />

and Systems, 243 (<strong>2010</strong>)<br />

(132) A 325 GHz Frequency Multiplier Chain<br />

in a SiGe HBT Technology<br />

e. Öjefors, B. Heinemann, u.R. pfeiffer<br />

proc. Ieee International Microwave Symposium<br />

(Mtt), RFIC Symposium, 91 (<strong>2010</strong>)<br />

(133) Radio Localization in OFdM Networks<br />

using the ‚Round Trip Phase’<br />

t. ohlemueller, F. Winkler, e. Grass<br />

proc. 7 th Workshop on positioning, navigation<br />

and Communication <strong>2010</strong> (WpnC <strong>2010</strong>),<br />

23 (<strong>2010</strong>)<br />

(134) Automatic Gain Controlling in IR-UwB<br />

Communications designed for wireless<br />

Sensors<br />

S. olonbayar, G. Fischer, D. Kreiser, R. Kraemer<br />

proc. Ieee International Conference on<br />

ultra-Wideband (ICuWB <strong>2010</strong>), (<strong>2010</strong>)<br />

(135) Inferring Technical Constraints of a<br />

wireless Sensor Network Application<br />

from End-User Requirements<br />

F.J. oppermann, St. peter<br />

proc. of the 6 th International Conference on<br />

Mobile Ad-hoc and Sensor networks,<br />

(MSn <strong>2010</strong>), 169 (<strong>2010</strong>)<br />

A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(136) definition and Configuration of Reliable<br />

Event detection for Heterogeneous wSN<br />

St. ortmann<br />

proc. 8 th Ieee International Conference on<br />

pervasive Computing and Communications<br />

(perComm <strong>2010</strong>), 861 (<strong>2010</strong>)<br />

(137) An Integrated Fractional-N Frequency<br />

Synthesizer for Software-defined Radio<br />

Applications<br />

S.A. osmany, F. Herzel, J.C. Scheytt<br />

proc. 10 th topical Meeting on Silicon<br />

Integrated Circuits in RF Systems (SiRF <strong>2010</strong>),<br />

243 (<strong>2010</strong>)<br />

(138) A 10 Vpp SiGe Voltage driver<br />

p. ostrovskyy, H. Gustat, J.C. Scheytt,<br />

V. Stikanov<br />

proc. 20 th International Crimean Conference<br />

Microwave and telecommunication<br />

technology (CriMiCo <strong>2010</strong>), 109 (<strong>2010</strong>)<br />

(139) Low Power Sensor Node Processor<br />

Architecture<br />

G. panic, t. Basmer, K. tittelbach-Helmrich,<br />

l. lopacinski<br />

17 th International Conference on electronics,<br />

Circuits and Systems, (ICeCS <strong>2010</strong>), 916<br />

(<strong>2010</strong>)<br />

(140) A Viterbi-based Non-Coherent dBPSKdemodulator<br />

with 1-Bit Quantization and<br />

digital differential decoding for AwGN<br />

Channels<br />

M. petri, M. piz, e. Grass<br />

proc. Ieee International Symposium on<br />

personal, Indoor and Mobile Radio<br />

Communications (pIMRC <strong>2010</strong>), 592 (<strong>2010</strong>)<br />

(141) design Flow Approach for Reliable Asic<br />

designs<br />

V. petrovic, G. Schoof<br />

proc. of the 7 th International new exploratory<br />

technologies Conference neXt <strong>2010</strong>, 68<br />

(<strong>2010</strong>)


(142) Body Area Network for First Responders –<br />

a Case Study<br />

K. piotrowski, A. Sojka, p. langendörfer<br />

proc. of the 5 th International Conference on<br />

Body Area networks (Bodynets <strong>2010</strong>)<br />

(143) wireless Sensor Networks Can Save Lives –<br />

Benefits and Open Issues<br />

K. piotrowski, A. Sojka, p. langendörfer<br />

proc. Sensoren und Messsysteme <strong>2010</strong>, 724<br />

(<strong>2010</strong>)<br />

(144) Activated Pulsed Metalorganic Chemical<br />

Vapor deposition of Ge 2 Sb 2 Te 2 Thin Films<br />

Using Alkyl Precursors<br />

D. Reso, M. Silinskas, B. Kalkofen, M. lisker,<br />

e.p. Burte<br />

Mater. Res. Soc. Symp. proc., 1251E,<br />

1251-H03 (<strong>2010</strong>)<br />

(145) Fabrication of Bragg Gratings for<br />

Silicon-on-Insulator waveguides<br />

H.H. Richter, D. Stolarek, l. Zimmermann,<br />

J. Bauer, St. Marschmeyer, I. Giuntoni,<br />

A. Gajda, B. tillack<br />

Verhandlungen der DpG, 232 (<strong>2010</strong>)<br />

(146) Technology Aspects of High-Speed<br />

SiGe HBTs<br />

H. Rücker<br />

proc. Ieee International Microwave<br />

Symposium (IMS <strong>2010</strong>), (<strong>2010</strong>)<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(147) Validation of a Theoretical Model for<br />

NFmin Estimation of SiGe HBTs<br />

n. Sarmah, K. Schmalz, J.C. Scheytt<br />

proc. German Microwave Conference<br />

(GeMiC <strong>2010</strong>), 265 (<strong>2010</strong>)<br />

(148) RF Bandpass delta-Sigma Modulators for<br />

Highly-Efficient Class-S Transmitters in<br />

SiGe BiCMOS Technology<br />

J.C. Scheytt, p. ostrovskyy, H. Gustat<br />

proc. Ieee International Conference on<br />

Wireless Information technology and Systems<br />

(ICWIt <strong>2010</strong>), (<strong>2010</strong>)<br />

(149) 60 GHz OFdM Transceiver RF Frontend<br />

design in SiGe BiCMOS<br />

J.C. Scheytt, S. Glisic, Y. Sun, C.S. Choi,<br />

M. elkhouly, F. Herzel, e. Grass<br />

proc. Ieee Radio & Wireless Symposium <strong>2010</strong>,<br />

(<strong>2010</strong>)<br />

(150) SiGe BiCMOS Circuits for High-Frequency<br />

Communications and Sensing Applications<br />

J.C. Scheytt, S. Glisic, p. ostrovskyy, H. Gustat,<br />

K. Schmalz, J. Borngräber, S.A. osmany,<br />

F. Herzel, B. Heinemann, H. Rücker, D. Knoll,<br />

B. tillack<br />

proc. Silicon Monolithic Integrated Circuits in<br />

RF Systems (SiRF <strong>2010</strong>), (<strong>2010</strong>)<br />

(151) mm-wave Transceiver and Component<br />

design for 60, 94 and 122 GHz in SiGe<br />

BiCMOS Technology<br />

J.C. Scheytt, S. Glisic, Y. Sun, K. Schmalz,<br />

W. Winkler, W. Debski, F. Herzel<br />

proc. 6 th Joint Symposium on opto- &<br />

Micro-electronic Devices and Circuits<br />

(SoDC <strong>2010</strong>), 133 (<strong>2010</strong>)<br />

(152) 122 GHz ISM-Band Transceiver Concept and<br />

Silicon ICs for Low-Cost Receiver in SiGe<br />

BiCMOS<br />

K. Schmalz, W. Winkler, J. Borngäber,<br />

W. Debski, B. Heinemann, J.C. Scheytt<br />

proc. Ieee International Microwave<br />

Symposium (IMS <strong>2010</strong>), 1332 (<strong>2010</strong>)<br />

(153) High ASIC Reliability by Using<br />

Fault-Tolerant design Techniques<br />

G. Schoof, M. Methfessel, R. Kraemer<br />

proc. of the 2nd Workshop on Design for<br />

Reliability (DFR‘10), (<strong>2010</strong>)<br />

(154) Fault-Tolerant ASIC design for High System<br />

Reliability<br />

G. Schoof, M. Methfessel, R. Kraemer<br />

proc. Smart Systems Integration <strong>2010</strong>,<br />

50 (<strong>2010</strong>)<br />

A n n u A l R e p o R t 2 0 0


(155) An All-digital Phase-Locked Loop with<br />

High Resolution for Local On-Chip Clock<br />

Synthesis<br />

o. Schrape, e. Grass, M. petri, St. Zeidler,<br />

u. Jagdhold, F. Winkler<br />

proc. (pAtMoS <strong>2010</strong>), Berlin, Springer Verl.,<br />

LNCS 6448, 218 (<strong>2010</strong>)<br />

(156) A 12 Bit High Speed Broad Band Low Power<br />

digital to Analog Converter with Hidden<br />

Internal Calibration for Satellite<br />

Telecommunications<br />

K. Schrödinger, H. Gustat, A. Stanitzki,<br />

G. Grau, J. Zhu, H.-V. Heyer, J.C. Scheytt,<br />

l. Hili, p. piironen, H. Kemper, o. Martinsson<br />

proc. Microwave technology & techniques<br />

Workshop (<strong>2010</strong>)<br />

(157) Solid-Phase Epitaxy of Amorphous Silicon<br />

by in-situ Postannealing using RPCVd<br />

o. Skibitzki, Y. Yamamoto, K. Köpke,<br />

M.A. Schubert, G. Weidner, B. tillack<br />

proc. of the International SiGe technology<br />

and Device Meeting (IStDM <strong>2010</strong>), abstr.<br />

(<strong>2010</strong>)<br />

(158) ShortECC: a Lightweight Security Approach<br />

for wireless Sensor Networks<br />

A. Sojka, K. piotrowski, p. langendörfer<br />

proc. International Conference on<br />

Security and Cryptography (SeCRYpt <strong>2010</strong>),<br />

304 (<strong>2010</strong>)<br />

(159) Integrated Si-LdMOS Transistors for 11 GHz<br />

X-Band Power Amplifier Applications<br />

R. Sorge, A. Fischer, A. Mai, p. Schley,<br />

J. Schmidt, Ch. Wipf, R. pliquett, R. Barth<br />

proc. Ieee Bipolar / BiCMoS Circuits and<br />

technology Meeting (BCtM <strong>2010</strong>), 90 (<strong>2010</strong>)<br />

(160) MAC and Baseband Hardware Platforms<br />

for RF-MIMO wLAN<br />

Z. Stamenkovic, K. tittelbach-Helmrich,<br />

M. Krstic, J. Ibanez, V. elvira, I. Santamaria<br />

proc. 5 th european Conference on Circuits and<br />

Systems for Communications, 26 (<strong>2010</strong>)<br />

6 A n n u A l R e p o R t 2 0 0<br />

E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(161) SOC design for wireless Communication<br />

Z. Stamenkovic<br />

proc. 12 th Biennial Baltic electronics<br />

Conference, 25 (<strong>2010</strong>)<br />

(162) Systemdesign einer sicheren und<br />

drahtlosen Programmierschnittstelle für<br />

Mikrocontroller unter Verwendung von<br />

RFId-Technologien und integrierter<br />

Kryptokerne<br />

o. Stecklina, o. Krause, t. Basmer<br />

proc. Wireless technologies Kongress, 257<br />

(<strong>2010</strong>)<br />

(163) A Low-Power 60 GHz Front-End with<br />

Variable Gain LNA in SiGe BiCMOS<br />

Y. Sun, J.C. Scheytt<br />

proc. Ieee Bipolar / BiCMoS Circuits and technology<br />

Meeting (BCtM <strong>2010</strong>), 192 (<strong>2010</strong>)<br />

(164) Novel Buffer Approach for GaN Integration<br />

on Si(111) Platform Through Single<br />

Sc 2 O 3 / y 2 O 3 Buffer Layers<br />

l. tarnawska, A. Giussani, p. Zaumseil,<br />

M.A. Schubert, p. Storck, t. Schroeder<br />

proc. 16 th International Conference on<br />

Molecular Beam epitaxy, Workbook, 119<br />

(<strong>2010</strong>)<br />

(165) A New Lab-on-Chip Transmitter for the<br />

detection of Proteins Using RNA Aptamers<br />

F. tasdemir, S. Zihir, e. ozeren, J.H. niazi,<br />

A. Qureshi, S.S. Kallempudi, M. Kaynak,<br />

R. Scholz, Y. Gurbuz<br />

proc. 40 th european Microwave Conference,<br />

489 (<strong>2010</strong>)<br />

(166) MAC Hardware Platform for RF-MIMO wLAN<br />

K. tittelbach-Helmrich, e. Miletic, p. Wcislek,<br />

Z. Stamenkovic<br />

proc. 53 rd Ieee International Midwest<br />

Symposium on Circuits and Systems, 339<br />

(<strong>2010</strong>)


E R S C H I E N E N E P U B L I K A T I O N E N – P U B L I S H E d P A P E R S<br />

(167) Bipolar Resistive Switching Characteristics<br />

of HfO 2 Based MIM devices<br />

Ch. Walczyk, D. Walczyk, t. Schroeder,<br />

M. lukosius, M. Fraschke, e. Miranda,<br />

B. tillack, Ch. Wenger<br />

proc. 41 st Ieee Semiconductor Interface<br />

Specialists Conference (SISC <strong>2010</strong>), abstr.<br />

book, p. 38 (<strong>2010</strong>)<br />

(168) CMOS Compatible TiN / HfO2 / TiN MIM<br />

devices for Future RRAM Applications<br />

Ch. Walczyk, t. Schroeder, M. lukosius,<br />

D. Walczyk, M. Fraschke, A. Fox, D. Wolansky,<br />

B. tillack, Ch. Wenger<br />

proc. 16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), 76 (<strong>2010</strong>)<br />

(169) Resistive Switching in TiN / HfO2 / Ti / TiN<br />

MIM devices for Future Nonvolatile Memory<br />

Applications<br />

Ch. Walczyk, t. Schroeder, M. lukosius,<br />

M. Fraschke, A. Fox, D. Wolansky, B. tillack,<br />

Ch. Wenger<br />

proc. non-Volatile Memory technology<br />

Symposium 2009, (nVMtS 2009), (<strong>2010</strong>)<br />

(170) An on-board differential Bunny – Ear<br />

Antenna design for 60 GHz Applications<br />

R. Wang, Y. Sun, J.C. Scheytt<br />

proc. 5 th German Microwave Conference <strong>2010</strong><br />

(GeMiC <strong>2010</strong>), 9 (<strong>2010</strong>)<br />

(171) Injection and Trapping of Electrons in y 2 O 3<br />

Layers deposited on Si<br />

W.-Ch. Wang, M. Badylevich, V.V. Afanas‘jev,<br />

A. Stesmans, S. Van elshocht, M. lukosius,<br />

Ch. Walczyk, Ch. Wenger<br />

<strong>2010</strong> Iop Conference Series: Materials<br />

Science engineering, 8, 012028 (<strong>2010</strong>)<br />

(172) Bipolar Resistive Switching Characteristics<br />

of HfO 2 Based 1T-1R Cells<br />

Ch. Wenger, Ch. Walczyk, D. Walczyk,<br />

M. lukosius, M. Fraschke, t. Schroeder<br />

proc. nanoelectronics Days, abstr. book, 23<br />

(<strong>2010</strong>)<br />

(173) Metal-Insulator-Metal Capacitors –<br />

Intrinsic Constrains of High-k dielectrics<br />

Ch. Wenger, M. lukosius, G. lupina,<br />

t. Schroeder<br />

proc. 16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), abstr. book,<br />

69 (<strong>2010</strong>)<br />

(174) LNA and Mixer for 122 GHz Receiver in<br />

SiGe Technology<br />

W. Winkler, W. Debski, K. Schmalz,<br />

J. Borngräber, J.C. Scheytt<br />

proc. european Microwave Week<br />

(euMW <strong>2010</strong>), 529 (<strong>2010</strong>)<br />

(175) Benchmarking of wSN Solutions and IEEE<br />

802.15.4-2006 PSSS based Solutions<br />

A. Wolf, M. Mahlig<br />

proc. 9. GI/ItG KuVS Fachgespräch<br />

Sensornetze, 13 (<strong>2010</strong>)<br />

(176) Low Threading dislocation density Ge<br />

deposited on Si(100) Using RPCVd<br />

Y. Yamamoto, K. Köpke, p. Zaumseil,<br />

t. Arguirov, M. Kittler, B. tillack<br />

proc. of the International SiGe technology<br />

and Device Meeting (IStDM <strong>2010</strong>), abstr.<br />

(<strong>2010</strong>)<br />

(177) Atomic Level Control of B doping in Ge<br />

Y. Yamamoto, R. Kurps, J. Murota, B. tillack<br />

proc. 5 th International Workshop on new<br />

Group IV Semiconductor nanoelectronics,<br />

program & abstr., 51 (<strong>2010</strong>)<br />

(178) Laboratory-based Characterization of<br />

Heteroepitaxial Structures: Advanced<br />

Experiments not needing Synchrotron<br />

Radiation<br />

p. Zaumseil<br />

proc. Denver X-Ray Conference, Advances in<br />

X-Ray Analysis 53, 54 (<strong>2010</strong>)<br />

A n n u A l R e p o R t 2 0 0<br />

7


(179) On-line Testing of Bundled-data<br />

Asynchronous Handshake Protocols<br />

St. Zeidler, A. Bystrov, M. Krstic, R. Kraemer<br />

proc. Ieee International on-line test<br />

Symposium <strong>2010</strong>, 261 (<strong>2010</strong>)<br />

(180) Towards Silicon on Insulator dQPSK<br />

demodulators<br />

l. Zimmermann, K. Voigt, G. Winzer,<br />

K. petermann<br />

proc. optical Fiber Communications<br />

Conference (oFC), (<strong>2010</strong>)<br />

Eingeladene Vorträge<br />

Invited Presentations<br />

(1) Corrosion-Resistant Metal Layers from a<br />

CMOS Process for Bioelectronic and Medical<br />

Implant Applications<br />

M. Birkholz, K.-e. ehwald, D. Wolansky,<br />

I. Costina, C. Baristiran-Kaynak, M. Fröhlich,<br />

H. Beyer, A. Kapp, F. lisdat<br />

10 th International Conference on Applied<br />

Surface engineering / Asian-pacific<br />

Interfinish Conference, <strong>2010</strong>, Singapore,<br />

october 20 - 22, <strong>2010</strong>, Republic of Singapore<br />

(2) GlucoPlant - Entwicklung eines<br />

implantierbaren Glucosesensors<br />

M. Birkholz, K.-e. ehwald, R. ehwald, p. Kulse,<br />

t. Basmer, M. Fröhlich, J. Drews, u. Haak,<br />

St. Marschmeyer, e. Matthus, K. Schulz,<br />

W. Winkler, D. Wolansky<br />

24. treffpunkt Medizintechnik Intelligente:<br />

Implantate und prothesen – entwicklungen<br />

in Medizin & technik, Charité Berlin, July 01,<br />

<strong>2010</strong>, Germany<br />

(3) Mikroelektronisch voll-integrierte<br />

Biosensoren – und was die werkstofftechnik<br />

im Kleinen dazu beitragen kann<br />

M. Birkholz<br />

Bundesanstalt für Materialprüfung und<br />

-forschung, Berlin, September 24, <strong>2010</strong>,<br />

Germany<br />

8 A n n u A l R e p o R t 2 0 0<br />

E I N G E L A d E N E V O R T R ä G E – I N V I T E d P R E S E N T A T I O N S<br />

(4) Mikroviskosimeter zur kontinuierlichen<br />

Glucosemessung<br />

M. Birkholz<br />

Institut für Bioprozess- und Analysenmesstechnik,<br />

Heiligenstadt, August 28, <strong>2010</strong>,<br />

Germany<br />

(5) Nanoelektromechanischer Glucosesensor –<br />

eine Entwicklung zwischen Mikroelektronik<br />

und Biotechnologie<br />

M. Birkholz<br />

Seminar am lehrstuhl experimentalphysik II,<br />

Btu Cottbus, January 29, <strong>2010</strong>, Germany<br />

(6) 60-GHz OFdM wireless LAN Systems<br />

Ch.-S. Choi, e. Grass, J.C. Scheytt<br />

Seminar in Samsung electronics, Suwon,<br />

April 13, <strong>2010</strong>, Korea<br />

(7) Advanced Architectures for High<br />

Performance SiGe HBTs<br />

A. Fox, B. Heinemann<br />

5 th International SiGe technology and Device<br />

Meeting (IStDM <strong>2010</strong>), Kista,<br />

May 24 - 26, <strong>2010</strong>, Sweden<br />

(8) Si wafer Engineering: Single Crystalline<br />

Oxides as Buffers for the Integration of<br />

Alternative Semiconductors<br />

A. Giussani<br />

DpG-Frühjahrstagung <strong>2010</strong>, Regensburg,<br />

March 21 - 26, <strong>2010</strong>, Germany<br />

(9) 60 GHz Kommunikationssysteme –<br />

Stand der Technik und Ausblick<br />

e. Grass<br />

Radiotec <strong>2010</strong>, Berlin, november 24, <strong>2010</strong>,<br />

Germany<br />

(10) Characterization of BiCMOS Embedded<br />

RF-MEMS Modules<br />

M. Kaynak, K.-e. ehwald, J. Drews, R. Scholz, F.<br />

Korndörfer, C. Wipf, D. Knoll, R. Barth,<br />

K. Schulz, D. Wolansky, B. tillack<br />

Smart Systems Integration <strong>2010</strong>, Como,<br />

March 23 - 24, <strong>2010</strong>, Italy


E I N G E L A d E N E V O R T R ä G E – I N V I T E d P R E S E N T A T I O N S<br />

(11) Initial Stages of Oxygen and Vacancy<br />

Agglomeration: Kinetic and Getter Effects<br />

G. Kissinger, D. Kot, J. Dabrowski, W. Häckl,<br />

V.D. Akhmetov, A. Sattler<br />

6th Forum of the Science and technology of<br />

Silicon Materials, okayama, november 14 - 17,<br />

<strong>2010</strong>, Japan<br />

(12) Photoluminescence and EBIC for Process<br />

Control and Failure Analysis in Si-Based<br />

Photvoltaics<br />

M. Kittler, t. Arguirov, t. Mchedlidze, R.<br />

Schmid, W. Seifert<br />

36 th International Symposium for testing and<br />

Failure Analysis - Alternative energy, Dallas,<br />

november, 14 - 18, <strong>2010</strong>, uSA<br />

(13) Challenges of wireless Ultra-High Speed<br />

Communications<br />

R. Kraemer<br />

21 st <strong>Annual</strong> Workshop on Interconnections<br />

within High Speed Digital Systems, Santa Fe,<br />

May 03 - 05, <strong>2010</strong>, uSA<br />

(14) drahtlose Kommunikation im Auto der<br />

Zukunft<br />

R. Kraemer<br />

IV. Automobil-Zuliefertag <strong>2010</strong>,<br />

Frankfurt (oder), December 14, <strong>2010</strong><br />

(15) Application of wireless Sensor Networks in<br />

Critical Infractructure Protection –<br />

Challenges and design Options<br />

p. langendörfer, St. peter, o. Stecklina<br />

Workshop on Monitoring and Control for Full<br />

Water-Cycle Management co-organized with<br />

HD-MpC and euClID, Brussels, June 18, <strong>2010</strong>,<br />

Belgium<br />

(16) Configurable Sensor Nodes for AAL<br />

Applications<br />

p. langendörfer, K. piotrowski, A. Sojka,<br />

F. Vater<br />

Ageing and technology, Vechta, March 23,<br />

<strong>2010</strong>, Germany<br />

(17) Sichere drahtlose Sensorknoten:<br />

Herausforderungen und Lösungen<br />

p. langendörfer, o. Krause, o. Stecklina,<br />

St. peter, F. Vater<br />

Workshop Mobilität und Sicherheit Daten und<br />

objekte fest im Griff, Wildau, June 24, <strong>2010</strong>,<br />

Germany<br />

(18) Towards Cyber Physical Systems Protection:<br />

Recent Achievements and Challenges Ahead<br />

p. langendörfer, l. Buttyan, A. Casaca,<br />

e. osipov, D. Gessner<br />

7. GI / ASQF Schloss-Steinhöfel-Seminar,<br />

Steinhöfel, April 26, <strong>2010</strong>, Germany<br />

(19) wireless Sensor Nodes as Building Blocks<br />

for Real Life Applications<br />

p. langendörfer, K. piotrowski, o. Stecklina,<br />

St. peter, F. Vater<br />

2nd Workshop on Architectures, Services and<br />

Applications for the next Generation<br />

Internet (WASA-nGI), Karlsruhe June, 29,<br />

<strong>2010</strong>, Germany<br />

(20) direct Graphene Growth on Insulating<br />

weak Interacting Substrates<br />

G. lippert, o. Seifarth, J. Dabrowski,<br />

G. lupina, W. Mehr<br />

Department of physics, Harvard university,<br />

Cambridge, Massachusetts, november 30,<br />

<strong>2010</strong>, uSA<br />

(21) New developments of wireless Systems,<br />

Circuits and THz Sensor for Security<br />

W. Mehr, p. langendörfer<br />

<strong>2010</strong> Fall Conference Symposium on Materials<br />

Sciences and their Applications to Homeland<br />

Security, Warsaw, September 16, <strong>2010</strong>, poland<br />

(22) Security-Aware Composition of Software<br />

Modules for wireless Sensor Networks<br />

St. peter, p. langendörfer, K. piotrowski<br />

trustSoft, Graduiertenkolleg Vertrauenswürdige<br />

Software-Systeme, universität<br />

oldenburg, June 16, <strong>2010</strong>, Germany<br />

A n n u A l R e p o R t 2 0 0<br />

9


(23) wireless Sensor Networks Can Save Lives –<br />

Benefits and Open Issues<br />

K. piotrowski, A. Sojka, p. langendörfer<br />

Sensoren und Messsysteme <strong>2010</strong>, nuremberg,<br />

May 18 - 19, <strong>2010</strong>, Germany<br />

(24) Technology Aspects of High-Speed<br />

SiGe HBTs<br />

H. Rücker<br />

Ieee International Microwave Symposium<br />

(IMS <strong>2010</strong>), Anaheim, May 23 - 28, <strong>2010</strong>, uSA<br />

(25) Alternative Semiconductor Integration on<br />

Si by Heteroepitaxy: Oxide Heterostructure<br />

Buffer versus Patterned Si wafer Approaches<br />

t. Schroeder<br />

IBM Zurich, January 14, <strong>2010</strong>, Switzerland<br />

(26) “More than Moore” Approaches at <strong>IHP</strong> to<br />

further Functionalize SiGe:C BiCMOS<br />

Technologies<br />

t. Schroeder<br />

Cnt Dresden, January 21, <strong>2010</strong>, Germany<br />

(27) “More than Moore” Approaches for Silicon<br />

based <strong>Microelectronics</strong> at <strong>IHP</strong> / Germany<br />

t. Schroeder<br />

ICMAB Barcelona, July 23, <strong>2010</strong>, Spain<br />

(28) “More than Moore” Strategies for Si<br />

<strong>Microelectronics</strong>: From Materials to<br />

devices at <strong>IHP</strong><br />

t. Schroeder<br />

tu poznan, october, 07, <strong>2010</strong>, poland<br />

(29) Tailoring the Properties of Single<br />

Crystalline Oxides on Silicon<br />

t. Schroeder, o. Seifarth, B. Dietrich, A. Giussani,<br />

p. Zaumseil<br />

e-MRS <strong>2010</strong>, Strasbourg, June 07 - 11, <strong>2010</strong>,<br />

France<br />

(30) Graphene Research at <strong>IHP</strong><br />

o. Seifarth<br />

tH Wildau, June 11, <strong>2010</strong>, Germany<br />

20 A n n u A l R e p o R t 2 0 0<br />

E I N G E L A d E N E V O R T R ä G E – I N V I T E d P R E S E N T A T I O N S<br />

(31) <strong>IHP</strong> as Access Point for west Balkan<br />

Students and Scientists in European<br />

ICT Research Programs<br />

Z. Stamenkovic<br />

eChallenges 2-<strong>2010</strong> Conference & exhibition,<br />

Warsaw, october 27 - 29, <strong>2010</strong>, poland<br />

(32) MAC and Baseband Hardware Platforms<br />

for RF-MIMO wLAN<br />

Z. Stamenkovic, K. tittelbach-Helmrich,<br />

M. Krstic, J. Ibanez, V. elvira, I. Santamaria<br />

5 th european Conference on Circuits and<br />

Systems for Communications, Belgrade,<br />

november 23 - 25, <strong>2010</strong>, Serbia<br />

(33) SOC design for wireless Communication<br />

Z. Stamenkovic<br />

12th Biennial Baltic electronics Conference,<br />

tallin, october 04 - 06, <strong>2010</strong>, estonia<br />

(34) Atomic Control of doping during Si Based<br />

Epitaxial Layer Growth Processes<br />

B. tillack, Y. Yamamoto, J. Murota<br />

218 th electrochemical Society Meeting; Si,<br />

SiGe, and Related Compounds: Materials,<br />

processing, and Devices Symposium,<br />

las Vegas, october 10 - 15, <strong>2010</strong>, uSA<br />

(35) Atomic Level Control for Group IV<br />

Semiconductor Processing<br />

B. tillack, Y. Yamamoto, J. Murota<br />

5 th International Workshop on new Group<br />

IV Semiconductor nanoelectronics, Sendai,<br />

January 28 - 30, <strong>2010</strong>, Japan<br />

(36) SiGe BiCMOS Platform – Baseline<br />

Technology for More than Moore Process<br />

Module Integration<br />

B. tillack<br />

Symposium, Southeast university, nanjing,<br />

november 14, <strong>2010</strong>, China<br />

(37) SiGe BiCMOS Platform – Baseline<br />

Technology for More than Moore Process<br />

Module Integration<br />

B. tillack


E I N G E L A d E N E V O R T R ä G E – I N V I T E d P R E S E N T A T I O N S<br />

<strong>2010</strong> nanjing International technology<br />

transfer Conference & Cooperation Forum on<br />

ApeC low-Carbon technology and Industry,<br />

nanjing, november 13, <strong>2010</strong>, China<br />

(38) SiGe BiCMOS Technologies for High<br />

Frequency Applications<br />

B. tillack<br />

Forschungszentrum Jülich, May 15, <strong>2010</strong>,<br />

Germany<br />

(39) Biomedizinische Aktivitäten im <strong>IHP</strong><br />

Ch. Wenger<br />

tH Wildau, April 15, <strong>2010</strong>, Germany<br />

(40) Embedded HfO 2 Based Non-Volatile<br />

Memory Cells<br />

Ch. Wenger, M. lukosius, Ch. Walczyk,<br />

D. Walczyk, t. Schroeder<br />

6. treffen Materialien für nichtflüchtige<br />

Speicher der DGM, Dresden, March 31, <strong>2010</strong>,<br />

Germany<br />

(41) High-k MIM devices: Status and Future<br />

Activities at <strong>IHP</strong><br />

Ch. Wenger<br />

Joseph Fourier university Grenoble,<br />

March 02, <strong>2010</strong>, France<br />

(42) MIM Process at <strong>IHP</strong>: Status and Future<br />

Activities<br />

Ch. Wenger<br />

namlab Dresden, January 20, <strong>2010</strong>, Germany<br />

(43) Metal-Insulator-Metal Capacitors –<br />

Intrinsic Constrains of High-k dielectrics<br />

Ch. Wenger, M. lukosius, G. lupina,<br />

t. Schroeder<br />

16th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), Bratislava,<br />

June 28 - 30, <strong>2010</strong>, Slovakia<br />

(44) Metal-Insulator-Metal devices: Status and<br />

Future Activities at <strong>IHP</strong><br />

Ch. Wenger<br />

IBM Research Zurich, July 12, <strong>2010</strong>,<br />

Switzerland<br />

(45) Stand und Entwicklungstrends bei<br />

Si-basierten Mikrosystemen im<br />

GHz-Bereich<br />

Ch. Wenger, W. Mehr<br />

15. Heiligenstädter Kolloquium,<br />

Heiligenstadt, September, 28, <strong>2010</strong>, Germany<br />

(46) Überblick über biomedizinische<br />

Aktivitäten im <strong>IHP</strong><br />

Ch. Wenger<br />

tH Wildau, May 18, <strong>2010</strong>, Germany<br />

(47) Überblick über biomedizinische<br />

Aktivitäten im <strong>IHP</strong><br />

Ch. Wenger<br />

lAK Innovative technologien, Frankfurt<br />

(oder), September 21, <strong>2010</strong>, Germany<br />

(48) Towards Silicon on Insulator dQPSK<br />

demodulators<br />

l. Zimmermann, K. Voigt, G. Winzer,<br />

K. petermann<br />

optical Fiber Communications Conference<br />

(oFC), San Diego, March 21 - 25, <strong>2010</strong>, uSA<br />

A n n u A l R e p o R t 2 0 0<br />

2


Vorträge<br />

Presentations<br />

(1) Chemical Vapor deposition and<br />

Characterization of High-k BaHf 1-x Ti x O 3<br />

dielectric Layers for Microelectronic<br />

Applications<br />

A. Abrutis, t. Dapkus, S. Stanionyte,<br />

V. Kubilius, G. lupina, Ch. Wenger, M. lukosius<br />

16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), Bratislava,<br />

June 28 - 30, <strong>2010</strong>, Slovakia<br />

(2) Room Temperature Luminescence from Ge<br />

t. Arguirov, M. Kittler, n.V. Abrosimov<br />

extended Defects in Semiconductors (eDS<br />

<strong>2010</strong>), Brighton, September 19 - 24, <strong>2010</strong>, uK<br />

(3) Silicon Based Light Emitter Utilizing Tunnel<br />

Injection of Excess Carriers via MIS<br />

Structure<br />

t. Arguirov, Ch. Wenger, M. lukosius,<br />

t. Mchedlidze, M. Reiche, M. Kittler<br />

10 th International Workshop on Beam<br />

Injection Assessment of Microstructures in<br />

Semiconductors (BIAMS <strong>2010</strong>), Halle,<br />

July 04 - 08, <strong>2010</strong>, Germany<br />

(4) Eine neue Fehlertoleranzmethode zur<br />

Verringerung des Flächenaufwandes von<br />

TMR-Systemen<br />

M. Augustin, M. Gössel, R. Kraemer<br />

Zuverlässigkeit und entwurf,<br />

4. GMM / GI / ItG-Fachtagung, Wildbad Kreuth,<br />

September 13 - 15, <strong>2010</strong>, Germany<br />

(5) Reducing the Area Overhead of TMR-<br />

Systems by Protecting Specific Signals<br />

M. Augustin, M. Gössel, R. Kraemer<br />

Ieee International on-line test Symposium<br />

<strong>2010</strong> (IoltS <strong>2010</strong>), Corfu, July 05 - 07, <strong>2010</strong>,<br />

Greece<br />

22 A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(6) Mixed-Signal Techniques in mm-wave<br />

Range for 100 Gbit decision Feedback<br />

Equalizer<br />

A. Awny, A. thiede, M. elkhouly, F. Korndörfer,<br />

J. Borngräber, J.C. Scheytt<br />

Silicon Monolithic Integrated Circuits in RF<br />

Systems, SiRF <strong>2010</strong>, new orleans,<br />

January 11 - 13, <strong>2010</strong>, uSA<br />

(7) Speed / Power Performance of d-type<br />

Flip-Flops in a 0.13 µm SiGe:C HBT<br />

Technology demonstrated by a 86 GHz<br />

Static Frequency divider<br />

A. Awny, A. thiede, J. Borngräber,<br />

M. elkhouly, J.C. Scheytt<br />

5 th German Microwave Conference<br />

(GeMiC <strong>2010</strong>), Berlin, March 15 - 17, <strong>2010</strong>,<br />

Germany<br />

(8) design and Characterization of a V-Band<br />

Quadrature VCO Based on a Common-<br />

Collector SiGe Colpitts VCO<br />

A. Barghouti, A. Krause, C. Carta, F. ellinger,<br />

J.C. Scheytt<br />

Ieee Compound Semiconductor<br />

IC Symposium (CSICS <strong>2010</strong>), Monterey,<br />

october 03 - 06, <strong>2010</strong>, uSA<br />

(9) Electrical and Structural Characteristics of<br />

SrTaO / SrTiO based M-I-M Capacitors<br />

C. Baristiran Kaynak, M. lukosius, I. Costina,<br />

B. tillack, Ch. Wenger, G. Ruhl, t. Blomberg<br />

DpG-Frühjahrstagung <strong>2010</strong>, Regensburg,<br />

March 21 - 26, <strong>2010</strong>, Germany<br />

(10) Enhanced Leakage Current density of<br />

SrTiO-SrTaO Bilayer dielectrics for<br />

Metal-Insulator-Metal Capacitors<br />

C. Baristiran-Kaynak, M. lukosius, I. Costina,<br />

B. tillack, Ch. Wenger, G. Ruhl, t. Blomberg,<br />

e-MRS Spring Meeting, Strasbourg,<br />

June 07 - 11, <strong>2010</strong>, France


(11) Systemarchitektur intelligenter<br />

Sensorimplantate<br />

t. Basmer, p. Kulse, M. Birkholz<br />

BMt <strong>2010</strong>, Rostock, october 05 - 08, <strong>2010</strong>,<br />

Germany<br />

(12) deep-UV KrF Lithography for the<br />

Fabrication of Bragg Gratings on<br />

SOI Rib waveguids<br />

J. Bauer, D. Stolarek, l. Zimmermann,<br />

I. Giuntoni, u. Haak, H.H. Richter,<br />

St. Marschmeyer, A. Gajda, J. Bruns,<br />

K. petermann, B. tillack<br />

the 26 th european Mask and lithography<br />

Conference eMlC <strong>2010</strong>, Grenoble,<br />

January 18 - 20, <strong>2010</strong>, France<br />

(13) A Thin Film Approach to Protein<br />

Crystallography<br />

M. Birkholz<br />

Deutsche tagung für Forschung mit<br />

Synchrotronstrahlung, neutronen und<br />

Ionenstrahlen an Großgeräten (SnI<strong>2010</strong>),<br />

Berlin, February 24-26, <strong>2010</strong>, Germany<br />

(14) deformation of Electron density of Oxygen<br />

Ions in Pyrite-Type Compounds<br />

M. Birkholz, J. Dabrowski<br />

e-MRS Spring Meeting, Strasbourg,<br />

June 07 - 11, <strong>2010</strong>, France<br />

(15) Elastomechanical Constants of TiN Beams<br />

determined by Laser Vibrometry<br />

M. Birkholz, p. Kulse, K.-e. ehwald, M. Kaynak,<br />

J. Drews, M. Fröhlich, u. Haak, K. Schulz,<br />

D. Wolansky<br />

e-MRS Spring Meeting, Strasbourg,<br />

June 07 - 11, <strong>2010</strong>, France<br />

(16) Entwicklung eines Glucosesensors für<br />

diabetes-Patienten<br />

M. Birkholz<br />

Sommerakademie des pauluskreises,<br />

Frankfurt (oder), August 23, <strong>2010</strong>, Germany<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(17) Quantitative determination of Fiber<br />

Texture Gradients in Thin ZnO:Al Films<br />

M. Birkholz<br />

Deutsche tagung für Forschung mit Synchrotronstrahlung,<br />

neutronen und Ionenstrahlen<br />

an Großgeräten (SnI<strong>2010</strong>), Berlin,<br />

February 24-26, <strong>2010</strong>, Germany<br />

(18) Structural Characterisation of 2d Protein<br />

Crystals on Semiconductors by<br />

Grazing-Indence diffraction<br />

M. Birkholz, I. Zizak, n. Darowski, I. Wallat,<br />

H. otto, M.p. Heyn<br />

Deutsche tagung für Forschung mit<br />

Synchrotronstrahlung, neutronen und<br />

Ionenstrahlen an Großgeräten (SnI <strong>2010</strong>),<br />

Berlin, February 24 - 26, <strong>2010</strong>, Germany<br />

(19) ALd Grown NbTaOx Based MIM Capacitors<br />

t. Blomberg, Ch. Wenger, C. Baristiran Kaynak,<br />

G. Ruhl, p. Baumann<br />

36 th International Conference on Micro and<br />

and nano engineering (Mne <strong>2010</strong>), Genua,<br />

September 19 - 22, <strong>2010</strong>, Italy<br />

(20) Modular Planar waveguide Technologies for<br />

Grating Fabrication in Silicon-on-Insulator<br />

(SOI)<br />

J. Bruns, l. Zimmermann, I. Giuntoni,<br />

B. Wohlfeil, D. Stolarek, J. Bauer, B. tillack,<br />

K. petermann<br />

6 th Joint Symposium on opto- & Microelectronic<br />

Devices and Circuits (SoDC <strong>2010</strong>),<br />

Berlin, october 05, <strong>2010</strong>, Germany<br />

(21) ILA: Idle Listening Avoidance in Scheduled<br />

wireless Sensor Networks<br />

M. Brzozowski, H. Salomon, p. langendörfer<br />

8 th International Conference on Wired /<br />

Wireless Internet Communications, (WWIC<br />

<strong>2010</strong>), lulea, June 01 - 03, <strong>2010</strong>, Sweden<br />

A n n u A l R e p o R t 2 0 0<br />

2


(22) Limiting End-to-End delays in Long-Lasting<br />

Sensor Networks<br />

M. Brzozowski, H. Salomon, p. langendörfer<br />

8 th ACM International Symposium on Mobility<br />

Management and Wireless Access (MobiWac<br />

<strong>2010</strong>), Bodrum, october 17 - 21, <strong>2010</strong>, turkey<br />

(23) On Efficient Clock drift Prediction Means<br />

and their Applicability to IEEE 802.15.4<br />

M. Brzozowski, H. Salomon, p. langendörfer<br />

8 th Ieee / IFIp International Conference on<br />

embedded and ubiquitous Computing<br />

(euC-10), Hong Kong, December 11 - 13,<br />

<strong>2010</strong>, China<br />

(24) Collaboration between 2.4 / 5 and 60 GHz<br />

l. Cariou, p. Christin, S. Roblot, t. Derham,<br />

I. toyoda, Y. Asai, K. Ishihara, t. Ichikawa,<br />

Y. Morioka, t. Booth, C. Choi, e. Grass,<br />

p. Chamberlin<br />

Ieee 802.11 Meeting, Beijing,<br />

May 15 - 20, <strong>2010</strong>, China<br />

(25) Fast Session Transfer<br />

l. Cariou, p. Christin, S. Roblot, t. Derham,<br />

I. toyoda, Y. Asai, K. Ishihara, t. Ichikawa,<br />

Y. Morioka, t. Booth, C. Choi, e. Grass,<br />

p. Chamberlin<br />

Ieee 802.11 Meeting, Beijing,<br />

May 15 - 20, <strong>2010</strong>, China<br />

(26) Heavy P Atomic-Layer doping between Si<br />

and Si0.3Ge0.7(100) by Ultraclean Low<br />

Pressure CVd<br />

Y. Chiba, M. Sakuraba, B. tillack, J. Murota<br />

5 th International Workshop on new Group<br />

IV Semiconductor nanoelectronics, Sendai,<br />

January 28 - 30, <strong>2010</strong>, Japan<br />

(27) Influence of Strain on P Atomic-Layer<br />

doping Characteristics in Strained<br />

Si 0.3 Ge 0.7 / Si(100) Heterostructures<br />

Y. Chiba, M. Sakuraba, B. tillack, J. Murota<br />

the International SiGe technology and Device<br />

Meeting (IStDM <strong>2010</strong>), Stockholm,<br />

May 24 - 26, <strong>2010</strong>, Sweden<br />

2 A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(28) 60 GHz OFdM Systems for Multi-Gigabit<br />

wireless LAN Applications<br />

Ch.-S. Choi, e. Grass, M. piz, M. ehrig,<br />

R. Kraemer, J.C. Scheytt<br />

Ieee Consumer Communications and<br />

networking Conference, CCnC <strong>2010</strong>, las Vegas,<br />

January 09 - 12, <strong>2010</strong>, uSA<br />

(29) 60-GHz Adaptive Beamforming Receiver<br />

Arrays for Interference Mitigation<br />

Ch.-S. Choi, M. elkhouly, e. Grass, J.C. Scheytt<br />

Ieee International Symposium on personal,<br />

Indoor and Mobile Radio Communications<br />

(pIMRC <strong>2010</strong>), Istanbul, September 26 - 30,<br />

<strong>2010</strong>, turkey<br />

(30) Beamforming Training for IEEE 802.11ad<br />

Ch.-S. Choi, e. Grass, R. Kraemer, t. Derham,<br />

S. Roblot, l. Cariou, p. Christin<br />

Ieee 802.11 Meeting, Beijing, May 15 - 20,<br />

<strong>2010</strong>, China<br />

(31) Gbps OFdM wireless LAN Systems with<br />

60-GHz Silicon Radios<br />

Ch.-S. Choi, e. Grass, J.C. Scheytt<br />

Ieee Global Symposium on Millimeter Waves<br />

(GSMM), Incheon, April 14-16, <strong>2010</strong>, Korea<br />

(32) Einblicke in eine unsichtbare welt –<br />

Atome ganz groß<br />

I. Costina<br />

Schüler-Campus <strong>2010</strong>, Btu Cottbus,<br />

March 11, <strong>2010</strong>, Germany<br />

(33) Scheduled Spatial Reuse with Collaborative<br />

Beamforming<br />

t. Derham, S. Roblot, l. Cariou, p. Christin,<br />

C. Choi, e. Grass, R. Kraemer<br />

Ieee 802.11ad Meeting, Beijing,<br />

May 15 - 20, <strong>2010</strong>, China


(34) Radiation Studies of Power LdMOS devices<br />

for High Energy Physics Applications<br />

S. Diez, M. ullán, M. Ruat, p. Fernández-<br />

Martinez, A. Villamor, G. pellegrini, M. lozano,<br />

R. Sorge, D. Knoll<br />

Ieee nuclear and Space Radiation effects<br />

Conference, Denver, July 19 - 23, <strong>2010</strong>, uSA<br />

(35) Radiation-Hard Power LdMOS devices for<br />

dC-dC Conversion in the ATLAS Upgrade<br />

Experiment<br />

S. Diez, M. ullán, p. Fernández-Martinez,<br />

I. Cortes, S. Hidalgo, D. Flores, G. pellegrini,<br />

M. lozano, R. Sorge, D. Knoll<br />

topical Workshop on electronics for particle<br />

physics, Aachen, September 20 - 24, <strong>2010</strong>,<br />

Germany<br />

(36) High-speed Comparators for SAR AdCs in<br />

130 nm BiCMOS<br />

J. Digel, M. Groezing, M. Berroth, H. Gustat,<br />

J.C. Scheytt<br />

6 th Conference on ph.D. Research in<br />

<strong>Microelectronics</strong> and electronics (pRIMe<br />

<strong>2010</strong>), Berlin, Juyl 18 - 21, <strong>2010</strong>, Germany<br />

(37) Atomic Scale Engineering of Future High-k<br />

dRAM dielectrics: The Example of Partial<br />

Hf Substitution by Ti in BaHfO3<br />

p. Dudek, G. lupina, p. Zaumseil,<br />

D. Schmeißer, t. Schroeder<br />

16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), Bratislava,<br />

June 28 - 30, <strong>2010</strong>, Slovakia<br />

(38) Band Structure and Electrical Properties of<br />

<strong>MB</strong>E Grown HfO2-based Alkaline Earth<br />

Perovskites<br />

p. Dudek, G. lupina, G. Kozlowski,<br />

J. Dabrowski, G. lippert, H.-J. Müssig,<br />

D. Schmeißer, t. Schroeder<br />

DpG-Frühjahrstagung <strong>2010</strong>, Regensburg,<br />

March 21 - 26, <strong>2010</strong>, Germany<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(39) Basic Investigation of HfO 2 based<br />

Metal-Insulator-Metal (MIM) diodes<br />

p. Dudek, M. lukosius, R. Schmidt, Ch. Wenger,<br />

S. Stanionyte, A. Abrutis, M. Albert, t. Roesler,<br />

K. Xu, A. Devi<br />

e-MRS Spring Meeting <strong>2010</strong>, Strasbourg,<br />

June 07 - 11, <strong>2010</strong>, France<br />

(40) Electronic Structure of <strong>MB</strong>E Grown<br />

HfO 2 -based Alkaline Earth Perovskites<br />

p. Dudek, G. lupina, G. Kozlowski,<br />

J. Dabrowski, G. lippert, H.-J. Müssig,<br />

D. Schmeißer, t. Schroeder<br />

International Workshop and Winter School:<br />

photoemission, Dijon, February 21 - 27, <strong>2010</strong>,<br />

France<br />

(41) A High Output P1dB 60-GHz<br />

up-Conversation Image Rejection Mixer<br />

in 0.25 µm SiGe Technology<br />

M. elkhouly, S. Glisic, J.C. Scheytt<br />

Silicon Monolithic Integrated Circuits in<br />

RF Systems (SiRF <strong>2010</strong>), new orleans,<br />

January 11 - 13, <strong>2010</strong>, uSA<br />

(42) Beamforming Circuits for 60 GHz<br />

Transceivers<br />

M. elkhouly<br />

7 th Workshop on Analogue Integrated Circuit<br />

Design, Dresden, January 29, <strong>2010</strong>, Germany<br />

(43) Millimeter-wave Beamforming Circuits<br />

M. elkhouly<br />

Workshop Analogschaltungen, university of<br />

ulm, March 11, <strong>2010</strong>, Germany<br />

(44) Millimeter-wave Beamforming Circuits<br />

in SiGe BiCMOS<br />

M. elkhouly, Ch.-S. Choi, S. Glisic, J.C. Scheytt,<br />

F. ellinger<br />

Ieee Bipolar / BiCMoS Circuits and technology<br />

Meeting (BCtM <strong>2010</strong>), Austin,<br />

october 04 - 06, <strong>2010</strong>, uSA<br />

A n n u A l R e p o R t 2 0 0<br />

2


(45) Baseband Processor for RF-MIMO wLAN<br />

V. elvira, J. Ibanez, I. Santamaria, M. Krstic,<br />

K. tittelbach-Helmrich, Z. Stamenkovic<br />

17 th International Conference on electronics,<br />

Circuits and Systems, (ICeCS <strong>2010</strong>), Athens,<br />

December 12 - 15, <strong>2010</strong>, Greece<br />

(46) LEON2 Processor with High-Speed USB<br />

Port: A System-On-Chip for wireless<br />

Applications<br />

M. eric, G. panic, Z. Stamenkovic<br />

27 th International Conference on<br />

<strong>Microelectronics</strong>, nis, May 16 - 19, <strong>2010</strong>,<br />

Serbia<br />

(47) A GALS FFT Processor with Clock<br />

Modulation for Low-EMI Applications<br />

X. Fan, M. Krstic, e. Grass, Ch. Wolf<br />

21 st Ieee International Conference on<br />

Application-specific Systems, Architectures<br />

and processors, Rennes, July 07 - 09, <strong>2010</strong>,<br />

France<br />

(48) An Impulse Radio UwB Transceiver with<br />

High-Precision TOA Measurement Unit<br />

G. Fischer, o. Klymenko, D. Martynenko,<br />

H. luediger<br />

<strong>2010</strong> International Conference on Indoor<br />

positioning and Indoor navigation, Zurich,<br />

September 16, <strong>2010</strong>, Switzerland<br />

(49) UwB Chipsatz für hochgenaue<br />

Indoor-Lokalisierung<br />

G. Fischer<br />

Workshop lokalisierung im Kontext<br />

Autonome Vernetzte Sensorsysteme, Berlin,<br />

May 05, <strong>2010</strong>, Germany<br />

(50) VBIC Models for High-Speed and<br />

High-Voltage HBTs in <strong>IHP</strong>‘s 0.13 µm<br />

BiCMOS Technology<br />

G.G. Fischer<br />

AK Bipolar, St <strong>Microelectronics</strong>, Crolles,<br />

october 15, <strong>2010</strong>, France<br />

26 A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(51) A Low-Noise 8-12 GHz Fractional-N PLL<br />

in SiGe BiCMOS Technology<br />

R. Follmann, D. Köther, F. Herzel, F. Winkler,<br />

H.-V. Heyer<br />

5 th european Microwave Integrated Circuits<br />

Conference, paris, September 26 - october 01,<br />

<strong>2010</strong>, France<br />

(52) PECVd Synthesis of Silicon Nanowires<br />

Assisted by Templates of Gallium Islands<br />

A. Gewalt, B. Kalkofen, M. lisker, e.p. Burte<br />

<strong>2010</strong> MRS Spring Meeting, San Francisco,<br />

April 05 - 09, <strong>2010</strong>, uSA<br />

(53) Integrated drop-Filter for dispersion<br />

Compensation based on SOI Rib<br />

waveguides<br />

I. Giuntoni, D. Stolarek, A. Gajda, G. Winzer,<br />

J. Bruns, B. tillack, K. petermann,<br />

l. Zimmermann<br />

optical Fiber Communications Conference<br />

(oFC), San Diego, March 21 - 25, <strong>2010</strong>, uSA<br />

(54) Ge Heteroepitaxy on Si via Pr Oxide Buffers<br />

A. Giussani, p. Zaumseil, M.A. Schubert,<br />

R. Kurps, t. Schroeder<br />

DFG oxide project - Kick-off-Meeting,<br />

university osnabrück, February 10, <strong>2010</strong>,<br />

Germany<br />

(55) Ge(111) / Cubic Pr 2 O 3 / Si(111)<br />

Heterostructures: A Structural,<br />

Morphological and Analytical<br />

Characterization<br />

A. Giussani, p. Zaumseil, G. Weidner,<br />

M.A. Schubert, I. Costina, t. Schroeder<br />

SnI <strong>2010</strong> - Deutsche tagung für Forschung<br />

mit Synchrotronstrahlung, neutronen und<br />

Ionenstrahlen an Großgeräten, Berlin,<br />

February 24 - 26, <strong>2010</strong>, Germany


(56) Rare Earth Oxide Buffer Engineering for the<br />

Integration of Germanium and Silicon Thin<br />

Film Substrates onto the Si Platform<br />

A. Giussani<br />

16 th International Conference on Molecular<br />

Beam epitaxy, Berlin, August 22 - 27, <strong>2010</strong>,<br />

Germany<br />

(57) y 2 O 3 / Sc 2 O 3 -bi-Layer Heterostructures as<br />

Epitaxial Buffers for the Integration of<br />

GaN on Si<br />

A. Giussani, l. tarnawska, p. Zaumseil,<br />

M.A. Schubert, p. Storck, t. Schroeder<br />

e-MRS Spring Meeting, Strasbourg,<br />

June 07 - 10, <strong>2010</strong>, France<br />

(58) A Fully Integrated 60 GHz Transmitter<br />

Front-End in SiGe BiCMOS Technology<br />

S. Glisic, K. Schmalz, F. Herzel, R. Wang,<br />

M. elkhouly, Y. Sun, J.C. Scheytt<br />

Radiotech, Berlin, november 24, <strong>2010</strong>,<br />

Germany<br />

(59) 6 GHz Medium Voltage LdMOS Power<br />

Amplifier Based on Load / Source Pull<br />

Characterization<br />

D. Gruner, R. Sorge, A.Z. Markos, o. Bengtsson,<br />

G. Boeck<br />

5th German Microwave Conference (GeMiC),<br />

Berlin, March 15 - 17, <strong>2010</strong>, Germany<br />

(60) A 1 w Si-LdMOS Power Amplifier with 40%<br />

drain Efficiency for 6 GHz wLAN<br />

Applications<br />

D. Gruner, R. Sorge, o. Bengtsson, A.Z. Markos,<br />

G. Boeck<br />

Ieee International Microwave Symposium<br />

(IMS <strong>2010</strong>), Anaheim, May 23 - 28, <strong>2010</strong>, uSA<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(61) CMOS Compatible Medium Voltage LdMOS<br />

Transistors for wireless Application up to<br />

5.8 GHz<br />

D. Gruner, R. Sorge, o. Bengtsson, A.Z. Markos,<br />

G. Boeck<br />

european Microwave Integrated Circuits Conference<br />

<strong>2010</strong>, paris,<br />

September 27 - 28, <strong>2010</strong>, France<br />

(62) A SiGe H-Bridge Switching Amplifier for<br />

Class-S Amplifiers with Clock Frequencies<br />

up to 6 GHz<br />

St. Heck, A. Bräckle, M. Schmidt, F. Schuller,<br />

M. Grözing, M. Berroth, H. Gustat, J.C. Scheytt<br />

5 th German Microwave Conference (GeMiC<br />

<strong>2010</strong>), Berlin, March 15 - 17, <strong>2010</strong>, Germany<br />

(63) A Switching-Mode Amplifier for Class-S<br />

Transmitters for Clock Frequencies up to<br />

7.5 GHz in 0.25 µm SiGe-BiCMOS<br />

St. Heck, M. Schmidt, A. Bräckle, F. Schuller,<br />

M. Grözing, M. Berroth, H. Gustat, J.C. Scheytt<br />

Ieee Radio Frequency Integrated Circuits<br />

Symposium (RFIC <strong>2010</strong>), Anaheim,<br />

May 23 - 25, <strong>2010</strong>, uSA<br />

(64) SiGe HBT Technology with f T / f max of<br />

300 GHz / 500 GHz and 2.0 ps CML Gate delay<br />

B. Heinemann, R. Barth, D. Bolze, J. Drews,<br />

G.G. Fischer, A. Fox, o. Fursenko,<br />

t. Grabolla, u. Haak, D. Knoll, R. Kurps,<br />

M. lisker, S. Marschmeyer, H. Rücker,<br />

D. Schmidt, J. Schmidt, M.A. Schubert,<br />

B. tillack, C. Wipf, D. Wolansky, Y. Yamamoto<br />

International electron Devices Meeting,<br />

(IeDM <strong>2010</strong>), San Francisco,<br />

December 06 - 08, <strong>2010</strong>, uSA<br />

(65) An Efficient Algorithm for Phase Error<br />

Minimization in Phase-locked Loops<br />

F. Herzel<br />

7 th Ieee International Conference in Signals<br />

and electronic Systems (ICSeS <strong>2010</strong>), Gliwice,<br />

September 07 - 10, <strong>2010</strong>, poland<br />

A n n u A l R e p o R t 2 0 0<br />

27


(66) Local Oscillator Radiation Test Results of<br />

the SiGe Technology SGB25 TM1TM2 of <strong>IHP</strong><br />

H.-V. Heyer, W. Moldenhauer, R. Follmann,<br />

D. Köther, t. podrebersek, F. Herzel,<br />

J.C. Scheytt, M. poizat, p. piironen<br />

3 rd International Workshop on Analogue and<br />

Mixed Signal Integrated Circuits for Space<br />

Applications (AMICSA <strong>2010</strong>), eSteC,<br />

noordwijk, September 05 - 07, <strong>2010</strong>,<br />

the netherlands<br />

(67) Point-of-Load (POL) Converter<br />

H.-V. Heyer, J.C. Scheytt<br />

Anwenderplattform, Bremen,<br />

June 23 - 24, <strong>2010</strong>, Germany<br />

(68) SiGe Single Chip Fractional-N Local<br />

Oscillator for Telecommunication Satellite<br />

Applications<br />

H.-V. Heyer, R. Follmann, D. Köther, F. Herzel,<br />

F. Winkler, H. Janson, R. petersson,<br />

B.-M. Folio, C. Miquel, p. piironen<br />

Microwave technology and techniques<br />

Workshop, eSteC, noordwijk, May 10, <strong>2010</strong>,<br />

the netherlands<br />

(69) Oxygen Engineering of Hafnium Oxide Thin<br />

Films Grown by Reactive Molecular Beam<br />

Epitaxy (R-<strong>MB</strong>E)<br />

e. Hildebrandt, J. Kurian, I. Costina,<br />

t. Schroeder, l. Alff<br />

16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), Bratislava,<br />

June 28 - 30, <strong>2010</strong>, Slovakia<br />

(70) Effect of Heavy Carbon Atomic-Layer<br />

doping upon Intermixing and Strain<br />

Si 1-x Ge x / Si(100) Heterointerface<br />

t. Hirano, M. Sakuraba, B. tillack, J. Murota<br />

5 th International Workshop on new Group<br />

IV Semiconductor nanoelectronics, Sendai,<br />

January 28 - 30, <strong>2010</strong>, Japan<br />

28 A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(71) A Comparison of npn vs. pnp SiGe HBT<br />

Oscillator Phase Noise Performance in a<br />

Complementary SiGe Platform<br />

St. J. Horst, p. Chakraborty, p. Saha,<br />

J.D. Cressler, H. Gustat, B. Heinemann,<br />

G.G. Fischer, D. Knoll, B. tillack<br />

<strong>2010</strong> Bipolar / BiCMoS Circuits and<br />

technology Meeting, (BCtM <strong>2010</strong>), Austin,<br />

october 04 - 06, <strong>2010</strong>, uSA<br />

(72) Fully Integrated 9 GHz CMOS VCO with<br />

Very Low Phase Noise<br />

K. Hu, F. Herzel, J.C. Scheytt<br />

Ieee International Symposium on Circuits and<br />

Systems (ISCAS <strong>2010</strong>), paris,<br />

May 30 - June 02, <strong>2010</strong>, France<br />

(73) Evaluation and Optimisation of Robustness<br />

in the IEEE 802.15.4a Standard<br />

J. Hund, S. olonbayar, R. Kraemer,<br />

Ch. Schwingenschlögel<br />

<strong>2010</strong> Ieee International Conference on<br />

ultra-Wideband (ICuWB <strong>2010</strong>), nanjing,<br />

September 20 - 23, <strong>2010</strong>, China<br />

(74) dielectric Bd in Polycrystalline HfO 2 Gate<br />

dielectrics Investigated with CAFM<br />

V. Iglesias, M. porti, M. nafria, X. Aymerich,<br />

p. Dudek, G. Bersuker<br />

16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), Bratislava,<br />

June 28 - 30, <strong>2010</strong>, Slovakia<br />

(75) Creation of a Radiation Hard 0.13 Micron<br />

CMOS Library at <strong>IHP</strong><br />

u. Jagdhold<br />

DAta Systems In Aerospace (DASIA <strong>2010</strong>),<br />

Budapest, June 01 - 04, <strong>2010</strong>, Hungary


(76) Extrinsic Effects of Indirect Radiative<br />

Transition of Ge<br />

S.R. Jan, C.-H. lee, t.-H. Cheng, Y.Y. Chen,<br />

K.-l. peng, S.-t. Chan, C.W. liu, Y. Yamamoto,<br />

B. tillack<br />

218 th electrochemical Society Meeting, SiGe,<br />

Ge and Related Compounds, processing and<br />

Devices Symposium, las Vegas,<br />

october 10 - 15, <strong>2010</strong>, uSA<br />

(77) Anwendung akustischer Sensoren<br />

für die Bioanalytik<br />

u. Kaletta<br />

Vorstellung der biomedizinischen Aktivitäten<br />

des IHp‘s an der tH Wildau, April 15, <strong>2010</strong>,<br />

Germany<br />

(78) Entwicklung eines AIN-basierten<br />

Oberflächenwellensensors für die<br />

Bioanalytik<br />

u. Kaletta, Ch. Wenger<br />

15. Heiligenstädter Kolloquium – technische<br />

Systeme für die lebenswissenschaften,<br />

September 27 - 29, <strong>2010</strong>, Germany<br />

(79) Room Temperature direct Band Gap<br />

Emission from Ge p-i-n Heterojunction<br />

Photodiodes<br />

e. Kasper, M. oehme, t. Arguirov, J. Werner,<br />

M. Kittler, J. Schulze<br />

7th International Conference on Group IV<br />

photonics, Beijing, September 01 - 03, <strong>2010</strong>,<br />

China<br />

(80) N Atomic-Layer doping in Si / Si 1-x Ge x / Si<br />

(100) Heterostructure Growth by<br />

Low-Pressure CVd<br />

t. Kawashima, M. Sakuraba, B. tillack,<br />

J. Murota<br />

5 th International Workshop on new Group<br />

IV Semiconductor nanoelectronics, Sendai,<br />

January, 28 - 30, <strong>2010</strong>, Japan<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(81) BiCMOS Embedded MEMS Technology<br />

M. Kaynak<br />

european Microwave Week, paris,<br />

September 28 - 30, <strong>2010</strong>, France<br />

(82) BiCMOS Embedded RF-MEMS Switch for<br />

Above 90 GHz Applications Using Backside<br />

Integration Techniques<br />

M. Kaynak, M. Wietstruck, R. Scholz, J. Drews,<br />

R. Barth, K.-e. ehwald, A. Fox, u. Haak,<br />

D. Knoll, F. Korndörfer, S. Marschmeyer,<br />

K. Schulz, C. Wipf, D. Wolansky, B. tillack,<br />

K. Zoschke, t. Fischer, Y.S. Kim, J.S. Kim,<br />

W.-G. lee, J.W. Kim<br />

International electron Devices Meeting,<br />

(IeDM <strong>2010</strong>), San Francisco,<br />

December 06 - 08, <strong>2010</strong>, uSA<br />

(83) Characterization of an Embedded<br />

RF-MEMS Switch<br />

M. Kaynak, K.-e. ehwald, R. Scholz,<br />

F. Korndörfer, C. Wipf, Y. Sun, B. tillack,<br />

S. Zihir, Y. Gurbuz<br />

10 th topical Meeting on Silicon Integrated<br />

Circuits in RF Systems (SiRF <strong>2010</strong>),<br />

new orleans, January 13, <strong>2010</strong>, uSA<br />

(84) Embedded MEMS Modules for BiCMOS<br />

Process<br />

M. Kaynak, K.-e. ehwald, J. Drews, R. Scholz, F.<br />

Korndörfer, C. Wipf, D. Knoll, R. Barth,<br />

M. Birkholz, K. Schulz, D. Wolansky, B. tillack<br />

5 th German Microwave Conference (GeMiC<br />

<strong>2010</strong>), Berlin, March 15 - 17, <strong>2010</strong>, Germany<br />

(85) A 6 Bit Linear Binary RF dAC in 0.25 µm<br />

BiCMOS for Communication Systems<br />

M. Khafaji, H. Gustat, J.-C. Scheytt<br />

International Microwave Symposium<br />

(IMS <strong>2010</strong>), Anaheim, May 23 - 28, <strong>2010</strong>, uSA<br />

A n n u A l R e p o R t 2 0 0<br />

29


(86) Electronic Components in SiGe BiCMOS<br />

Technology for Spectrally Efficient<br />

Fiber-Optic Links<br />

M. Khafaji<br />

7 th Workshop on Analogue Integrated Circuit<br />

Design, Dresden, January 29, <strong>2010</strong>, Germany<br />

(87) Monotonic above 20 GSps Binary dAC in<br />

0.25 µm SiGe BiCMOS<br />

M. Khafaji, H. Gustat, J.C. Scheytt<br />

6. Joint Symposium on opto- and<br />

Microelectronic Devices and Circuits (SoDC<br />

<strong>2010</strong>), Berlin, october 04 - 07, <strong>2010</strong>, Germany<br />

(88) directional Solidification of Solar-Grade<br />

Silicon under Traveling Magnetic Fields<br />

F.-M. Kiessling, n. Dropka, A. lüdge,<br />

R. Fornari, Ch.Frank-Rotsch, u. Juda, p. lange,<br />

M. naumann, u. Rehse, o. Root, F. Büllesfeld,<br />

M. Müller, V.D. Akhmetov, W. Seifert,<br />

M. Kittler, p. Rudolph<br />

e-MRS Fall Meeting, Symposium C, Warsaw,<br />

September 14, <strong>2010</strong>, poland<br />

(89) directional Solidification of Solar-Grade<br />

Silicon under Traveling Magnetic Fields<br />

F.-M. Kiessling, n. Dropka, A. lüdge,<br />

R. Fornari, Ch.Frank-Rotsch, u. Juda, p. lange,<br />

M. naumann, u. Rehse, o. Root, F. Büllesfeld,<br />

M. Müller, V.D. Akhmetov, W. Seifert,<br />

M. Kittler, p. Rudolph<br />

16 th International Conference Crystal Growth,<br />

Beijing, August 08 - 13, <strong>2010</strong>, China<br />

(90) Getter Effects in Low Oxygen and High<br />

Oxygen Czochralski Silicon wafers<br />

G. Kissinger, D. Kot, W. Häckl<br />

218 th electrochemical Society Meeting,<br />

High purity Silicon XI, las Vegas,<br />

october 10 - 15, <strong>2010</strong>, uSA<br />

(91) Modeling the Early Stages of Oxygen<br />

Agglomeration<br />

G. Kissinger, J. Dabrowski, D. Kot,<br />

V.D. Akhmetov, A. Sattler, W. von Ammon CStIC<br />

<strong>2010</strong>, Shanghai, March 16 - 18, <strong>2010</strong>, China<br />

0 A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(92) Photoluminescence Study of Ge Containing<br />

Crystal defects<br />

M. Kittler, t. Arguirov, M. oehme<br />

10 th International Workshop on Beam<br />

Injection Assessment of Microstructures in<br />

Semiconductors (BIAMS <strong>2010</strong>), Halle,<br />

July 04 - 08, <strong>2010</strong>, Germany<br />

(93) Anomalous Temperature Behaviour of<br />

Electroluminescence at Solar Cells<br />

A. Klossek, t. Arguirov, t. Mchedlidze,<br />

M. Kittler<br />

e-MRS Spring Meeting, Strasbourg,<br />

June 06 - 11, <strong>2010</strong>, France<br />

(94) A 53-64-GHz SiGe Up-Conversion Mixer<br />

with 4-GHz-IF Bandwidth<br />

M. Ko, H. Rücker, W.-Y. Choi<br />

Silicon Monolithic Integrated Circuits in RF<br />

Systems, SiRF <strong>2010</strong>, new orleans,<br />

January 11 - 13, <strong>2010</strong>, uSA<br />

(95) On the dependence of the Thermal<br />

Resistance on Collector Properties<br />

of SiGe HBTs<br />

F. Korndörfer, C. Wipf<br />

Ieee Bipolar / BiCMoS Circuits and technology<br />

Meeting (BCtM <strong>2010</strong>), Austin,<br />

october 04 - 06, <strong>2010</strong>, uSA<br />

(96) Simulation and Measurement of Back Side<br />

Etched Inductors<br />

F. Korndörfer, M. Kaynak, V. Mühlhaus<br />

european Microwave Week, paris,<br />

September 26 - october 01, <strong>2010</strong>, France<br />

(97) Comparison of Cu Getter Efficiency for<br />

Silicon wafers Contaminated with Low and<br />

High Concentrations of Cu Atoms<br />

D. Kot, G. Kissinger, I. Costina, A. Sattler,<br />

t. Müller<br />

218 th electrochemical Society Meeting, High<br />

purity Silicon XI, las Vegas,<br />

october 10 - 15, <strong>2010</strong>, uSA


(98) The Influence of Elastic and Inelastic<br />

Processes on Trap Assisted Tunneling<br />

Through Thin dielectric Films<br />

G. Kozlowski, J. Dabrowski, p. Dudek,<br />

G. lippert, G. lupina<br />

DpG-Frühjahrstagung <strong>2010</strong>, Regensburg,<br />

March 21 - 26, <strong>2010</strong>, Germany<br />

(99) Challenges of wireless Ultra-High Speed<br />

Communications<br />

R. Kraemer<br />

DAAD-projektmeeting, Skopje,<br />

May 10 - 12, <strong>2010</strong>, Macedonia<br />

(100) RF-MIMO wLAN Modem demonstrator<br />

R. Kraemer, Z. Stamenkovic, K. tittelbach-<br />

Helmrich, l. Gonzalez, S. Ruiz, o. Gago,<br />

J. Ibanez, V. elvira, M. Wickert, R. eickhoff<br />

25 th Wireless World Research Forum Meeting,<br />

london, november, 16 - 18, <strong>2010</strong>, uK<br />

(101) Efficient Synchronization Method for<br />

IR-UwB 802.15.4a Non-Coherent Energy<br />

detection Receiver<br />

D. Kreiser, S. olonbayar<br />

Internet of things Symposium (IotS <strong>2010</strong>),<br />

Hangzhou, December 18 - 20, <strong>2010</strong>, China<br />

(102) Test einer Bluetooth-Funkstrecke für die<br />

Prozessautomatisierung<br />

J. Krimmling, St. peter, D. Schmidt, M. Mahlig<br />

SpS / IpC / Drives Kongress <strong>2010</strong>, nuremberg,<br />

november 23 - 25, <strong>2010</strong>, Germany<br />

(103) GALS design for Nanoscale digital Systems<br />

M. Krstic<br />

DAAD Workshop on embedded System Design,<br />

nis, July 05- 09, <strong>2010</strong>, Serbia<br />

(104) GALS Systems with Low-EMI Features<br />

M. Krstic<br />

DAAD Workshop on embedded System Design,<br />

nis, July 05- 09, <strong>2010</strong>, Serbia<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(105) Practical Aspects of VLSI design<br />

M. Krstic<br />

DAAD Summerschool, Sarajevo, August 30 -<br />

September 03, <strong>2010</strong>, Bosnia & Herzegovina<br />

(106) A 52-75 GHz Frequency Quadrupler<br />

in 0.25 µm SiGe BiCMOS Process<br />

n.-Ch. Kuo, Z.-M. tsai, K. Schmalz,<br />

J.C. Scheytt, H. Wang<br />

european Microwave Week (euMW <strong>2010</strong>),<br />

paris, September 26 - october 01, <strong>2010</strong>, France<br />

(107) direct Graphene Growth on Insulating<br />

weak Interacting Substrates<br />

G. lippert, o. Seifarth, J. Dabrowski,<br />

G. lupina, W. Mehr<br />

MRS Fall Meeting, Boston,<br />

november 29 - December 03, <strong>2010</strong>, uSA<br />

(108) Alternative High-k dielectrics for<br />

Metal-Insulator-Metal Applications<br />

M. lukosius, C. Baristiran Kaynak, Ch. Wenger<br />

electrochemical Society Meeting, las Vegas,<br />

october 10 - 15, <strong>2010</strong>, uSA<br />

(109) Atomic Vapour deposition of TiTaO for<br />

MIM Applications<br />

M. lukosius, C. Baristiran Kaynak, Ch. Wenger,<br />

G. Ruhl, S. Rushworth<br />

DpG-Frühjahrstagung <strong>2010</strong>, Regensburg,<br />

March 21 - 26, <strong>2010</strong>, Germany<br />

(110) Electrical Characteristics of TiTaO Based<br />

MIM Capacitors<br />

M. lukosius, Ch. Wenger, G. Ruhl, S. Rushwoth<br />

16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), Bratislava,<br />

June 28 - 30, <strong>2010</strong>, Slovakia<br />

(111) High Performance MIM Capacitors with<br />

Atomic Vapor deposited HfO2 dielectrics<br />

M. lukosius, M. Fraschke, G. Weidner,<br />

Ch. Wenger<br />

International Workshop and Winter School:<br />

photoemission, Dijon, February 21 - 27, <strong>2010</strong>,<br />

France<br />

A n n u A l R e p o R t 2 0 0


(112) Preparation and Characterization of High-k<br />

dielectric Films for Future dRAMs<br />

G. lupina, J. Dabrowski, G. Kozlowski,<br />

p. Dudek, G. lippert, H.-J. Müssig<br />

Abschlusstreffen MeGAepoS-projekt, Dresden,<br />

January 19, <strong>2010</strong>, Germany<br />

(113) directional Couplers from 30 to 140 GHz<br />

in Silicon<br />

B. lämmle, K. Schmalz, J.C. Scheytt,<br />

A. Koelpin, R. Weigel<br />

Asia pacific Microwave Conference (ApMC<br />

<strong>2010</strong>), Yokohama, December 07 - 10, <strong>2010</strong>,<br />

Japan<br />

(114) Future Trends of Integrated Millimeter<br />

wave Sixt-Port Receivers<br />

B. lämmle, K. Schmalz, J.C. Scheytt,<br />

A. Koelpin, R. Weigel<br />

6 th Joint Symposium on opto- & Microelectronic<br />

Devices and Circuits, (SoDC <strong>2010</strong>),<br />

Berlin, october 03-09, <strong>2010</strong>, Germany<br />

(115) Vertical Optical Interfacing of Silicon<br />

waveguides<br />

p. lützow, H. Heidrich, H.H. Richter,<br />

l. Zimmermann<br />

eCIo <strong>2010</strong>, 15th european Conference on<br />

Integrated optics, Cambridge,<br />

April 07 - 09, <strong>2010</strong>, uK<br />

(116) Corrosion Behaviors of Kevin-Contact<br />

during w-CMP<br />

H. Ma, D. Wolansky, H. Rücker, R. Barth,<br />

A. Wolff<br />

25 th CMp user Meeting, Dresden,<br />

october 22, <strong>2010</strong>, Germany<br />

(117) Automated Mapping of MAC Parameters<br />

into Generic QoS Parameters by Inter-MAC<br />

Adaptors<br />

M. Maaser, St. nowak, p. langendörfer<br />

Ieee International Symposium on personal,<br />

Indoor and Mobile Radio Communications<br />

(pIMRC <strong>2010</strong>), Istanbul,<br />

September, 26 - 30, <strong>2010</strong>, turkey<br />

2 A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(118) Remote Medical Treatment at Home Using<br />

the Java Mobile Sensor API<br />

M. Maaser, St. ortmann<br />

Ieee Globecom <strong>2010</strong>, 3 rd International<br />

Workshop on Smart Homes for tele-Health<br />

(Smarttel ‚10), Miami, December 06, <strong>2010</strong>, uSA<br />

(119) drain-Extended MOS Transistors Capable for<br />

Operating at 10 V and Radio Frequencies<br />

A. Mai, H. Rücker<br />

european Solid-State Device Research<br />

Conference (eSSDeRC), Sevilla,<br />

September 13 - 17, <strong>2010</strong>, Spain<br />

(120) Performance Evaluation of Channel Coding<br />

for Gbps 60-GHz OFdM-based wireless<br />

Communications<br />

M. Marinkovic, M. piz, Ch.-S. Choi, G. panic,<br />

M. ehrig, e. Grass<br />

Ieee International Symposium on personal,<br />

Indoor and Mobile Radio Communications<br />

(pIMRC <strong>2010</strong>), Istanbul,<br />

September 26 - 30, <strong>2010</strong>, turkey<br />

(121) X-Ray Scattering on Semiconductor<br />

Nanostructures: GaMnAs and Ge on Si (001)<br />

J. Matejova, V. Holy, p. Zaumseil, G. Kozlowski,<br />

t. Schroeder, l. Horak<br />

Week of Doctoral Students (WDS <strong>2010</strong>),<br />

prague, June 01 - 04, <strong>2010</strong>, Czechia<br />

(122) How Key Establishment in Medical Sensor<br />

Networks Benefits from Near Field<br />

Communication Technology<br />

o. Maye, St. peter<br />

the 1 st Ieee / ACM Internet of things<br />

Symposium (IotS <strong>2010</strong>), Hangzhou,<br />

December 18 - 20, <strong>2010</strong>, China<br />

(123) 3 rd Generation Photovoltaic Cells Based on<br />

Si / SiO 2 Multiple Quantum wells with<br />

Nanometer Thick Si Layers<br />

t. Mchedlidze, t. Arguirov, M. Kittler<br />

Workshop photovoltaik, Cottbus,<br />

September 24, <strong>2010</strong>, Germany


(124) Characterization of Crystalline Silicon on<br />

Glass Using Photoluminescence<br />

t. Mchedlidze, J. Schneider, t. Arguirov,<br />

M. Kittler<br />

10 th International Workshop on Beam<br />

Injection Assessment of Microstructures in<br />

Semiconductors (BIAMS <strong>2010</strong>), Halle,<br />

July 04 - 08, <strong>2010</strong>, Germany<br />

(125) Fast Solid Phase Crystallization of<br />

Nanometer Thick Silicon Layers by Light<br />

t. Mchedlidze, t. Arguirov, M. Ratzke,<br />

n. Acharya, M. Kittler<br />

nAno <strong>2010</strong>, Roma, September 12 - 17, <strong>2010</strong>,<br />

Italy<br />

(126) Structures Responsible for Radiative and<br />

Non-Radiative Recombination Activity of<br />

dislocations in Silicon<br />

t. Mchedlidze, t. Arguirov, o. Kononchuk,<br />

M. trushin, M. Reiche, M. Kittler<br />

e-MRS Spring Meeting <strong>2010</strong>, Strasbourg,<br />

June 07 - 10, <strong>2010</strong>, France<br />

(127) Third Generation Photovoltaic Cells Based<br />

on Si / SiO 2 Multiple Quantum wells with<br />

Nanometer Thick Si<br />

t. Mchedlidze, t. Arguirov, M. Kittler<br />

Workshop photovoltaik, Btu Cottbus,<br />

September 24, <strong>2010</strong>, Germany<br />

(128) Photonic Crystal Microacavities in SOI<br />

waveguides Produced in a CMOS<br />

Environment<br />

St. Meister, A. Al-Saadi, B. A. Franke, S. Mahdi,<br />

K. Voigt, B. Kuhlow, B. tillack, H.H. Richter,<br />

l. Zimmermann, V. Ksianzou, S.K. Schrader,<br />

H.-J. eichler<br />

SpIe photonics West opto, San Francisco,<br />

January 23 - 28, <strong>2010</strong>, uSA<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(129) MAC Protocol Requirements for wLANs<br />

with Beamforming<br />

e. Miletic, K. tittelbach-Helmrich<br />

18 th telecommunications Forum, (telFoR<br />

<strong>2010</strong>), Belgrade, november 23 - 25, <strong>2010</strong>,<br />

Serbia<br />

(130) Computer Centric Versus Network Centric<br />

Concepts<br />

S. Montenegro, B. Vogel, G. Schoof, V. petrovic<br />

Data Systems in Aerospace (DASIA <strong>2010</strong>),<br />

Budapest, June 01 - 04, <strong>2010</strong>, Hungary<br />

(131) Network Centric Systems for Space<br />

Applications<br />

S. Montenegro, V. petrovic, G. Schoof<br />

the 2 nd International Conference on<br />

Advances in Satellite and Space<br />

Communications (SpACoMM <strong>2010</strong>), Athens,<br />

June 13 - 19, <strong>2010</strong>, Greece<br />

(132) Spacecraft Area Network (Scan) for Plug<br />

and Play of devices<br />

S. Montenegro, B. Vogel, V. petrovic,<br />

G. Schoof, A. Herrholz, K. Gruettner<br />

pestana Conference Centre portugal <strong>2010</strong>,<br />

Funchal, May 31 - June 04, <strong>2010</strong>, portugal<br />

(133) Atomically Controlled Processing in<br />

Strained Si-Based CVd Epitaxial Growth<br />

J. Murota, M. Sakuraba, B. tillack<br />

International Conference on Solid State and<br />

Integrated-Circuit technology (ICSICt <strong>2010</strong>),<br />

Shanghai, november 01 - 04, <strong>2010</strong>, China<br />

(134) In-Situ Heavy B-doped Si Epitaxial Growth<br />

on Tensile-Strained Si (100) by Ultraclean<br />

Low-Pressure CVd using SiH 4 and B 2 H 6<br />

M. nagato, M. Sakuraba, J. Murota, B. tillack,<br />

Y. Inokuchi, Y. Kunii, H. Kurokawa<br />

the International SiGe technology and Device<br />

Meeting (IStDM <strong>2010</strong>), Stockholm,<br />

May 24 - 26, <strong>2010</strong>, Sweden<br />

A n n u A l R e p o R t 2 0 0


(135) wrapper design for a CdMA Bus in SOC<br />

t. nikolic, M. Stojcev, Z. Stamenkovic<br />

13 th Ieee International Symposium on Design<br />

and Diagnostics of electronic Circuits and<br />

Systems, Vienna, April 14 - 16, <strong>2010</strong>, Austria<br />

(136) Radio Localization in OFdM Networks<br />

using the ‚Round Trip Phase‘<br />

t. ohlemueller, F. Winkler, e. Grass<br />

7 th Workshop on positioning, navigation and<br />

Communication <strong>2010</strong> (WpnC <strong>2010</strong>), Dresden,<br />

March 11, <strong>2010</strong>, Germany<br />

(137) Automatic Gain Controlling in IR-UwB<br />

Communications designed for wireless<br />

Sensors<br />

S. olonbayar, G. Fischer, D. Kreiser, R. Kraemer<br />

<strong>2010</strong> Ieee International Conference on<br />

ultra-Wideband (ICuWB <strong>2010</strong>), nanjing,<br />

September 20 - 23, <strong>2010</strong>, China<br />

(138) Inferring Technical Constraints of a<br />

wireless Sensor Network Application from<br />

End-User Requirements<br />

F.J. oppermann, St. peter<br />

the 6 th International Conference on Mobile<br />

Ad-hoc and Sensor networks, (MSn <strong>2010</strong>),<br />

Hangzhou, December 20 - 22, <strong>2010</strong>, China<br />

(139) Reliable Event Configuration in<br />

Heterogeneous wireless Sensor Networks<br />

St. ortmann<br />

8 th Ieee International Conference on<br />

pervasive Computing and Communications<br />

(perComm <strong>2010</strong>), Mannheim,<br />

March 29 - April 02, <strong>2010</strong>, Germany<br />

(140) An Integrated Fractional-N Frequency<br />

Synthesizer for Software-defined Radio<br />

Applications<br />

S.A. osmany, F. Herzel, J.C. Scheytt<br />

10 th topical Meeting on Silicon Integrated<br />

Circuits in RF Systems (SiRF <strong>2010</strong>),<br />

new orleans, January 13, <strong>2010</strong>, uSA<br />

A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(141) A 10 Vpp SiGe Voltage driver<br />

p. ostrovskyy, H. Gustat, J.C. Scheytt,<br />

V. Stikanov<br />

20 th International Crimean Conference<br />

Microwave and telecommunication<br />

technology (CriMiCo <strong>2010</strong>), Sevastopol,<br />

September 13 - 17, <strong>2010</strong>, ukraine<br />

(142) Low Power Sensor Node Processor<br />

Architecture<br />

G. panic, t. Basmer, K. tittelbach-Helmrich,<br />

l. lopacinski<br />

17 th International Conference on electronics,<br />

Circuits and Systems, (ICeCS <strong>2010</strong>), Athens,<br />

December 12 - 15, <strong>2010</strong>, Greece<br />

(143) MATRIX-Middleware für die Realisierung<br />

Internet-basierter telemedizinischer<br />

dienste<br />

B. parandian, K. Dewitz, M. Schultz,<br />

Ch. Carius-Düssel, S.l. lau, I. König, K. David,<br />

M. Maaser, St. ortmann<br />

1. nationaler Fachkongress telemedizin,<br />

Berlin, november 03 - 05, <strong>2010</strong>, Germany<br />

(144) A Viterbi-based Non-Coherent dBPSKdemodulator<br />

with 1-Bit Quantization and<br />

digital differential decoding for AwGN<br />

Channels<br />

M. petri, M. piz, e. Grass<br />

Ieee International Symposium on personal,<br />

Indoor and Mobile Radio Communications<br />

(pIMRC <strong>2010</strong>), Istanbul,<br />

September 26 - 30, <strong>2010</strong>, turkey<br />

(145) design Flow Approach for Reliable Asic<br />

designs<br />

V. petrovic, G. Schoof<br />

the 7 th International new exploratory<br />

technologies Conference (neXt <strong>2010</strong>), turku,<br />

october 19 - 21, <strong>2010</strong>, Finland


(146) Body Area Network for First Responders –<br />

a Case Study<br />

K. piotrowski, A. Sojka, p. langendörfer<br />

the 5 th International Conference on Body<br />

Area networks (Bodynets <strong>2010</strong>), Corfu, September<br />

10 - 12, <strong>2010</strong>, Greece<br />

(147) Feuerwhere Body Area Network<br />

demonstrator<br />

K. piotrowski, A. Sojka, p. langendörfer<br />

the 16 th <strong>Annual</strong> International Conference on<br />

Mobile Computing and networking (MobiCom<br />

<strong>2010</strong>), Chicago, September 20 - 24, <strong>2010</strong>, uSA<br />

(148) Scanning Probe Studies of Amorphous<br />

Silicon Subjected to Laser Annealing<br />

M. Ratzke, t. Mchedlidze, t. Arguirov,<br />

n. Acharya, M. Kittler, J. Reif<br />

10 th International Workshop on Beam<br />

Injection Assessment of Microstructures in<br />

Semiconductors (BIAMS <strong>2010</strong>), Halle,<br />

July 04 - 08, <strong>2010</strong>, Germany<br />

(149) Properties of Interfacial dislocations in<br />

Hydrophobic Bonded Si-wafers<br />

M. Reiche, M. Kittler, A. Haehnel, t. Arguirov,<br />

t. Mchedlidze<br />

eCS Fall Meeting, las Vegas,<br />

ocotber 10 - 15, <strong>2010</strong>, uSA<br />

(150) Structure and Properties of dislocations in<br />

Interfaces of bonded Si wafers<br />

M. Reiche, M. Kittler, R. Scholz, A. Haehnel,<br />

t. Arguirov<br />

extended Defects in Semiconductors (eDS<br />

<strong>2010</strong>), Brighton, September 19 - 24, <strong>2010</strong>, uK<br />

(151) Activated Pulsed Metalorganic Chemical<br />

Vapor deposition of Ge2Sb2Te2 Thin Films<br />

Using Alkyl Precursors<br />

D. Reso, M. Silinskas, B. Kalkofen, M. lisker,<br />

e.p. Burte<br />

MRS Spring Meeting, San Francisco,<br />

April 05 - 09, <strong>2010</strong>, uSA<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(152) Hot wire Chemical Vapor deposition of<br />

Ge 2 Sb 2 Te 5 Thin Films<br />

D. Reso, M. Silinskas, B. Kalkofen, M. lisker,<br />

e.p. Burte<br />

217 th electrochemcial Society Meeting (eCS),<br />

Vancouver, April 25 - 30, <strong>2010</strong>, Canada<br />

(153) Fabrication of Bragg Gratings for<br />

Silicon-on-Insulator waveguides<br />

H.H. Richter, D. Stolarek, l. Zimmermann,<br />

J. Bauer, St. Marschmeyer, I. Giuntoni,<br />

A. Gajda, B. tillack<br />

DpG Frühjahrstagung der Sektion AMop,<br />

Hasnover, March 08 - 12, <strong>2010</strong>, Germany<br />

(154) Large Scale <strong>MB</strong>E: Routes Towards III-V<br />

Based devices on Production Size Silicon<br />

wafers<br />

M. Richter, D.J. Webb, C. Gerl, M. Bjoerk,<br />

H. Schmid, C. Rossel, M. Sousa, C. Marchiori,<br />

S. Abel, C. Andersson, D. Caimi, H. Siegwart, t.<br />

topuria, l.e. Krupp, p. Rice, t. Schroeder,<br />

A. Giussani, p. Zaumseil, J. Fompeyrine<br />

16 th International Conference on Molecular<br />

Beam epitaxy, Berlin, August 22 - 27, <strong>2010</strong>,<br />

Germany<br />

(155) Research on Efficiency-Limiting defects<br />

and defect Engineering in Silicon Solar<br />

Cells – Results of the German Research<br />

Cluster Solar Focus<br />

S. Riepe, I. Reis, W. Kwapil, W. Koch, J. Schön,<br />

H. Behnken, J. Bauer, D. Kreßner-Kiel,<br />

W. Seifert, M. Seibt<br />

e-MRS Spring Meeting, Strasbourg,<br />

June 07 - 11, <strong>2010</strong>, France<br />

(156) Phonon Confinement for High-Efficiency<br />

Integrated Acoustiv wave devices on Silicon<br />

p. Santos, S. Rauwerdink, K. Biermann,<br />

B. Drescher, W. Seidel, Ch. Wenger, u. Kaletta,<br />

M. Fraschke, D. Wolansky, M. Kaynak<br />

3 rd International Summer School Son et<br />

lumiere: phononics and photonics at<br />

nanoscale, Korsika, August 31 - September 11,<br />

<strong>2010</strong>, France<br />

A n n u A l R e p o R t 2 0 0


(157) Frequency doublers at Millimeter wave<br />

Frequencies<br />

n. Sarmah<br />

12. Workshop Analogschaltungen, university<br />

of ulm, March 11, <strong>2010</strong>, Germany<br />

(158) Validation of a Theoretical Model for<br />

NFmin Estimation of SiGe HBTs<br />

n. Sarmah, K. Schmalz, J.C. Scheytt<br />

5 th German Microwave Conference (GeMiC<br />

<strong>2010</strong>), Berlin, March 15 - 17, <strong>2010</strong>, Germany<br />

(159) 60 GHz OFdM Transceiver RF Frontend<br />

design in SiGe BiCMOS<br />

J.C. Scheytt, S. Glisic, Y. Sun, C.S. Choi,<br />

M. elkhouly, F. Herzel, e. Grass<br />

Ieee Radio & Wireless Symposium <strong>2010</strong>,<br />

San Diego, January 18 - 22, <strong>2010</strong>, uSA<br />

(160) das <strong>IHP</strong> - Partner für die Chipentwicklung<br />

J.C. Scheytt<br />

6. technologietag Mitteldeutschland,<br />

Dresden, october 18, <strong>2010</strong>, Germany<br />

(161) RF Bandpass delta-Sigma Modulators for<br />

Highly-Efficient Class-S Transmitters in<br />

SiGe BiCMOS Technology<br />

J.C. Scheytt, p. ostrovskyy, H. Gustat<br />

Ieee International Conference on Wireless<br />

Information technology and Systems (ICWIt<br />

<strong>2010</strong>), Honolulu, August 28 - September 03,<br />

<strong>2010</strong>, uSA<br />

(162) SiGe BiCMOS Circuits for High-Frequency<br />

Communications and Sensing Applications<br />

J.C. Scheytt, S. Glisic, p. ostrovskyy, H. Gustat,<br />

K. Schmalz, J. Borngräber, S.A. osmany,<br />

F. Herzel, B. Heinemann, H. Rücker, D. Knoll,<br />

B. tillack<br />

Silicon Monolithic Integrated Circuits in RF<br />

Systems (SiRF <strong>2010</strong>), new orleans,<br />

January 11 - 13, <strong>2010</strong>, uSA<br />

6 A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(163) Transceiver in Siliziumtechnologie für<br />

drahtlose Kommunikation und Sensorik<br />

bei 60 GHz und 122 GHz<br />

J.C. Scheytt<br />

Fakultätskolloquium der Ruhr-universität,<br />

Bochum, March 03, <strong>2010</strong>, Germany<br />

(164) mm-wave Transceiver and Component<br />

design for 60, 94 and 122 GHz in SiGe<br />

BiCMOS Technology<br />

J.C. Scheytt, S. Glisic, Y. Sun, K. Schmalz,<br />

W. Winkler, W. Debski, F. Herzel<br />

6 th Joint Symposium on opto- & Micro-electronic<br />

Devices and Circuits (SoDC <strong>2010</strong>),<br />

Berlin, october 03 - 10, <strong>2010</strong>, Germany<br />

(165) 122 GHz ISM-Band Transceiver Concept<br />

and Silicon ICs for Low-Cost Receiver in<br />

SiGe BiCMOS<br />

K. Schmalz, W. Winkler, J. Borngäber,<br />

W. Debski, B. Heinemann, J.C. Scheytt<br />

Ieee International Microwave Symposium<br />

(IMS <strong>2010</strong>), Anaheim, May 23 - 28, <strong>2010</strong>, uSA<br />

(166) Novel Imaging Techniques for<br />

dislocation-Related d1-Photo-<br />

Luminescence of Multicrystalline<br />

Si wafers – Two different Approaches<br />

R.p. Schmid, D. Mankovics, t. Arguirov,<br />

t. Mchedlidze, M. Ratzke, M. Kittler<br />

Workshop photovoltaik, Cottbus,<br />

September 24, <strong>2010</strong>, Germany<br />

(167) Novel Imaging Techniques for<br />

dislocation-Related d1-Photo-<br />

Luminescence of Multicrystalline<br />

Si wafers – Two different Approaches<br />

R.p. Schmid, D. Mankovics, t. Arguirov,<br />

t. Mchedlidze, M. Kittler<br />

10 th International Workshop on Beam<br />

Injection Assessment of Microstructures in<br />

Semiconductors (BIAMS <strong>2010</strong>), Halle,<br />

July 04 - 08, <strong>2010</strong>, Germany


(168) Ultrafast Transformation of Silicon<br />

Surfaces - direct Observation of the<br />

Refractive Index Change in Surface<br />

Second-Harmonic Pump-Probe Experiments<br />

R.p. Schmid, A. Klossek, J. Reif<br />

e-MRS Spring Meeting, Strasbourg,<br />

June 07 - 11, <strong>2010</strong>, France<br />

(169) Fault-Tolerant ASIC design for High System<br />

Reliability<br />

G. Schoof, M. Methfessel, R. Kraemer<br />

Smart Systems Integration <strong>2010</strong>, Como,<br />

March 23 - 24, <strong>2010</strong>, Italy<br />

(170) High ASIC Reliability by Using<br />

Fault-Tolerant design Techniques<br />

G. Schoof, M. Methfessel, R. Kraemer<br />

the 2 nd Workshop on Design for Reliability<br />

(DFR‘10), pisa, January 24, <strong>2010</strong>, Italy<br />

(171) An All-digital Phase-Locked Loop with<br />

High Resolution for Local On-Chip Clock<br />

Synthesis<br />

o. Schrape, e. Grass, M. petri, St. Zeidler,<br />

u. Jagdhold, F. Winkler<br />

International Workshop on power and timing<br />

Modeling, optimization and Simulation<br />

(pAtMoS <strong>2010</strong>), Grenoble,<br />

September 08 - 10, <strong>2010</strong>, France<br />

(172) A 12 Bit High Speed Broad Band Low Power<br />

digital to Analog Converter with Hidden<br />

Internal Calibration for Satellite<br />

Telecommunications<br />

K. Schrödinger, H. Gustat, A. Stanitzki,<br />

G. Grau, J. Zhu, H.-V. Heyer, J.C. Scheytt,<br />

l. Hili, p. piironen, H. Kemper, o. Martinsson<br />

Microwave technology & techniques<br />

Workshop, leiden, May 10 - 12, <strong>2010</strong>,<br />

the netherlands<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(173) Crystallographic Structure and Band<br />

Alignment of Thin Lattice Matched<br />

Epi-Si(111)/PryO3 Heterostructures<br />

Analyzed by Synchrotron Radiation<br />

o. Seifarth, A. Giussani, p. Zaumseil,<br />

D. Schmeißer, t. Schroeder<br />

SnI <strong>2010</strong>, Berlin, February 24 - 26, <strong>2010</strong>,<br />

Germany<br />

(174) Lattice Matched and Strain Engineered<br />

Epitaxial Si Films on Tailoring Single<br />

Crystalline Pr 2-x y x O 3 / Si(111) Support<br />

Systems<br />

o. Seifarth, B. Dietrich, A. Giussani,<br />

p. Zaumseil, t. Schroeder<br />

MRS Fall Meeting, <strong>2010</strong>, Boston,<br />

november 29 - December 03, <strong>2010</strong>, uSA<br />

(175) diagnostik / Materialforschung an<br />

Solarsilizium<br />

W. Seifert, M. Kittler<br />

9. Solarmeeting, potsdam, May 26, <strong>2010</strong>,<br />

Germany<br />

(176) Synchrotronmikroskopie und -spektroskopie<br />

zur Analyse von Solarsilizium<br />

W. Seifert, o. Vyvenko, t. Arguirov, M. trushin,<br />

M. Kittler<br />

Workshop photovoltaik, energiewoche Btu<br />

Cottbus, Cottbus, September 24, <strong>2010</strong>,<br />

Germany<br />

(177) Verunreinigungs- und defektdiagnostik<br />

an multikristallinem Si-Blockmaterial<br />

W. Seifert<br />

Statusseminar Avantsolar, potsdam,<br />

September 10, <strong>2010</strong>, Germany<br />

(178) In-Situ Solid-Phase Epitaxy of Amorphous<br />

Silicon deposited by RPCVd<br />

o. Skibitzki, Y. Yamamoto, M.A. Schubert,<br />

B. tillack<br />

ASM user Meeting, Munich, october 23, <strong>2010</strong>,<br />

Germany<br />

A n n u A l R e p o R t 2 0 0<br />

7


(179) Solid-Phase Crystallization of Amorphous<br />

Silicon Films by in-situ Post Annealing<br />

using RPCVd<br />

o. Skibitzki, Y. Yamamoto, K. Köpke,<br />

A. Schubert, G. Weidner, B. Heinemann,<br />

B. tillack<br />

DpG-Frühjahrstagung <strong>2010</strong>, Regensburg,<br />

March 21 - 26, <strong>2010</strong>, Germany<br />

(180) Solid-Phase Epitaxy of Amorphous Silicon<br />

by in-situ Postannealing using RPCVd<br />

o. Skibitzki, Y. Yamamoto, K. Köpke,<br />

M.A. Schubert, G. Weidner, B. tillack<br />

the International SiGe technology and Device<br />

Meeting (IStDM <strong>2010</strong>), Kista,<br />

May 24 - 26, <strong>2010</strong>, Sweden<br />

(181) ShortECC: a Lightweight Security Approach<br />

for wireless Sensor Networks<br />

A. Sojka, K. piotrowski, p. langendörfer<br />

International Conference on Security and<br />

Cryptography (SeCRYpt <strong>2010</strong>), Athens,<br />

July 26 - 28, <strong>2010</strong>, Greece<br />

(182) Integrated Si-LdMOS Transistors for<br />

11 GHz X-Band Power Amplifier<br />

Applications<br />

R. Sorge, A. Fischer, A. Mai, p. Schley,<br />

J. Schmidt, Ch. Wipf, R. pliquett, R. Barth<br />

Ieee Bipolar / BiCMoS Circuits and technology<br />

Meeting (BCtM <strong>2010</strong>), Austin,<br />

october 04 - 06, <strong>2010</strong>, uSA<br />

(183) Baseband Processor for RF-MIMO wLAN<br />

Z. Stamenkovic<br />

DAAD Workshop on embedded System Design,<br />

nis, July 05- 09, <strong>2010</strong>, Serbia<br />

(184) Systemdesign einer sicheren und<br />

drahtlosen Programmierschnittstelle für<br />

Mikrocontroller unter Verwendung von<br />

RFId-Technologien und integrierter<br />

Kryptokerne<br />

o. Stecklina, o. Krause, t. Basmer<br />

Wireless technologies Congress <strong>2010</strong>,<br />

Bochum, September 22, <strong>2010</strong>, Germany<br />

8 A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(185) dUV-Technologie zur Herstellung von<br />

Bragg-Gittern auf Silizium-Rippenwellenleitern<br />

D. Stolarek, A. Bauer, l. Zimmermann,<br />

u. Haak, K. Schulz, H. Beyer, e. Matthus<br />

Internationaler Kongress – 50 Jahre laser,<br />

Berlin, March 23, <strong>2010</strong>, Germany<br />

(186) A Low-Power 60 GHz Front-End with<br />

Variable Gain LNA in SiGe BiCMOS<br />

Y. Sun, J.C. Scheytt<br />

Ieee Bipolar / BiCMoS Circuits and technology<br />

Meeting (BCtM <strong>2010</strong>), Austin,<br />

october 04 - 06, <strong>2010</strong>, uSA<br />

(187) Novel Buffer Approach for GaN Integration<br />

on Si(111) Platform Through Single<br />

Sc 2 O 3 / y 2 O 3 Buffer Layers<br />

l. tarnawska, A. Giussani, p. Zaumseil,<br />

M.A. Schubert, p. Storck, t. Schroeder<br />

16 th International Conference on Molecular<br />

Beam epitaxy, Berlin, August 22 - 27, <strong>2010</strong>,<br />

Germany<br />

(188) Synchrotron based X-Ray diffraction Study<br />

of GaN / Oxide / Si(111) Heterostructure<br />

l. tarnawska, A. Giussani, p. Zaumseil,<br />

M. Wosko, R. paszkiewicz, t. Schroeder<br />

German Conference for Research with<br />

Synchrotron Radiation, neutrons and Ion<br />

Beams at large Facilities (SnI <strong>2010</strong>), Berlin,<br />

February 24 - 26, <strong>2010</strong>, Germany<br />

(189) A New Lab-on-Chip Transmitter for the<br />

detection of Proteins Using RNA Aptamers<br />

F. tasdemir, S. Zihir, e. ozeren, J.H. niazi,<br />

A. Qureshi, S.S. Kallempudi, M. Kaynak,<br />

R. Scholz, Y. Gurbuz<br />

40 th european Microwave Conference, paris,<br />

September 26 - october 01, <strong>2010</strong>, France


(190) MAC Hardware Platform for RF-MIMO wLAN<br />

K. tittelbach-Helmrich, e. Miletic, p. Wcislek,<br />

Z. Stamenkovic<br />

53 rd Ieee International Midwest Symposium<br />

on Circuits and Systems, Seattle, August 01<br />

- 04, <strong>2010</strong>, uSA<br />

(191) Electrical Characterization of Silicon wafer<br />

Bonding Interfaces by Means of Voltage<br />

dependent Light Beam and Electron Beam<br />

Induced Current and Capaciatnce of<br />

Schottky diodes<br />

M. trushin, o. Vyvenko, t. Mchedlidze,<br />

M. Reiche, M. Kittler<br />

10 th International Workshop on Beam<br />

Injection Assessment of Microstructures in<br />

Semiconductors (BIAMS <strong>2010</strong>), Halle,<br />

July 04 - 08, <strong>2010</strong>, Germany<br />

(192) Electronic States and Luminescence of<br />

dislocation Networks produced by direct<br />

Bonding of Silicon wafers<br />

M. trushin, o. Vyvenko, t. Mchedlidze,<br />

M. Kittler<br />

47. point Defect Meeting, Dresden,<br />

october 07 - 08, <strong>2010</strong>, Germany<br />

(193) Giant Poole-Frenkel Effect for the Shallow<br />

dislocation-Related Hole Traps in Silicon<br />

M. trushin, o. Vyvenko, V. Vdovin, M. Kittler<br />

extended Defects in Semiconductors (eDS<br />

<strong>2010</strong>), Brighton, September 19 - 24, <strong>2010</strong>, uK<br />

(194) Bipolar Resistive Switching Characteristics<br />

of HfO2 Based MIM devices<br />

Ch. Walczyk, D. Walczyk, t. Schroeder,<br />

M. lukosius, M. Fraschke, e. Miranda,<br />

B. tillack, Ch. Wenger<br />

41 st Ieee Semiconductor Interface Specialists<br />

Conference (SISC <strong>2010</strong>), San Diego,<br />

December 02 - 04, <strong>2010</strong>, uSA<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(195) CMOS Compatible TiN / HfO 2 / TiN MIM<br />

devices for Future RRAM Applications<br />

Ch. Walczyk, t. Schroeder, M. lukosius, M.<br />

Fraschke, A. Fox, D. Wolansky, B. tillack<br />

2 nd Ieee International Memory Workshop<br />

(IMW), Seoul, May 16 - 19, <strong>2010</strong>, Korea<br />

(196) CMOS Compatible TiN / HfO 2 / TiN MIM<br />

devices for Future RRAM Applications<br />

Ch. Walczyk, t. Schroeder, M. lukosius,<br />

D. Walczyk, M. Fraschke, A. Fox, D. Wolansky,<br />

B. tillack, Ch. Wenger<br />

16 th Workshop on Dielectrics in<br />

<strong>Microelectronics</strong> (WoDIM <strong>2010</strong>), Bratislava,<br />

June 28 - 30, <strong>2010</strong>, Slovakia<br />

(197) Resistive Switching in TiN / HfO 2 / Ti / TiN<br />

MIM Structures for Future Memory<br />

Applications<br />

Ch. Walczyk, Ch. Wenger, M. lukosius,<br />

M. Fraschke, I. Costina, S. Schulze, S. thiess,<br />

W. Drube, t. Schroeder<br />

DpG-Frühjahrstagung <strong>2010</strong>, Regensburg,<br />

March 21 - 26, <strong>2010</strong>, Germany<br />

(198) An on-board differential Bunny – Ear<br />

Antenna design for 60 GHz Applications<br />

R. Wang, Y. Sun, J.C. Scheytt<br />

5 th German Microwave Conference <strong>2010</strong><br />

(GeMiC <strong>2010</strong>), Berlin, March 15 - 17, <strong>2010</strong>,<br />

Germany<br />

(199) Effect of the Composition on the Bandgap<br />

width of the High-k MeTiOx (Me=Sr, Ta, Hf)<br />

W.C. Wang, M. Badylevich, V.V. Afanas‘ev,<br />

A. Stesmans, K. tomida, n. Menou, J.A. Kittl,<br />

M. lukosius, Ch. Walczyk, Ch. Wenger<br />

e-MRS <strong>2010</strong>, Strasbourg, June 07 - 10, <strong>2010</strong>,<br />

France<br />

(200) Bipolar Resistive Switching Characteristics<br />

of HfO 2 Based 1T-1R Cells<br />

Ch. Wenger, Ch. Walczyk, D. Walczyk,<br />

M. lukosius, M. Fraschke, t. Schroeder<br />

nanoelectronics Days, Aachen,<br />

october 04 - 07, <strong>2010</strong>, Germany<br />

A n n u A l R e p o R t 2 0 0<br />

9


(201) Functionalized Back-End devices for<br />

(Bi)CMOS Circuits<br />

Ch. Wenger, Ch. Walczyk, M. lukosius,<br />

D. Wolansky, p.V. Santos<br />

218 th electrochemical Society Meeting,<br />

las Vegas, october 10 - 15, <strong>2010</strong>, uSA<br />

(202) Max-Caps – Materials for Next Generation<br />

Capacitors and Memories<br />

Ch. Wenger, M. lukosius, C. Baristiran Kaynak<br />

european nanoelectronics Forum <strong>2010</strong>,<br />

Madrid, november 16 - 17, <strong>2010</strong>, Spain<br />

(203) LNA and Mixer for 122 GHz Receiver in<br />

SiGe Technology<br />

W. Winkler, W. Debski, K. Schmalz,<br />

J. Borngräber, J.C. Scheytt<br />

european Microwave Week (euMW <strong>2010</strong>),<br />

paris, September 26 - october 01, <strong>2010</strong>, France<br />

(204) Benchmarking of wSN Solutions<br />

A.C. Wolf, M. Mahlig<br />

Wireless Congress <strong>2010</strong>, Munich,<br />

november 10 - 11, <strong>2010</strong>, Germany<br />

(205) Benchmarking of wSN Solutions and IEEE<br />

802.15.4-2006 PSSS based Solutions<br />

A.C. Wolf, M. Mahlig<br />

9. GI/ItG KuVS Fachgespräch Sensornetze,<br />

Würzburg, September 16 - 17, <strong>2010</strong>, Germany<br />

(206) Establishing an ASIC Test Infrastructure in<br />

the Context of a Research Institute<br />

Ch. Wolf, St. Zeidler, R. Kraemer<br />

Ieee european test Symposium, prague,<br />

May 24 - 28, <strong>2010</strong>, Czech Republic<br />

(207) Structure and Morphology of <strong>MB</strong>E Grown<br />

Ge on Insulator Heterostructures<br />

J. Wollschläger, M. Suendorf, C.R. Wang,<br />

K.R. Hofmann, A. Giussani, t. Schroeder,<br />

C. Deiter<br />

the 11 th International Conference on Surface<br />

X-Ray and neutron Scattering (SXnS-11),<br />

Chicago, July 13 - 17, <strong>2010</strong>, uSA<br />

0 A n n u A l R e p o R t 2 0 0<br />

V O R T R ä G E – P R E S E N T A T I O N S<br />

(208) Atomic Level Control of B doping in Ge<br />

Y. Yamamoto, R. Kurps, J. Murota, B. tillack<br />

5 th International Workshop on new Group<br />

IV Semiconductor nanoelectronics, Sendai,<br />

January 28 - 30, <strong>2010</strong>, Japan<br />

(209) Low Threading dislocation density Ge<br />

deposited on Si(100) Using RPCVd<br />

Y. Yamamoto, K. Köpke, p. Zaumseil,<br />

t. Arguirov, M. Kittler, B. tillack<br />

International SiGe technology and Device<br />

Meeting (IStDM <strong>2010</strong>), Kista,<br />

May 24 - 26, <strong>2010</strong>, Sweden<br />

(210) Low Threading dislocation density Ge<br />

deposition by Alternate Growth and<br />

Annealing<br />

Y. Yamamoto, p. Zaumseil, B. tillack<br />

ASM user Meeting, Munich,<br />

September 23, <strong>2010</strong>, Germany<br />

(211) Phosphorus Atomic Layer doping in<br />

Si Using PH 3<br />

Y. Yamamoto, K. Köpke, J. Murota, B. tillack<br />

218 th electrochemical Society Meeting SiGe,<br />

Ge and Related Compounds, processing and<br />

Devices Symposium, las Vegas,<br />

october 10 - 25, <strong>2010</strong>, uSA<br />

(212) Perfektion von Halbleiter-Metalloxyd-<br />

Halbleiter-Heterostrukturen analysiert<br />

durch Synchrotron-GId-Messungen<br />

p. Zaumseil, A. Giussani, t. Schroeder<br />

Deutsche tagung für Forschung mit<br />

Synchrotronstrahlung, neutronen und<br />

Ionenstrahlen an Großgeräten (SnI <strong>2010</strong>),<br />

Berlin, February 24 - 26, <strong>2010</strong>, Germany<br />

(213) On-line Protocol Checking by Invariants<br />

St. Zeidler, A. Bystrov, R. Kraemer<br />

Impact of low-power Design on test and<br />

Reliability (lpontR), prague,<br />

May 27 - 28, <strong>2010</strong>, Czech Republic


(214) On-line Testing of Bundled-data<br />

Asynchronous Handshake Protocols<br />

St. Zeidler, A. Bystrov, M. Krstic, R. Kraemer<br />

Ieee International on-line test Symposium<br />

<strong>2010</strong>, Corfu, July 05 - 07, <strong>2010</strong>, Greece<br />

(215) Packaging of SOI Motherboards for<br />

Highspeed all Optical Router Applications<br />

l. Zimmermann, K. Voigt, G. Winzer,<br />

K. landles, J. lynn, S. Duffy<br />

7 th International Conference on Group IV<br />

photonics, peking, September 01 - 03, <strong>2010</strong>,<br />

China<br />

(216) Graphene Growth on Catalytic Insulator<br />

M. Zöllner, G. lippert, o. Seifarth,<br />

t. Schroeder, G. lupina<br />

Graphene electronics - Material, physics and<br />

Devices, Bad Honnef, August 15 - 18, <strong>2010</strong>,<br />

Germany<br />

(217) A 325 GHz Frequency Multiplier Chain<br />

in a SiGe HBT Technology<br />

e. Öjefors, B. Heinemann, u.R. pfeiffer<br />

Ieee <strong>2010</strong> International Microwave<br />

Symposium (Mtt), RFIC Symposium <strong>2010</strong>,<br />

Anaheim, May 23 - 25, <strong>2010</strong>, uSA<br />

B E R I C H T E – R E P O R T S<br />

Berichte<br />

<strong>Report</strong>s<br />

(1) Systemarchitektur eines intelligenten<br />

Sensor-Implantats für das kontinuierliche<br />

Glucose-Monitoring bei diabetes<br />

t. Basmer, p. Kulse, M. Birkholz<br />

project Milestone <strong>Report</strong> Glucoplant (<strong>2010</strong>)<br />

(2) Integration of Gallium Nitride Thin Film<br />

Substrates on the Si Platform via Oxide<br />

Heterostructure Buffers<br />

A. Giussani, l. tarnawska, p. Zaumseil,<br />

M.A. Schubert, t. Schroeder<br />

Siltronic-IHp Study, Activity <strong>Report</strong> July <strong>2010</strong><br />

(3) Basic Research on Advanced Silicon wafers<br />

G. Kissinger, D. Kot, J. Dabrowski,<br />

V.D. Akhmetov<br />

Intermediate <strong>Report</strong> June <strong>2010</strong><br />

(4) Basic Research on Advanced Silicon wafers<br />

G. Kissinger, D. Kot, J. Dabrowski,<br />

V.D. Akhmetov<br />

Final <strong>Report</strong> December <strong>2010</strong><br />

(5) Charakterisierung der wechselwirkung<br />

zwischen defekten und ihres Einflusses<br />

auf die elektrischen Eigenschaften unter<br />

besonderer Berücksichtigung der<br />

Synchrotron-Mikroskopie<br />

M. Kittler, J. Carstensen, W. Seifert<br />

Final <strong>Report</strong> project FKZ 0327650 A, July <strong>2010</strong><br />

(6) decision about Feasibility of IdS<br />

Implementation on State of the Art<br />

Sensor Nodes<br />

p. langendörfer, St. peter, o. Stecklina<br />

WSAn4CIp <strong>Report</strong> <strong>2010</strong><br />

(7) Projekt Six-Port on Silicon<br />

B. lämmle<br />

Abschlussbericht 12/<strong>2010</strong><br />

A n n u A l R e p o R t 2 0 0


(8) wSAN4CIP - deliverable d1.4 - Tools and<br />

Methods for Systematic wSAN Engineering<br />

St. peter, K. piotrowski, R. Winkler, R. nunes,<br />

p. pereira, l. Riliskis, e. osipov<br />

project Deliverable <strong>2010</strong><br />

(9) Single Crystalline Si, Ge, and GaN Layers<br />

Integrated on the Si Platform via Oxide<br />

Heterostructures<br />

t. Schroeder, p. Storck<br />

Final <strong>Report</strong> of the Siltronic-IHp technology<br />

project “Heteroepitaxy” of 3 rd R & D Contract<br />

2008 - 2009<br />

(10) Abschlussbericht Trusted Sensor Node<br />

F. Vater, p. langendörfer, t. Basmer,<br />

o. Stecklina<br />

Final project <strong>Report</strong> <strong>2010</strong><br />

(11) User Manual Trusted Sensor Node<br />

F. Vater, p. langendörfer, t. Basmer,<br />

o. Stecklina<br />

Final project <strong>Report</strong> <strong>2010</strong><br />

2 A n n u A l R e p o R t 2 0 0<br />

M O N O G R A P H I E N – M O N O G R A P H S<br />

Monographien<br />

Monographs<br />

(1) X-ray Techniques for Advanced Materials,<br />

Nanostructures and Thin Films: from<br />

Laboratory Sources to Synchrotron<br />

Radiation<br />

F. Boscherini, M. Birkholz, J.-Y. Buffière,<br />

D. Chateigner, p.F. Fewster, St. Heun<br />

proceedings of the eMRS 2009 Spring<br />

Meeting – Symposium R, elsevier, nuclear<br />

Instruments & Methods in physics Research<br />

268(3-4), (<strong>2010</strong>)<br />

(2) Improvements in Pausible Clocking Scheme<br />

for High-Throughput and High-Reliability<br />

GALS Systems design<br />

X. Fan, M. Krstic, e. Grass<br />

Springer Monograph on logic Synthesis<br />

(<strong>2010</strong>)<br />

(3) SiGe, Ge and Related Compounds 4:<br />

Materials, Processing, and devices<br />

D. Harame, M. Caymax, S. Koester, S. Miyazaki,<br />

K. Rim, B. tillack, J. Boquet, J. Cressler,<br />

G. Masini, A. Reznicek, S. takagi (eds.)<br />

pennington: electrochemical Society, eCS<br />

transactions ; 33(6), 1034 pages (<strong>2010</strong>)<br />

(4) Gettering and defect Engineering in<br />

Semiconductor Technology XIII, (GAdEST<br />

2009), Proc. of the 13th International<br />

Autumn Meeting, Sept. 26 - Oct. 02, 2009,<br />

döllnsee, Germany<br />

M. Kittler, H. Richter (eds.)<br />

Solid State phenomena 156 - 158 (<strong>2010</strong>)


d I S S E R T A T I O N E N / d I P L O M A R B E I T E N – d I S S E R T A T I O N S / d I P O L M A T H E S E S<br />

Habilitationen /Dissertationen<br />

Habilitations / dissertations<br />

(1) Germanium Thin Film Integration on Silicon<br />

Substrates via Oxide Heterostructure<br />

Buffers<br />

A. Giussani<br />

Dissertation, university of osnabrück (<strong>2010</strong>)<br />

(2) design of Fully Integrated 60 GHz OFdM<br />

Transmitter in SiGe BiCMOS Technology<br />

S. Glisic<br />

Dissertation, Btu Cottbus (<strong>2010</strong>)<br />

(3) Atomic Vapor deposition of Metal Insulator<br />

Metal Capacitors: Investigation,<br />

development and Integration<br />

M. lukosius<br />

Dissertation, university oldenburg (<strong>2010</strong>)<br />

(4) design and Realization of Privacy<br />

Guaranteeing Means for Context-sensitive<br />

Systems<br />

M. Maaser<br />

Dissertation, Btu Cottbus (<strong>2010</strong>)<br />

(5) Integration von LdMOS-Transistoren für<br />

Hochfrequenzanwendungen in eine<br />

0.13 µm-SiGe:C-BiCMOS Technologie<br />

A. Mai<br />

Dissertation, Btu Cottbus (<strong>2010</strong>)<br />

(6) definition and Configuration of Reliable<br />

Event detection for Application in wireless<br />

Sensor Networks<br />

St. ortmann<br />

Dissertation, Btu Cottbus (<strong>2010</strong>)<br />

(7) wideband OFdM System for Indoor<br />

Communication at 60 GHz<br />

M. piz<br />

Dissertation, Btu Cottbus (<strong>2010</strong>)<br />

(8) Herstellung und Charakterisierung dünner<br />

Praseodymoxid-Schichten auf Silizium-<br />

Substraten als Modellsystem für die<br />

Katalyse und Mikroelektronik<br />

A. Schaefer<br />

Dissertation, universität Bremen (<strong>2010</strong>)<br />

Diplomarbeiten /Masterarbeiten /Bachelorarbeiten<br />

diploma Theses / Master Theses / Bachelor<br />

Theses<br />

(1) Entwurf eines Analog-digital-Converters<br />

in Sukzessive-Approximation Architektur<br />

M. Ayari<br />

Diplomarbeit, FH Brandenburg (<strong>2010</strong>)<br />

(2) Untersuchung und Modellierung der<br />

degradation von SiGe:C<br />

Heterobipolartransistoren<br />

M. Bathe-peters<br />

Bachelorarbeit, tu Berlin (<strong>2010</strong>)<br />

(3) Konzipierung, Aufbau und Test einer<br />

Reaktionskammer zur Abscheidung von<br />

Kohlenstofffunktionsschichten<br />

D. Biniok<br />

Diplomarbeit, tH Wildau (<strong>2010</strong>)<br />

(4) Technologische Entwicklung von<br />

Silicium-Rippenwellenleitern mit<br />

4 µm Höhe<br />

R. eisermann<br />

Diplomarbeit, FH Brandenburg (<strong>2010</strong>)<br />

(5) Strategien, Mechanismen und<br />

Schnittstellen für die persistente<br />

datenspeicherverwaltung auf Sensorknoten<br />

St. Hammer<br />

Diplomarbeit, Btu Cottbus (<strong>2010</strong>)<br />

(6) Kombination von directed diffusion und<br />

Rumor Routing<br />

A. Hellwig<br />

Diplomarbeit, Btu Cottbus (<strong>2010</strong>)<br />

A n n u A l R e p o R t 2 0 0


(7) Herstellung und Charakterisierung von<br />

Oxinitridschichten mittels BTBAS<br />

A. Hesse<br />

Diplomarbeit, tH Wildau, (<strong>2010</strong>)<br />

(8) Elektrische und analytische<br />

Charakterisierung von Bor-dotierten<br />

epitaktischen Germaniumschichten<br />

B. Knappe<br />

Diplomarbeit, tu Berlin (<strong>2010</strong>)<br />

(9) Kantenrauhigkeit und dämpfung von<br />

Silizium-Nano-wellenleitern<br />

A. Knopf<br />

Diplomarbeit, tH Wildau (<strong>2010</strong>)<br />

(10) development of a Bluetooth-Repeater<br />

p. Kornecki<br />

Masterarbeit, West pomeranian university of<br />

technology, Szczecin, poland (<strong>2010</strong>)<br />

(11) Charakterisierung von GaN für LEd‘s<br />

gewachsen auf Si- und Al2O3-Substraten<br />

unter Verwendung von Pufferschichten<br />

Ch. Krause<br />

Diplomarbeit, Btu Cottbus (<strong>2010</strong>)<br />

(12) dynamische Charakterisierung von<br />

integrierten RF-MEMS Schaltern mittels<br />

optischer Methoden<br />

Ch. Krause<br />

Diplomarbeit, Fachhochschule Brandenburg<br />

(<strong>2010</strong>)<br />

(13) Entwicklung einer drahtlosen<br />

Authentifizierungs- und Updatekomponente<br />

für die debugschnittstelle von<br />

Mikrocontrollern<br />

o. Krause<br />

Diplomarbeit, Btu Cottbus (<strong>2010</strong>)<br />

(14) Analytische Charakterisierung von<br />

Halbleiter-Heterostrukturen<br />

Ch. Mai<br />

Bachelorarbeit, Btu Cottbus (<strong>2010</strong>)<br />

A n n u A l R e p o R t 2 0 0<br />

d I P L O M A R B E I T E N – d I P O L M A T H E S E S<br />

(15) Evaluierung und Implementierung von<br />

Konzepten für eine Sensorknotenspezifische<br />

Separierung von Ressourcen<br />

H. Menzel<br />

Masterarbeit, Btu Cottbus (<strong>2010</strong>)<br />

(16) Untersuchung von Umsetzungsmöglichkeiten<br />

zur darstellung von<br />

Multimediadiensten mit Hilfe eines<br />

Mobiltelefons im Fahrzeug<br />

S. Mergl<br />

Masterarbeit, Btu Cottbus (<strong>2010</strong>)<br />

(17) Beiträge zur Chartakterisierung des<br />

Bosch-Prozesses am Beispiel der<br />

trockenchemischen Strukturierung von<br />

Through Silicon Vias<br />

t. Milewski<br />

Bachelorarbeit, tu Berlin (<strong>2010</strong>)<br />

(18) Investigation of an Embedded Flash<br />

Controller<br />

p. Skoncej<br />

Diplomarbeit, West pomeranian university of<br />

technology, Szczecin, poland (<strong>2010</strong>)<br />

(19) design of a Hardware Accelerator<br />

Component for MIMAX wLAN<br />

p. Wcislek<br />

Masterarbeit, West pomeranian university of<br />

technology, Szczecin, poland (<strong>2010</strong>)<br />

(20) Integrated digitally Controlled Phase<br />

Shifter in Silicon Technology for mm-wave<br />

Beam Forming Applications<br />

J. Wessel<br />

Diplomarbeit, Ruhr-universität Bochum<br />

(<strong>2010</strong>)


Patente<br />

Patents<br />

(1) Elektronische Schaltungsanordnung zum<br />

Verarbeiten von binären Eingabewerten<br />

(Fehlertolerante Schaltungsarchitektur<br />

mit reduziertem Flächenaufwand)<br />

M. Augustin, M. Gössel, R. Kraemer<br />

De-patentanmeldung am 29.01.<strong>2010</strong>,<br />

AZ: De 10 <strong>2010</strong> 006 383.5<br />

(2) Protokollbeschleunigermodul mit<br />

Paketweiterleitungsfunktion und<br />

Betriebsverfahren für einen Senderempfänger<br />

zur schnellen weiterleitung<br />

von datenpaketen<br />

D. Dietterle, p. langendörfer<br />

IHp.324.09 pCt-patentanmeldung am<br />

16.03.<strong>2010</strong>, AZ: pCt/ep<strong>2010</strong>/053388<br />

(3) Unifizierter Multiplizierer für die<br />

Galois-Körper GF(2n) und GF(p)<br />

Z. Dyka, p. langendörfer<br />

IHp.352.10, De-patentanmeldung am<br />

16.11.<strong>2010</strong>, AZ: 10 <strong>2010</strong> 043 993.2<br />

(4) Masken für die Herstellung eines MEMS<br />

M. Kaynak, B. tillack, R. Scholz<br />

IHp.354.10, De-patentanmeldung,<br />

AZ: De 10 <strong>2010</strong> 003 928.4<br />

(5) RFMEMS switch in Standard CMOS Process<br />

M. Kaynak, K.-e. ehwald, M. Birkholz,<br />

R. Scholz, B. tillack<br />

IHp.346.09, pCt-Anmeldung am 07.12.<strong>2010</strong>,<br />

AZ pCt/ep<strong>2010</strong>/069019<br />

(6) Verkapselung eines BiCMOS kompatiblen<br />

RFMEMS Schalters<br />

M. Kaynak, K.-e. ehwald, R. Scholz, B. tillack<br />

IHp.351.10 De-patentanmeldung am<br />

30.03.<strong>2010</strong>, AZ: 10 <strong>2010</strong> 003 488.6<br />

(7) G. Kissinger, d. Kot, d. von Ammon<br />

De-patentanmeldung über Siltronic AG, am:<br />

11.08.<strong>2010</strong>, AZ: 10<strong>2010</strong>034002.2<br />

P A T E N T E – P A T E N T S<br />

(8) Thermoelektrisches Halbleiterbauelement<br />

M. Kittler, M. Reiche<br />

IHp.334.09 pCt-patentanmeldung am:<br />

12.01.<strong>2010</strong>, AZ: pCt/ep<strong>2010</strong>/050302<br />

(9) Integration von hochbeweglichem Graphen<br />

G. lippert, o. Seifarth, G. lupina, M. Zöllner,<br />

t. Schroeder<br />

IHp.353.10, ep-patentanmeldung<br />

(erstanmeldung), am 29.06.<strong>2010</strong>,<br />

AZ: 10 167 703.7<br />

(10) Verfahren und Vorrichtung zur<br />

Phasen- und/oder Pulsweitenmodulation<br />

J.C. Scheytt, H. Gustat<br />

IHp.355.10, De-patentanmeldung am<br />

14.06.<strong>2010</strong>, AZ: 10 <strong>2010</strong> 030 031.4<br />

(11) Prüfschaltung zur Prüfung einer<br />

durchführung eines Handshake-Protokolls<br />

und Verfahren zur Prüfung einer<br />

durchführung eines Handshake-Protokolls<br />

St. Zeidler<br />

IHp.337.08 pCt-patentanmeldung,<br />

am 15.01.<strong>2010</strong>, AZ: pCt Wo/<strong>2010</strong>089179A1<br />

A n n u A l R e p o R t 2 0 0


6 A n n u A l R e p o R t 2 0 0<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

Deliverables and Services


A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

Multiprojekt Wafer (MPW) und<br />

Prototyping Service<br />

Das <strong>IHP</strong> bietet seinen Forschungspartnern und Kunden<br />

Zugriff auf seine leistungsfähigen SiGe-BiCMOS-Technologien.<br />

Die Technologien sind insbesondere für Anwendungen<br />

im oberen GHz-Bereich geeignet, so z.B. für die drahtlose<br />

und Breitbandkommunikation, Radar, glasfasergestützte<br />

Kommunikation und integrierte Photonik. Sie bieten integrierte<br />

HBTs mit Grenzfrequenzen bis zu 400 GHz, integrierte<br />

RF-MEMS und integrierte HF-LDMOS-Bauelemente<br />

mit Durchbruchspannungen bis zu 80 V einschließlich<br />

komplementärer Bauelemente.<br />

Verfügbar sind folgende SiGe BiCMOS Technologien:<br />

SG25H1: Eine 0,25-µm-BiCMOS- Technologie<br />

mit npn-HBTs bis zu f T / f max = 180 / 220 GHz.<br />

SG25H3: Eine 0,25-µm-BiCMOS-Technologie mit<br />

mehreren npn-HBTs, deren Parameter von<br />

einer hohen HF-Performance<br />

(f T / f max = 110 / 180 GHz) zu größeren<br />

Durchbruchspannungen bis zu 7 V reichen.<br />

SGB25V: Eine kostengünstige 0,25-µm-BiCMOS-<br />

Technologie mit mehreren npn-Transistoren<br />

mit Durchbruchspannungen bis zu 7 V.<br />

SG13S: Eine 0,13-µm-BiCMOS-Hochleistungs-<br />

Technologie mit npn-HBTs bis zu<br />

f T / f max = 250 / 300 GHz mit 3,3 V I / O-CMOS<br />

und 1,2 V Logik-CMOS.<br />

SG13G2: Eine 0,13-µm-BiCMOS-Hochleistungs-<br />

Technologie mit den gleichen Bauelementen<br />

wie SG13S, aber einer wesentlich höheren<br />

Bipolar-Performance mit<br />

f T / f max = 300 / 400 GHz.<br />

Das Backend enthält 3 (SG13: 5) dünne und 2 dicke<br />

Metallebenen (TM1: 2 µm, TM2: 3 µm).<br />

Es finden technologische Durchläufe nach einem festen,<br />

unter www.ihp-microelectronics.com verfügbaren<br />

Zeitplan statt.<br />

Multiproject wafer (MPw) and<br />

Prototyping Service<br />

IHp offers research partners and customers access to<br />

its powerful SiGe BiCMoS technologies.<br />

the technologies are especially suited for applications<br />

in the higher GHz range (e.g. for wireless, broadband,<br />

radar, fiberoptic communication and integrated<br />

photonics). they provide integrated HBts with cutoff<br />

frequencies of up to 400 GHz, integrated RF-MeMS,<br />

and integrated RF lDMoS devices with breakdown voltages<br />

up to 80 V, including complementary devices.<br />

The following SiGe BiCMOS technologies are available:<br />

SG25H1: A high-performance 0.25 µm BiCMoS with<br />

npn-HBts up to f t / f max = 180 / 220 GHz.<br />

SG25H3: A 0.25 µm BiCMoS with a set of npn-HBts<br />

ranging from a high RF performance<br />

(f t / f max = 110 GHz / 180 GHz) to higher<br />

breakdown voltages up to 7 V.<br />

SGB25V: A cost-effective 0.25 µm BiCMoS with a set of<br />

npn-HBts up to a breakdown voltage<br />

of 7 V.<br />

SG13S: A high-performance 0.13 µm BiCMoS with<br />

npn-HBts up to f t / f max = 250 / 300 GHz, with<br />

3.3 V I / o CMoS and 1.2 V logic CMoS.<br />

SG13G2: A 0.13 µm BiCMoS technology with the<br />

same device portfolio as SG13S but much<br />

higher bipolar performance with<br />

f t / f max = 300 / 400 GHz.<br />

the backend offers 3 (SG13: 5) thin and 2 thick metal<br />

layers (tM1: 2 µm, tM2: 3 µm).<br />

the schedule for MpW & prototyping runs is located<br />

at www.ihp-microelectronics.com.<br />

A n n u A l R e p o R t 2 0 0<br />

7


8 A n n u A l R e p o R t 2 0 0<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

Ein Cadence-basiertes Design-Kit für Mischsignale ist<br />

verfügbar. Wiederverwendbare Schaltungsblöcke und<br />

IPs des <strong>IHP</strong> für die drahtlose und Breitbandkommunikation<br />

werden zur Unterstützung von Designs angeboten.<br />

Zusätzliche Module sind für bestimmte SiGe-BiCMOS-<br />

Technologien verfügbar:<br />

GD: Zusätzliche integrierte, komplementäre<br />

HF-LDMOS mit nLDMOS bis 22 V , pLDMOS bis<br />

-16 V Durchbruchspannung und einem<br />

isolierten nLDMOS.<br />

(verfügbar in SGB25V)<br />

HVLDMOS: Zusätzliche integrierte nLDMOS mit 80 V<br />

Durchbruchspannung.<br />

(verfügbar in SG25H1 und SG25H3)<br />

H3P: Zusätzliche pnp-HBTs mit<br />

f T / f max = 90/120 GHz für komplementäre<br />

Bipolar-Anwendungen.<br />

(verfügbar in SG25H3)<br />

RF-MEMS-Schalter:<br />

Zusätzliche kapazitive MEMS-Schalter für<br />

Frequenzen zwischen 30 GHz und 100 GHz.<br />

(verfügbar in SG25H1 und SG25H3)<br />

LBE: Das Modul für lokales Rückseitenätzen (LBE)<br />

wird angeboten zur Verbesserung der<br />

passiven Performance durch lokales<br />

Entfernen von Silizium.<br />

(verfügbar in allen Technologien).<br />

A cadence-based mixed signal design kit is available.<br />

For high frequency designs an analogue Design Kit in<br />

ADS can be used. IHp’s reusable blocks and Ips are<br />

offered to support designs.<br />

the following technology Modules are available:<br />

GD: Additional integrated complementary<br />

RF lDMoS devices with nlDMoS up to 22 V,<br />

plDMoS up to -16 V breakdown voltage<br />

and an isolated nlDMoS device.<br />

(available in SGB25V)<br />

HVlDMoS: Additional integrated nlDMoS with 80 V<br />

breakdown voltage.<br />

(available in SG25H1 and SG25H3)<br />

H3p: Additional pnp-HBts with<br />

f t / f max = 90 / 120 GHz for complementary<br />

bipolar applications.<br />

(available in SG25H3)<br />

RF-MeMS Switch:<br />

Additional capacitive MeMS switch devices<br />

for frequencies between 30 GHz and 100 GHz.<br />

(available in SG25H1 and SG25H3 technology)<br />

lBe: the localized Backside etching (lBe)<br />

module is offered to remove silicon locally<br />

to improve passive performance.<br />

(available in all technologies)


A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

Die wesentlichen Parameter der Technologien sind: technical key-parameters of the technologies are:<br />

Bipolar Section<br />

SG25H1 npn1 npn2<br />

A e 0.21 x 0.84 µm 2 0.18 x 0.84 µm 2<br />

peak f max 190 GHz 220 GHz<br />

peak f t 190 GHz 180 GHz<br />

BV Ce0 1.9 V 1.9 V<br />

BV CBo 4.5 V 4.5 V<br />

V A 40 V 40 V<br />

β 270 260<br />

SG25H3 High Medium High pnp<br />

Performance1 Voltage Voltage H3P Module<br />

A e 0.22 x 0.84 µm 2 0.22 x 2.24 µm 2 0.22 x 2.24 µm 2 0.21 x 0.84 µm 2<br />

peak f max 180 GHz 140 GHz 80 GHz 120 GHz<br />

peak f t 110 GHz 45 GHz 30 GHz 90 GHz<br />

BV Ce0 2.3 V 5 V > 7 V - 2.5 V<br />

BV CBo 6.0 V 15.5 V 21.0 V - 4.0 V<br />

V A 30 V 30 V 30 V 30 V<br />

β 150 150 150 100<br />

SGB25V High Standard High<br />

Performance Voltage<br />

A e 0.42 x 0.84 µm 2 0.42 x 0.84 µm 2 0.42 x 0.84 µm 2<br />

peak f max 95 GHz 90 GHz 70 GHz<br />

peak f t 75 GHz 45 GHz 25 GHz<br />

BV Ceo 2.4 V 4.0 V 7.0 V<br />

BV CBo > 7 V > 15 V > 20 V<br />

V A > 50 V > 80 V > 100 V<br />

β 190 190 190<br />

SG13S npn13P npn13V<br />

A e 0.12 x 0.48 µm 2 0.18 x 1.02 µm 2<br />

peak f max 300 GHz 120 GHz<br />

peak f t 250 GHz 45 GHz<br />

BV Ce0 1.7 V 3.7 V<br />

BV CBo 5.0 V 16 V<br />

β 900 600<br />

A n n u A l R e p o R t 2 0 0<br />

9


CMOS Section<br />

0 A n n u A l R e p o R t 2 0 0<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

SG25H1 / H3* SG13S<br />

Core Supply Voltage 2.5 V 3.3 V 1.2 V<br />

nMoS V tH 0.6 V 0.65 V 0.49 V<br />

I out ** 540 µA / µm 520 µA / µm 500 µA / µm<br />

I oFF 3 pA / µm 10 pA / µm 500 pA / µm<br />

pMoS V tH - 0.56 V - 0.61 V - 0.42 V<br />

I out - 230 µA / µm - 220 µA / µm - 210 µA / µm<br />

I oFF - 3 pA / µm - 10 pA / µm - 500 pA / µm<br />

*: parameters for SGB25V are similar **: @V G = 2.5 V<br />

***: parameters for SG13G2 have to be defined<br />

Passive Section<br />

SG25H1 / H3* SGB25V SG13S<br />

MIM Capacitor 1 fF / µm 2 1 fF / µm 2 1.5 fF / µm 2<br />

n + poly Resistor 210 Ω / 210 Ω / -<br />

p + poly Resistor 280 Ω / 310 Ω / 250 Ω /<br />

High poly Resistor 1600 Ω / 2000 Ω / 1300 Ω /<br />

Varactor C max / C min 3 tbd. tbd.<br />

Inductor Q@5 GHz 18 (1 nH) 18 (1 nH) 18 (1 nH)<br />

Inductor Q@10 GHz 20 (1 nH) 20 (1 nH) 20 (1 nH)<br />

Inductor Q@5 GHz 37 (1 nH)* 37 (1 nH)* 37 (1 nH)*<br />

*: with lBe


Gd Module<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

nLdMOS pLdMOS<br />

NLd2Gd22C iNLd2Gd13A **** PLd2G19B<br />

BV DSS * 22 V 15 V - 16 V<br />

V tH 0.55 V 0.6 V - 0.5 V<br />

I out ** 175 µA / µm 200 µA / µm - 70 µA / µm<br />

R on 4 Ωmm 4 Ωmm 12 Ωmm<br />

peak f max *** 52 GHz 50 GHz 30 GHz<br />

peak f t *** 20 GHz 28 GHz 9 GHz<br />

*:@ 50 pA/µm ** :@ V G = 1.5 V ***:@ V DS = 4 V ****: substrate isolated<br />

HVLdMOS Module<br />

NLd2Gd80B<br />

BV DSS * 75 V<br />

V tH 0.55 V<br />

I out * 170 µA / µm<br />

R on 12 Ωmm<br />

peak f max 27 GHz<br />

peak f t 9 GHz<br />

* : @ V G = 1.5 V<br />

RF-MEMS Switch Module<br />

Actuation Voltage 25 V<br />

C on / C off > 10<br />

Switch time < 10 µs<br />

temperature -30…+125 °C<br />

Isolation* < 20 dB<br />

Insertion loss* < 1 dB<br />

Continuous power Handling 13 dB<br />

*@ 60 GHz<br />

A n n u A l R e p o R t 2 0 0


design Kits<br />

2 A n n u A l R e p o R t 2 0 0<br />

A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

the design kits support a Cadence mixed signal platform:<br />

- Design Framework II (Cadence 5.14 / 6.1)<br />

- Behavioral Modeling (Verilog HDl)<br />

- logic Synthesis & optimization<br />

(VHDl / HDl Compiler, Design Compiler /<br />

Synopsys, power Compiler / Synopsys)<br />

- test Generation / Synthesizer / test Compiler<br />

(Synopsys)<br />

- Simulation (RF: SpectreRF, Analog: SpectreS,<br />

Behavioral / Digital: leapfrog / nC-Affirma /<br />

Verilog-Xl / ModelSim)<br />

- place & Route (Silicon ensemble & preview)<br />

- layout (Virtuoso editor-Cadence)<br />

- Verification (Diva and Assura: DRC / lVS / extract /<br />

parasitic extraction)<br />

- ADS-support via Golden Gate / RFIC dynamic link<br />

to Cadence is available<br />

- Standalone ADS Kit including Momentum<br />

substrate layer file<br />

- Sonnet support for all design kits<br />

- Support of Analog office, texeDA, and tanner<br />

via partners is available<br />

- eCl library for SGB25V<br />

- Radiation hard CMoS library for SGB25V<br />

Available Analog and digital Blocks and designs<br />

to support designs, IHp offers a wide range of blocks<br />

and designs for wireless & broadband solutions:<br />

- Integrated 122 GHz Receiver<br />

- 77-81 GHz SiGe radar frontend and frontend<br />

components<br />

- Complete 60 GHz transceiver and components in<br />

SiGe BiCMoS<br />

- 60 GHz beamforming components and<br />

integrated frontends<br />

- 60 GHz channel sounder module<br />

- 60 GHz planar pCB-integrated antenna<br />

- 40 to 70 GHz analog and digital phase shifters<br />

- 24 GHz SiGe mixers, VCos, prescaler, VCo-prescaler<br />

- SiGe DAC components for medium and high speed up<br />

to 30 GSps<br />

- transimpedance Amplifiers from 20 to 40 Gbps<br />

for fiberoptic communications<br />

- 80 Gbps receiver with Decision Feedback<br />

equalizer for fiberoptic communications<br />

- laser-drivers from 20 to 40 Gbps for fiberoptic<br />

communications<br />

- High-Swing modulator (3Vpp, single-ended) for<br />

40 Gbps fiberoptic communications<br />

- SiGe uWB transceiver components such as mixer<br />

correlator, broadband lnA<br />

- Impulse uWB SiGe RF transceiver with localization<br />

capability<br />

- Static resp. dynamic SiGe divider circuits from 0<br />

to 100 GHz<br />

- 5 GHz SiGe broadband modem (bandwidth<br />

200 MHz) for Gbps oFDM<br />

- low-noise SiGe lC-VCos in the range between<br />

10 and 120 GHz<br />

- SiGe Integer-n plls with integrated low<br />

phase-noise VCo (8-11 GHz, 16-19 GHz,<br />

20-24 GHz, 48 GHz, 56 GHz)<br />

- 0.6-4.4 GHz, 10-14 GHz, and 20–24 GHz fully<br />

integrated frequency synthesizer with low phase<br />

noise (Integer-n and DS-Fractional-n)<br />

- Fractional-n pll core<br />

- Bandpass-Delta-Sigma modulators for Class-S<br />

amplifiers (450 MHz, 900 MHz, 2 GHz)<br />

- SpI-Interface with area-/power-efficient<br />

calibration DACs (optional)


A N G E B O T E U N d L E I S T U N G E N – d E L I V E R A B L E S A N d S E R V I C E S<br />

- Models, cores & protocols for Ieee 802.11<br />

a / g / p in software & hardware<br />

- Designs for baseband processing components<br />

(Viterbi decoder, FFt / IFFt processor,<br />

CoRDIC processor)<br />

- Design of embedded applications running<br />

on MIpS or leon processors<br />

- Ip-core for leon 2 processor<br />

- Abstract SDl model for Ieee 802.15.3 and<br />

Ieee 802.15.4<br />

- 5 GHz link emulator and WlAn design /<br />

debug kit<br />

- tCp / Ip-processor including hardware<br />

accelerators for protocol and symmetric<br />

and asymmetric encryption including MD5<br />

- Ip-cores for flexible eCC, AeS, SHA1 and MD5<br />

cryptoprocessors<br />

- Baseband-models and realisations for Gigabit WlAn<br />

- Wireless sensor nodes based on MSp430<br />

processor architecture<br />

- en15878-4 baseband<br />

for metering applications<br />

- Ieee 802.15.4a baseband processor<br />

- Consultancy for MAC protocol design & Gigabit<br />

WlAn systems<br />

- Consultancy for wireless sensor networks and<br />

applications<br />

- Consultancy for fault tolerant design for space<br />

and automotive<br />

Transfer of Technologies and Technology Modules<br />

IHp offers its 0.25 µm BiCMoS technologies and technology<br />

modules (HBt-Modules, lDMoS-Modules) for<br />

transfer. the technological parameters comply to a<br />

large extent with the parameters described above for<br />

MpW & prototyping.<br />

Process Module Support<br />

For more information please contact:<br />

IHp offers support for advanced process modules for<br />

research and development purposes and small volume<br />

prototyping.<br />

process modules available include:<br />

- Standard processes (implantation, etching,<br />

CMp & deposition of layer stacks such<br />

as thermal Sio 2 , pSG, Si 3 n 4 , Al , tin, W)<br />

- epitaxy (Si, Si:C, SiGe, SiGe:C, Ge)<br />

- optical lithography (i-line and 248 nm down<br />

to 100 nm structure size)<br />

- Short-flow processing<br />

- electrical characterization and testing.<br />

Failure Mode Analysis and diagnostics<br />

IHp offers support for yield enhancement through failure<br />

mode analysis with state-of-the-art equipment,<br />

including AeS, AFM, FIB, SeM, SIMS, toFSIMS and teM.<br />

Dr. Wolfgang Kissinger (General contact) Dr. René Scholz (MPW & Prototyping contact)<br />

<strong>IHP</strong> <strong>IHP</strong><br />

Im Technologiepark 25 Im Technologiepark 25<br />

15236 Frankfurt (Oder), Germany 15236 Frankfurt (Oder), Germany<br />

Email: kissinger@ihp-microelectronics.com Email : scholz@ihp-microelectronics.com<br />

Tel: +49 335 56 25 410 Tel : +49 335 56 25 647<br />

Fax: +49 335 56 25 222 Fax +49 335 56 25 327<br />

A n n u A l R e p o R t 2 0 0


<strong>IHP</strong>-Mitarbeiterinnen und Mitarbeiter erbringen Spitzenleistungen<br />

in Forschung und Entwicklung. Ausgleich<br />

zur täglichen Arbeit finden Sie u.a. bei gemeinsamen<br />

sportlichen und kulturellen Aktivitäten.<br />

A n n u A l R e p o R t 2 0 0<br />

M E N S S A N A I N C O R P O R E S A N O …<br />

Fußballfreunde des <strong>IHP</strong>-Fußballturniers im August <strong>2010</strong>.<br />

enthusiastic football players at the IHp football tournament in August <strong>2010</strong>.<br />

August <strong>2010</strong>: <strong>IHP</strong>-Fußballmeisterschaft mit 8 Mannschaften.<br />

August <strong>2010</strong>: IHp football championship with the participation<br />

of 8 teams.<br />

Mai <strong>2010</strong>: „Schweriner Nachtlauf“ mit zwei <strong>IHP</strong>-Laufstaffeln.<br />

May <strong>2010</strong>: “Schwerin night run“ with the participation of two IHp<br />

relay teams.<br />

IHp staff brings excellence in research and development.<br />

As a compensation for daily work one gets to<br />

participate among other things at joint sports and<br />

cultural activities.<br />

Mai <strong>2010</strong>: Siegermannschaften der vom <strong>IHP</strong> organisierten<br />

2. „Frankfurter Kleinfeld-Fußball-Meisterschaft“ mit<br />

12 Mannschaften von Partnerfirmen und Vereinen.<br />

May <strong>2010</strong>: the winners of the second “Frankfurt Small Field Football<br />

Championship” organized by IHp with 12 teams of its partner<br />

companies and associations.<br />

August <strong>2010</strong>: <strong>IHP</strong>-Volleyballturnier mit vier Mannschaften.<br />

August <strong>2010</strong>: IHp Volleyball tournament with the participation of<br />

four teams.


2 . F O T O A U S S T E L L U N G I M I H P – 2 N d I H P P H O T O E X H I B I T I O N<br />

weltsichten II<br />

2. Fotoausstellung der <strong>IHP</strong><br />

Mitarbeiterinnen und Mitarbeiter<br />

07.12. <strong>2010</strong> bis 29.03.2011<br />

C. Andrej, C. Baristiran Kajnak, S. Baudach, J. Domke, R. Dorn, A. Ergintav, H. Frankenfeldt,<br />

D. Genschow, L. Hartmann, T. Mausolf, W. Höppner, R. Horbowski, M. Hossain, G. Kanzler,<br />

M. Khafaji, G. Kissinger, D. Kot / J. Mazur, G. Kozlowski / C. Wurm, A. Krüger, N. Lehmann,<br />

G. Lippert, S. Lischke, M. Methfessel, G. Morgenstern, M. Petri, H. Platzer, A. Prochnau, S. Rohner,<br />

A. Scheit, K.Schlote, H. Silz, T. Skaloud, D. Stolarek, W. Wichmann, D. Wolansky, C. Wolf<br />

A n n u A l R e p o R t 2 0 0


Wegbeschreibung zum <strong>IHP</strong><br />

6 A n n u A l R e p o R t 2 0 0<br />

w E G B E S C H R E I B U N G Z U M I H P – d I R E C T I O N S T O I H P<br />

per Flugzeug<br />

- Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis<br />

Bahnhof Berlin-Zoologischer Garten (19 Minuten);<br />

dann mit dem RegionalExpress RE 1 bis Frankfurt<br />

(Oder) Hauptbahnhof (ca. 1 Stunde 20 Minuten).<br />

- Vom Flughafen Berlin-Schönefeld mit dem Airport-<br />

Express oder der S-Bahnlinie S 9 bis Bahnhof Berlin-Ostbahnhof<br />

(19 bzw. 32 Minuten); dann mit dem<br />

RegionalExpress RE 1 bis Frankfurt (Oder) Hauptbahnhof<br />

(ca. 1 Stunde).<br />

per Bahn<br />

- Von den Berliner Bahnhöfen Zoologischer Garten,<br />

Hauptbahnhof, Friedrichstraße, Alexanderplatz<br />

oder Ostbahnhof mit dem RegionalExpress RE 1 bis<br />

Frankfurt ( Oder) Hauptbahnhof.<br />

per Auto<br />

- Über den Berliner Ring auf die Autobahn A 12 in Richtung<br />

Frankfurt (Oder) / Warschau; Abfahrt Frankfurt<br />

(Oder)-West, an der Ampel links in Richtung Beeskow<br />

und dem Wegweiser „Technologiepark Ostbrandenburg“<br />

folgen.<br />

per Straßenbahn in Frankfurt (Oder)<br />

- Ab Frankfurt (Oder) Hauptbahnhof mit der Linie 3<br />

oder 4 in Richtung Markendorf Ort bis Haltestelle<br />

Technologiepark (14 Minuten).<br />

directions to <strong>IHP</strong><br />

by plane<br />

- From Berlin-Tegel Airport take the bus X9 to the<br />

railway station Berlin-Zoologischer Garten (19 minutes);<br />

then take the Regionalexpress Re 1 to Frankfurt<br />

(oder) Hauptbahnhof (appr. 1 hour 20 minutes).<br />

- From Berlin-Schönefeld Airport take the Airport-<br />

express or the S-Bahn line S 9 to the railway<br />

station Berlin ostbahnhof (19 resp. 32 minutes);<br />

then take the Regionalexpress Re 1 to<br />

Frankfurt (oder) Hauptbahnhof (appr. 1 hour).<br />

by train<br />

- take the train Regionalexpress Re 1 from the<br />

Berlin railway stations Zoologischer Garten,<br />

Hauptbahnhof, Friedrichstraße, Alexanderplatz<br />

or ostbahnhof to Frankfurt (oder) Hauptbahnhof.<br />

by car<br />

- take the highway A 12 from Berlin in the direction<br />

Frankfurt (oder) / Warschau (Warsaw); take<br />

exit Frankfurt (oder)-West, at the traffic lights<br />

turn left in the direction Beeskow and follow<br />

the signs to “technologiepark ostbrandenburg”.<br />

by tram in Frankfurt (Oder)<br />

- take the tram 3 or 4 from railway station Frankfurt<br />

(oder) Hauptbahnhof in the direction Markendorf<br />

ort to technologiepark (14 minutes).


Herausgeber / Publisher<br />

IHp GmbH – Innovations for High performance<br />

<strong>Microelectronics</strong> / leibniz-Institut für innovative<br />

Mikroelektronik<br />

Postadresse / Postbox<br />

postfach 1466 / postbox 1466<br />

15204 Frankfurt (oder)<br />

Deutschland / Germany<br />

Besucheradresse / Address for Visitors<br />

Im technologiepark 25<br />

15236 Frankfurt (oder)<br />

Deutschland / Germany<br />

Telefon / Fon +49 335 56 25 0<br />

Telefax / Fax +49 335 56 25 300<br />

e-Mail ihp@ihp-microelectronics.com<br />

Internet www.ihp-microelectronics.com<br />

I M P R E S S U M – I M P R I N T<br />

Redaktion / Editors<br />

Dr. Wolfgang Kissinger / Heidrun Förster<br />

Gesamtherstellung / Production in design and layout<br />

GIRAFFe Werbeagentur<br />

leipziger Straße 187<br />

15232 Frankfurt (oder)<br />

Telefon / Fon +49 335 50 46 46<br />

Telefax / Fax +49 335 50 46 45<br />

e-Mail kontakt@giraffe.de<br />

Internet www.giraffe.de<br />

Bildnachweise / Photocredits<br />

Agentur GIRAFFe, Winfried Mausolf,<br />

Bernd Geller, IHp<br />

A n n u A l R e p o R t 2 0 0<br />

7


8 A n n u A l R e p o R t 2 0 0<br />

<strong>IHP</strong> GmbH – Innovations for<br />

High Performance <strong>Microelectronics</strong><br />

Leibniz-Institut<br />

für innovative Mikroelektronik<br />

Im Technologiepark 25<br />

15236 Frankfurt (Oder)<br />

Telefon +49 335 5625 0<br />

Fax +49 335 5625 300<br />

ihp@ihp-microelectronics.com<br />

www.ihp-microelectronics.com

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!