12.07.2015 Views

ModelSim SE GUI Reference - Parent Directory

ModelSim SE GUI Reference - Parent Directory

ModelSim SE GUI Reference - Parent Directory

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

GR-721 - Simulator windowscannot set breakpoints or single step within this code. Don’t compile with this optionuntil you are done debugging. Same as the -nodebug argument for the vlog command(CR-354). See "Protecting source code using -nodebug" (UM-68) for more details. Edit theNoDebug (UM-506) variable in the modelsim.ini file to set a permanent default.• Convert identifiers to upper-caseConverts regular Verilog identifiers to uppercase. Allows case insensitivity for modulenames. Same as the -u argument to the vlog command (CR-354). Edit the UpCase (UM-504)variable in the modelsim.ini file to set a permanent default.• Disable loading messagesDisables loading messages in the Transcript pane. Same as the -quiet argument for thevlog command (CR-354). Edit the Quiet (UM-506) variable in the modelsim.ini file to set apermanent default.• Show source lines with errorsCauses the compiler to display the relevant lines of code in the transcript. Same as the-source argument to the vlog command (CR-354). Edit the Show_source (UM-507) variablein the modelsim.ini file to set a permanent default.• Disable all optimizationsInstructs the compiler to remove all optimizations. Same as the -O0 argument to the vlogcommand (CR-354). Useful when running "Measuring code coverage" (UM-317), whereoptimizations can skew results.• Enable `protect usageEnables encryption of regions of your Verilog source code. See "Compiler directivesspecific to <strong>ModelSim</strong>" (UM-158) for more details. Same as the +protect argument for thevlog command (CR-354). Edit the Protect (UM-504) variable in the modelsim.ini file to seta permanent default.• Use vopt flowInstructs <strong>ModelSim</strong> that you will be optimizing the design (see "Optimizing Verilogdesigns" (UM-127) for more information). This prevents the compiler from producingcode. Same as the -vopt argument to the vlog command (CR-354).Other Verilog Options:Specify any valid vlog command (CR-354) arguments.• Library SearchSpecifies the Verilog source library directory to search for undefined modules. Same asthe -y argument for the vlog command (CR-354).• ExtensionSpecifies the suffix of files in the library directory. Multiple suffixes can be used. Sameas the +libext+ argument for the vlog command (CR-354).• Library FileSpecifies the Verilog source library file to search for undefined modules. Same as the -v argument for the vlog command (CR-354).• Include <strong>Directory</strong>Specifies a directory for files included with the ‘include filename compiler directive.Same as the +incdir+ argument for the vlog command (CR-354).• MacroDefines a macro equivalent to one created with the ‘define macro_name macro_text<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!