12.07.2015 Views

ModelSim SE GUI Reference - Parent Directory

ModelSim SE GUI Reference - Parent Directory

ModelSim SE GUI Reference - Parent Directory

SHOW MORE
SHOW LESS
  • No tags were found...

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

GR-5Table of Contents1 - Simulator windows (GR-11)Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-13Design object icons and their meaning . . . . . . . . . . . . . . . . . . . . . GR-14Setting fonts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-15Main window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-16Workspace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-17Transcript . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-18Multiple document interface (MDI) frame . . . . . . . . . . . . . . . . . . . . GR-20Main window status bar . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-22Main window menu bar . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-23File menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-23Edit menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-26View menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-29Format menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-30Compile menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-31Simulate menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-32Add menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-32Tools menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-33Waveform Compare sub-menu . . . . . . . . . . . . . . . . . . . . . . GR-35Code Coverage sub-menu . . . . . . . . . . . . . . . . . . . . . . . . GR-36Profile sub-menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-37C Debug sub-menu . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-37JobSpy sub-menu . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-38Window menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-39Help menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-40Main window toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-41Main window dialogs . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-45Create Project dialog . . . . . . . . . . . . . . . . . . . . . . . . . . GR-45Create a New Library dialog . . . . . . . . . . . . . . . . . . . . . . . GR-46Open File dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-47Import Library Wizard dialog . . . . . . . . . . . . . . . . . . . . . . . GR-48Evcd Import dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-49Save As dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-50Create Project File dialog . . . . . . . . . . . . . . . . . . . . . . . . GR-51Add file to Project dialog . . . . . . . . . . . . . . . . . . . . . . . . GR-52Optimization Configuration dialog . . . . . . . . . . . . . . . . . . . . . GR-53Simulation Configuration dialog . . . . . . . . . . . . . . . . . . . . . . GR-54Add Folder dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-55Find in Transcript dialog . . . . . . . . . . . . . . . . . . . . . . . . . GR-56Dataset Browser dialog . . . . . . . . . . . . . . . . . . . . . . . . . GR-57Project Compiler Settings . . . . . . . . . . . . . . . . . . . . . . . . GR-58Project Settings dialog . . . . . . . . . . . . . . . . . . . . . . . . . . GR-65Compile Source Files dialog . . . . . . . . . . . . . . . . . . . . . . . GR-67Compiler Options dialog . . . . . . . . . . . . . . . . . . . . . . . . . GR-68SystemC Link dialog . . . . . . . . . . . . . . . . . . . . . . . . . . GR-76Compile Order dialog . . . . . . . . . . . . . . . . . . . . . . . . . . GR-77Design Optimization dialog . . . . . . . . . . . . . . . . . . . . . . . GR-78<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-6Table of ContentsStart Simulation dialog . . . . . . . . . . . . . . . . . . . . . . . . . GR-85Runtime Options dialog . . . . . . . . . . . . . . . . . . . . . . . . . GR-94Restart dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GR-97Waveform Compare dialogs . . . . . . . . . . . . . . . . . . . . . . . . . GR-97Load Coverage Data dialog . . . . . . . . . . . . . . . . . . . . . . . . GR-98Coverage Report dialog . . . . . . . . . . . . . . . . . . . . . . . . . GR-99Filter instance list dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-102Profile Report dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-103Modify Breakpoints dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-105Signal Breakpoint dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-107File Breakpoint dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-108C Debug setup dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-109Command entry dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-110Tcl debugger . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-111Macro dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-112Drag and Drop Preferences dialog . . . . . . . . . . . . . . . . . . . . .GR-113Preferences dialog . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-114Save Current Window Layout dialog . . . . . . . . . . . . . . . . . . . .GR-116Configure Window Layout dialog . . . . . . . . . . . . . . . . . . . . .GR-117Customize Toolbar dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-118Active Processes pane . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-120Process status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-120Active Processes dialogs . . . . . . . . . . . . . . . . . . . . . . . . . .GR-121Find in Active Processes dialog . . . . . . . . . . . . . . . . . . . . . .GR-121Code coverage panes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-122Workspace pane . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-122Missed Coverage pane . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-126Current Exclusions pane . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-127Instance Coverage pane . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-128Details pane . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-129Objects pane toggle coverage . . . . . . . . . . . . . . . . . . . . . . . . .GR-131Code coverage toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-133Dataflow window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-134Objects you can view . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-134Dataflow window menu bar . . . . . . . . . . . . . . . . . . . . . . . . .GR-135File menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-135Edit menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-135View menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-136Navigate menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-136Trace menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-137Tools menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-137Window menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-137Dataflow window toolbar . . . . . . . . . . . . . . . . . . . . . . . . . .GR-138Dataflow window dialogs . . . . . . . . . . . . . . . . . . . . . . . . . .GR-141Print dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-141Print Postscript dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-143Dataflow Page Setup dialog . . . . . . . . . . . . . . . . . . . . . . .GR-144Find in dataflow dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-145Dataflow Options dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-146Customize Toolbar dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-148<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-7List window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-149Objects you can view . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-150List window menu bar . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-151File menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-151Edit menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-151View menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-152Tools menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-152Window menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-152The List window context menu . . . . . . . . . . . . . . . . . . . . . . . .GR-152List window dialogs . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-153Open Dataset . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-153Find in List dialog . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-153List Signal Search dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-154List Signal Properties dialog . . . . . . . . . . . . . . . . . . . . . . .GR-156Combine Selected Signals dialog . . . . . . . . . . . . . . . . . . . . .GR-158Modify Display Properties dialog . . . . . . . . . . . . . . . . . . . . .GR-159Customize Toolbar dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-162Locals pane . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-163Locals dialogs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-164Change Selected Variable dialog . . . . . . . . . . . . . . . . . . . . .GR-164Find in Locals dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-165Memory panes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-166Memories you can view . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-167Viewing memory contents . . . . . . . . . . . . . . . . . . . . . . . . . .GR-168Saving memory formats in a DO file . . . . . . . . . . . . . . . . . . . . . .GR-168Direct address navigation . . . . . . . . . . . . . . . . . . . . . . . . . .GR-169Splitting the memory contents pane . . . . . . . . . . . . . . . . . . . . . .GR-169Memory popup menu commands . . . . . . . . . . . . . . . . . . . . . . .GR-170Memories tab popup menu . . . . . . . . . . . . . . . . . . . . . . . .GR-170Memory contents pane popup menus . . . . . . . . . . . . . . . . . . . .GR-170Memory dialogs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-172Import Memory dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-172Export Memory dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-175Change Memory dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-177Compare Memory dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-179Find dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-180Properties dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-181Objects pane . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-182Filtering the objects list . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-183Objects dialogs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-184Force Selected Signal dialog . . . . . . . . . . . . . . . . . . . . . . .GR-184Define Clock dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-186Find in Objects dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-188Modify Breakpoints dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-189Profile panes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-190Profile pane columns . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-191Hiding/showing columns in the Profile panes . . . . . . . . . . . . . . . . . .GR-192Profiler popup menu commands . . . . . . . . . . . . . . . . . . . . . . . .GR-193Profiler toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-194<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-8Table of ContentsProfiler dialogs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-195Profile Report dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-195Source window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-197Opening source files . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-197Displaying multiple source files . . . . . . . . . . . . . . . . . . . . . . . .GR-198Dragging and dropping objects into the Wave and List windows . . . . . . . . . . .GR-198Language templates . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-199Setting file-line breakpoints . . . . . . . . . . . . . . . . . . . . . . . . .GR-201Checking object values and descriptions . . . . . . . . . . . . . . . . . . . .GR-201Finding and replacing in a Source window . . . . . . . . . . . . . . . . . . .GR-202Marking lines with bookmarks . . . . . . . . . . . . . . . . . . . . . . . .GR-202Customizing the Source window . . . . . . . . . . . . . . . . . . . . . . .GR-203Source window menus . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-204Watch pane . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-206Objects you can view . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-206Adding objects to the pane . . . . . . . . . . . . . . . . . . . . . . . . . .GR-206Expanding objects to show individual bits . . . . . . . . . . . . . . . . . . . .GR-207Grouping and ungrouping objects . . . . . . . . . . . . . . . . . . . . . . .GR-207Saving and reloading format files . . . . . . . . . . . . . . . . . . . . . . .GR-207Other Watch pane commands . . . . . . . . . . . . . . . . . . . . . . . . .GR-207Wave window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-209Wave window panes . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-211Objects you can view . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-212Wave window menu bar . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-214File menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-214Edit menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-214View menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-215Insert menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-216Format menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-216Tools menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-216Window menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-217Wave window toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-218Waveform editor toolbar . . . . . . . . . . . . . . . . . . . . . . . . . .GR-221Wave window dialogs . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-223Open Dataset dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-223Write Postscript dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-224Print dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-226Page Setup dialog . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-228Cursor Properties dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-230Find in .wave dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-231Wave Signal Search dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-232Force Selected Signal dialog . . . . . . . . . . . . . . . . . . . . . . .GR-234Define Clock dialog . . . . . . . . . . . . . . . . . . . . . . . . . .GR-234Wave Signal Properties dialog . . . . . . . . . . . . . . . . . . . . . .GR-235Wave Divider Properties dialog . . . . . . . . . . . . . . . . . . . . . .GR-239Bookmark Properties dialog . . . . . . . . . . . . . . . . . . . . . . .GR-240Start Comparison dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-241Add Signal Options dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-242Add Comparison by Region dialog . . . . . . . . . . . . . . . . . . . . .GR-243Add Clocks dialog . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-244<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-9Comparison Options dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-245Modify Breakpoints dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-247Bookmark Selection dialog . . . . . . . . . . . . . . . . . . . . . . . .GR-248Dataset Snapshot dialog . . . . . . . . . . . . . . . . . . . . . . . . .GR-249Combine Selected Signals dialog . . . . . . . . . . . . . . . . . . . . .GR-251Window Preferences dialog . . . . . . . . . . . . . . . . . . . . . . .GR-253Creating and managing breakpoints . . . . . . . . . . . . . . . . . . . . . . . .GR-256Signal breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-256File-line breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-2562 - Setting <strong>GUI</strong> preferences (GR-259)Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-260Customizing the simulator <strong>GUI</strong> layout . . . . . . . . . . . . . . . . . . . . . . .GR-261Layouts and modes of operation . . . . . . . . . . . . . . . . . . . . . . . .GR-261Custom layouts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-261Automatic saving of layouts . . . . . . . . . . . . . . . . . . . . . . . . .GR-262Resetting layouts to their defaults . . . . . . . . . . . . . . . . . . . . . . .GR-262Rearranging the simulator <strong>GUI</strong> . . . . . . . . . . . . . . . . . . . . . . . . . .GR-263Moving, docking, and undocking panes . . . . . . . . . . . . . . . . . . . . .GR-263Zooming panes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-265Columnar information display . . . . . . . . . . . . . . . . . . . . . . . .GR-267Quick access toolbars . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-268Simulator <strong>GUI</strong> preferences . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-269Setting preference variables from the <strong>GUI</strong> . . . . . . . . . . . . . . . . . . . .GR-269Setting preference variables from the command line . . . . . . . . . . . . . . . .GR-270Saving <strong>GUI</strong> preferences . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-270Saving <strong>GUI</strong> preferences in an alternate location . . . . . . . . . . . . . . . . .GR-270The modelsim.tcl file . . . . . . . . . . . . . . . . . . . . . . . . . . . .GR-2713 - <strong>ModelSim</strong> <strong>GUI</strong> changes (UM-273)Main window changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-274Panes and Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-274Multiple document interface (MDI) frame . . . . . . . . . . . . . . . . . . . UM-275Context sensitivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-275File menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-276View menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-279Simulate menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-280Tools menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-281Window menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-282List window changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-283File menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-283Edit menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-284View menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-285Tools menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-286File menu in undocked List window . . . . . . . . . . . . . . . . . . . . . UM-287Memory window changes . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-288<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-10Table of ContentsFile menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-289Edit menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-290View menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-291Signals (Objects) window . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-292File menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-292Edit menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-293Source window changes . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-294File menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-294View menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-295Variables (Locals) window . . . . . . . . . . . . . . . . . . . . . . . . . . UM-296Edit menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UM-296Index (GR-276)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-111 - Simulator windowsChapter contentsIntroduction . . . . . . . . . . . . . . . . GR-13Design object icons and their meaning . . . . . . . . GR-14Setting fonts . . . . . . . . . . . . . . . . GR-15Font scaling . . . . . . . . . . . . . . . GR-15Controlling fonts in an X-session . . . . . . . . . . GR-15Main window . . . . . . . . . . . . . . . . GR-16Workspace. . . . . . . . . . . . . . . . GR-17Transcript . . . . . . . . . . . . . . . . GR-18Multiple document interface (MDI) frame . . . . . . . GR-20Main window status bar . . . . . . . . . . . . GR-22Main window menu bar . . . . . . . . . . . . GR-23Main window toolbar . . . . . . . . . . . . . GR-41Main window status bar . . . . . . . . . . . . GR-22Main window dialogs . . . . . . . . . . . . . GR-45Active Processes pane . . . . . . . . . . . . . .GR-120Active Processes dialogs . . . . . . . . . . . .GR-121Code coverage panes . . . . . . . . . . . . . .GR-122Code coverage toolbar . . . . . . . . . . . .GR-133Dataflow window . . . . . . . . . . . . . . .GR-134Dataflow window menu bar . . . . . . . . . . .GR-135Dataflow window toolbar . . . . . . . . . . . .GR-138Dataflow window dialogs . . . . . . . . . . . .GR-141List window . . . . . . . . . . . . . . . .GR-149List window menu bar. . . . . . . . . . . . .GR-151List window dialogs . . . . . . . . . . . . .GR-153Locals pane. . . . . . . . . . . . . . . . .GR-163Locals dialogs . . . . . . . . . . . . . . .GR-164Memory panes . . . . . . . . . . . . . . . .GR-166Memory popup menu commands . . . . . . . . . .GR-170Memory dialogs . . . . . . . . . . . . . .GR-172Objects pane . . . . . . . . . . . . . . . .GR-182Objects dialogs . . . . . . . . . . . . . .GR-184Profile panes . . . . . . . . . . . . . . . .GR-190Profiler popup menu commands . . . . . . . . . .GR-193Profiler dialogs . . . . . . . . . . . . . .GR-195Profiler toolbar . . . . . . . . . . . . . .GR-194Source window. . . . . . . . . . . . . . . .GR-197Source window menus . . . . . . . . . . . .GR-204Watch pane. . . . . . . . . . . . . . . . .GR-206<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-121 - Simulator windowsAdding objects to the pane . . . . . . . . . . .GR-206Expanding objects to show individual bits . . . . . . .GR-207Grouping and ungrouping objects. . . . . . . . . .GR-207Wave window . . . . . . . . . . . . . . . .GR-209Wave window menu bar . . . . . . . . . . . .GR-214Wave window toolbar . . . . . . . . . . . . .GR-218Wave window dialogs. . . . . . . . . . . . .GR-223Creating and managing breakpoints . . . . . . . . . .GR-256This chapter describes the various windows, menus, and commands that comprise the<strong>ModelSim</strong> Graphical User Interface (<strong>GUI</strong>). Chapters earlier in the User’s Manual alsodiscuss the <strong>GUI</strong> but are organized more in a task-based format as opposed to the referencestructure of this appendix.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Introduction GR-13Introduction<strong>ModelSim</strong>’s graphical user interface (<strong>GUI</strong>) consists of various windows that give access toparts of your design and numerous debugging tools. Some of the windows display as paneswithin the <strong>ModelSim</strong> Main window, some display as windows in the Multiple DocumentInterface (MDI) frame, and some display as standalone windows.The following table summarizes all of the available windows and panes.Window/pane name Description More detailsMain central <strong>GUI</strong> access point "Main window" (GR-16)Active ProcessesCode coverageDataflowdisplays all processes that are scheduled to runduring the current simulation cyclea collection of panes that display code coveragedatadisplays "physical" connectivity and lets youtrace events (causality)"Active Processes pane" (GR-120)"Code coverage panes" (GR-122)"Dataflow window" (GR-134)List shows waveform data in a tabular format "List window" (GR-149)LocalsMemoryWatchdisplays data objects that are immediatelyvisible at the current PC of the selected processa Workspace tab and MDI windows that showmemories and their contentsdisplays signal or variable values at the currentsimulation time"Locals pane" (GR-163)"Memory panes" (GR-166)"Watch pane" (GR-206)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-141 - Simulator windowsWindow/pane name Description More detailsObjectsProfileSourceTranscriptdisplays all declared data objects in the currentscopetwo panes that display performance andmemory profiling dataa text editor for viewing and editing HDL,SystemC, DO, etc. fileskeeps a running history of commands andmessages and provides a command-lineinterface"Objects pane" (GR-182)"Profile panes" (GR-190)"Source window" (GR-197)"Transcript" (GR-18)Wave displays waveforms "Wave window" (GR-209)Workspaceprovides easy access to projects, libraries,compiled design units, memories, etc."Workspace" (GR-17)The windows and panes are customizable in that you can position and size them as you seefit, and <strong>ModelSim</strong> will remember your settings upon subsequent invocations. See"Rearranging the simulator <strong>GUI</strong>" (GR-263) for more details.Design object icons and their meaningThe color and shape of icons convey information about the language and type of a designobject. Here is a list of icon colors and the languages they indicate:icon colorlight bluedark bluegreenorangelanguageVerilog or SystemVerilogVHDLSystemCvirtual objectHere is a list of icon shapes and the design object types they indicate:icon shape example design object typesquarecircleany scope (VHDL block, Verilognamed block, SC module, class,interface, task, function, etc.)processdiamondcaution signvalued object (signals, nets, registers,SystemC channel, etc.)comparison object<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Introduction GR-15icon shape example design object typediamond withred dotan editable waveform created with thewaveform editorSetting fontsYou may need to adjust font settings to accommodate the aspect ratios of wide screen anddouble screen displays or to handle launching <strong>ModelSim</strong> from an X-session.Font scalingTo change font scaling, select Tools > Options > Adjust Font Scaling. You’ll need a rulerto complete the instructions in the lower right corner of the dialog. When you have enteredthe pixel and inches information, click OK to close the dialog. Then, restart <strong>ModelSim</strong> tosee the change. This is a one time setting; you shouldn't have to set it again unless youchange display resolution or the hardware (monitor or video card). The font scaling appliesto Windows and UNIX operating systems. On UNIX systems, the font scaling is storedbased on the $DISPLAY environment variable.Controlling fonts in an X-sessionWhen executed via an X-session (e.g., Exceed, VNC), <strong>ModelSim</strong> uses font definitions fromthe .Xdefaults file. To ensure that the fonts look correct, create a .Xdefaults file with thefollowing lines:vsim*Font: -adobe-courier-medium-r-normal--*-120-*-*-*-*-*vsim*SystemFont: -adobe-courier-medium-r-normal--*-120-*-*-*-*-*vsim*StandardFont: -adobe-courier-medium-r-normal--*-120-*-*-*-*-*vsim*MenuFont: -adobe-courier-medium-r-normal--*-120-*-*-*-*-*Alternatively, you can choose a different font. Use the program "xlsfonts" to identify whichfonts are available on your system.Also, the following command can be used to update the X resources if you make changesto the .Xdefaults and wish to use those changes on a UNIX machine:xrdb -merge .Xdefaults<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-161 - Simulator windowsMain windowThe primary access point in the <strong>ModelSim</strong> <strong>GUI</strong> is called the Main window. Here is whatthe Main window looks like the very first time you start the tool:Workspace Transcript Multiple document interface (MDI) paneThe Main window provides convenient access to design libraries and objects, source files,debugging commands, simulation status messages, etc.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-17When you load a design, or bring up debugging tools, <strong>ModelSim</strong> adds additional panes oropens new windows. For example, here is the Main window after loading a simple design.Workspace tabsorganize designelements in ahierarchical treestructureThe Transcriptpane reports statusand provides acommand-lineinterfaceThe Objects panedisplays dataobjects in thecurrent scopeNotice some of the elements that appear:• Workspace tabs organize and display design objects in a hierarchical tree format• The Transcript pane tracks command history and messages and provides a command-lineinterface where you can enter <strong>ModelSim</strong> commands• The Objects pane displays design objects such as signals, nets, generics, etc. in the currentdesign scopeWorkspaceThe Workspace provides convenient access to projects, libraries, design files, compileddesign units, simulation/dataset structures, and Waveform Comparison objects. It can behidden or displayed by selecting View > Workspace (Main window).The Workspace can display the types of tabs listed below.• Project tabShows all files that are included in the open project. See Chapter 2 - Projects in the<strong>ModelSim</strong> User’s Manual for details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-181 - Simulator windows• Library tabShows design libraries and compiled design units. To update the current view of thelibrary, select a library, and then Right click > Update. See "Managing library contents"(UM-59) for details on library management.• Structure tabsShows a hierarchical view of the active simulation and any open datasets. There is onetab for the current simulation (named "sim") and one tab for each open dataset. See"Viewing dataset structure" (UM-242) for details.An entry is created by each object within the design. When you select a region in astructure tab, it becomes the current region and is highlighted. The Source window (GR-197) and Objects pane (GR-182) change dynamically to reflect the information for thecurrent region. This feature provides a useful method for finding the source code for aselected region because the system keeps track of the pathname where the source islocated and displays it automatically, without the need for you to provide the pathname.Also, when you select a region in the structure pane, the "Active Processes pane" (GR-120) is updated. The Active Processes window will in turn update the Locals pane (GR-163).Objects can be dragged from the structure tabs to the Dataflow, List and Wave windows.The structure tabs will display code coverage information (see "Viewing coverage datain the Main window" (UM-323)).You can toggle the display of processes by clicking in a Structure tab and selecting View> Filter > Processes.You can also control implicit wire processes using a preference variable. By defaultStructure tabs display implicit wire processes. To hide implicit wire processespermanently, set PrefStructure(HideImplicitWires) to 1 (select Tools > EditPreferences, By Name tab, and expand the Structure object).• Files tabShows the source files for the loaded design.You can disable the display of this tab by setting the PrefMain(ShowFilePane) preferencevariable to 0. See "Simulator <strong>GUI</strong> preferences" (GR-269) for information on settingpreference variables.The file tab will display code coverage information (see "Viewing coverage data in theMain window" (UM-323)).• Memories tabShows a hierarchical list of all memories in the design. To display this tab, select View> Debug Windows > Memory. When you select a memory on the tab, a memorycontents page opens in the MDI frame. See "Memory panes" (GR-166).• Compare tabShows comparison objects that were created by doing a waveform comparison. SeeChapter 9 - Waveform analysis for details.TranscriptThe Transcript portion of the Main window maintains a running history of commands thatare invoked and messages that occur as you work with <strong>ModelSim</strong>. When a simulation is<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-19running, the Transcript displays a VSIM prompt, allowing you to enter command-linecommands from within the graphic interface.You can scroll backward and forward through the current work history by using the verticalscrollbar. You can also use arrow keys to recall previous commands, or copy and pasteusing the mouse within the window (see "Main and Source window mouse and keyboardshortcuts" (UM-587) for details).Saving the transcript fileVariable settings determine the filename used for saving the transcript. If eitherPrefMain(file) in the .modelsim file or TranscriptFile in the modelsim.ini file is set, thenthe transcript output is logged to the specified file. By default the TranscriptFile variablein modelsim.ini is set to transcript. If either variable is set, the transcript contents are alwayssaved and no explicit saving is necessary.If you would like to save an additional copy of the transcript with a different filename, clickin the Transcript pane and then select File > Save As, or File > Save. The initial save mustbe made with the Save As selection, which stores the filename in the Tcl variablePrefMain(saveFile). Subsequent saves can be made with the Save selection. Since noautomatic saves are performed for this file, it is written only when you invoke a Savecommand. The file is written to the specified directory and records the contents of thetranscript at the time of the save.Using the saved transcript as a macro (DO file)Saved transcript files can be used as macros (DO files). See the do command (CR-149) formore information.Disabling creation of the transcript fileYou can disable the creation of the transcript file by using the following <strong>ModelSim</strong>command immediately after <strong>ModelSim</strong> starts:transcript file ""Automatic command helpWhen you start typing a command at the Transcript prompt, a dropdown box appears whichlists the available commands matching what has been typed so far. You may use the Up andDown arrow keys or the mouse to select the desired command. When a unique commandhas been entered, the command usage is presented in the drop down box.You can disable this feature by selecting Help > Command Completion or by setting thePrefMain(EnableCommandHelp) preference variable to 0. See "Simulator <strong>GUI</strong>preferences" (GR-269) for details on setting preference variables.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-201 - Simulator windowsMultiple document interface (MDI) frameThe MDI frame is an area in the Main window where source editor, memory content, wave,and list windows display. The frame allows multiple windows to be displayedsimultaneously, as shown below. A tab appears for each window.Object nameWindow tabsThe object name is displayed in the title bar at the top of the window. You can switchbetween the windows by clicking on a tab.Organizing windows with tab groupsThe MDI can quickly become unwieldy if many windows are open. You can create "tabgroups" to help organize the windows. A tab group is a collection of tabs that are separatedfrom other groups of tabs.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-21The graphic below shows how the collection of files in the picture above could beorganized into two tab groups.The commands for creating and organizing tab groups are accessed by right-clicking on anywindow tab. The table below describes the commands associated with tab groups:CommandNew Tab GroupMove Next GroupMove Prev GroupView > Vertical /HorizontalDescriptionCreates a new tab group containing the selected tabMoves the selected tab to the next group in the MDIMoves the selected tab to the previous group in the MDIArranges tab groups top-to-bottom (vertical) or right-to-left(horizontal)Note that you can also move the tabs within a tab group by dragging them with the middlemouse button.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-221 - Simulator windowsMain window status barFields at the bottom of the Main window provide the following information about thecurrent simulation:FieldProjectNowDeltaProfile SamplesMemoryenvironmentline/columnDescriptionname of the current projectthe current simulation timethe current simulation iteration numberthe number of profile samples collected during the currentsimulationthe total memory used during the current simulationname of the current context (object selected in the activeStructure tab of the Workspace)line and column numbers of the cursor in the active Sourcewindow<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-23Main window menu barFile menuThe following commands are available from the Main window menu bar. Many of thecommands become enabled/disabled depending upon which pane of the window iscurrently active.Some commands are active or inactive, depending upon which window pane is active. Forexample, if a Source window is active, the File > Open command opens a standard Opendialog. However, if a memory list is active, the command opens the Import Memory dialog.NewOpenLoadCloseImportExportprovides these options:Folder – create a new folder in the current directorySource – create a VHDL, Verilog, or Other source fileProject – create a new projectLibrary – create a new design library and mapping; see "Create a NewLibrary dialog" (GR-46)Window – create a new window of the specified typeopen a file; specify type by clicking Files of Type drop-down listexecutes or loads a previously saved format file (e.g. wave.do,waveedit.do, watch.do in the Wave or Watch windows; mem.do for theMemory pane)close a file or simulationprovides these options:Library – import FPGA libraries; see "Importing FPGA libraries" (UM-67)EVCD – import an extended EVCD file previously created with the<strong>ModelSim</strong> Waveform Editor; see "Signal mapping and importing EVCDfiles" (GR-433); this item is enabled only when a Wave window is activein the MDI frame of the Main windowMemory Data – initialize a memory by reloading a previously savememory file; see "Import Memory dialog" (GR-172)provides these options:Waveform – export a created waveform; see "Exporting waveforms to astimulus file" (GR-432);Tabular list – writes List window data to a file in tabular formatEvent list – writes List window data to a file as a series of transitions thatoccurred during simulationTSSI – writes List window data to a file in TSSI format; see "Saving Listwindow data to a file" (UM-278)Image – saves a bitmap image of the Wave windowMemory Data – saves data from the selected memory content pane to atext file; see "Export Memory dialog" (GR-175)These items are enabled only when the corresponding window/pane isactive in the MDI frame of the Main window<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-241 - Simulator windowsSaveSave AsReportChange<strong>Directory</strong>Use SourceSource<strong>Directory</strong>Environmentsaves datasets, waveform formats, or waveform edits – depending uponwhich pane is active. If the Wave window is active in the MDI frame, thiscommand will open the Save Format dialog, which will allow the user tosave waveform formats, waveform edits, or both. If the Workspace paneis active and the sim tab selected, this command allows the user to savethe current dataset as a .wlf file.save a file with a new name; the file to be saved depends upon which paneis active (e.g., if the Transcript pane is active, this command saves thetranscript; if the Memory tab is selected, a mem.do file is saved)produce a textual report from the active panechange to a different working directory; disabled if you have a project ordataset open or a simulation runningspecify an alternative file to use for the current source file; this alternativesource mapping exists for the current simulation onlyadd to a list of directories to search for source filesprovides different options depending upon which pane is selected:Follow Current Dataset – update the Objects pane based on the currentdatasetFix to dataset – fix the Objects pane content to a specific datasetFollow Process Selection – update the Locals pane content based on theselection in the "Active Processes pane" (GR-120)Fix to Current Process – fix the Locals pane content to the currentprocess; do not updateFollow Context Selection – update the pane contents based upon theselection in the structure tab of the WorkspaceFix to Current Context – maintain the current view; do not updateAdd toProjectPage SetupPrintprovides these options:New File – add new files to the open Project; see "Step 2 — Adding itemsto the project" (UM-41)Existing File – add existing files to the open Project; see "Step 2 —Adding items to the project" (UM-41)Simulation Configuration – add an object representing a design unit(s)and its associated simulation options; see "Creating a SimulationConfiguration" (UM-48)Folder – add an organization folder to the current project; see "Organizingprojects with folders" (UM-50)configure page settings for printing waveforms; this item is enabled onlywhen a wave window is active in the MDI frame of the Main window(Windows only)print the contents of the Transcript pane, a Source window, or anembedded wave window<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-25PrintPostscriptRecentDirectoriesRecentProjectsQuitsave or print the active Source file or wave window as a Postscript file(Windows only)displays a list of the most recent working directoriesdisplays a list of the most recent projectsquit <strong>ModelSim</strong><strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-261 - Simulator windowsEdit menuUndoRedoCutCopyPasteDeleteClearSelect AllUnselect AllExpandAdvancedundo the last editredo the previously undone editcut the selected textcopy the selected textpaste the previously cut or copied textdeletes an object from the active Wave window in the MDI frameclear the Transcript paneselect all text in the active windowdeselect all text in the active windowprovides these options:Expand Selected – expand the hierarchy of the selected instanceCollapse Selected – collapse the hierarchy of the selected instanceExpand All – expand the hierarchy of all instances in the activewindowCollapse All – collapse the hierarchy of all instances in the activewindowprovides these options:Force – force a value on the item selected in the Objects pane; see"Force Selected Signal dialog" (GR-184)Noforce – cancel a previous force commandClock – define a clock; see "Define Clock dialog" (GR-186)Comment/Uncomment Selected – add or remove commentcharacters from the lines selected in the active Source windowIncrease/Decrease Indent – increase/decrease indent of linesselected in Source windowUpper / Lower Case – convert case of text selected in SourcewindowClear Highlights – clear highlighting in Source windowExamine – display the current value of the object selected in theactive Source window; same as the examine (CR-160) commandDescribe – display information about the object selected in theactive Source window; same as the describe command (CR-145)Drivers – list the names of all drivers of the object selected in theactive Source windowReaders – list the names of all readers of the object selected in theactive Source window<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-27Wavethis menu is enabled only when a wave window is active in theMDI frame of the Main window; most of the options on this menurelate to Waveform Editor (see "Editing waveforms" (GR-428)Cut – Cut the selected portion of the waveform to the clipboardCopy – Copy the selected portion of the waveform to theclipboardPaste – Paste the contents of the clipboard over the selectedsection or at the active cursor locationInsert Pulse – Delete the edge at the active cursorDelete edge – Delete the edge at the active cursorInvert – Invert the selected waveform sectionMirror – Mirror the selected waveform sectionValue – Change the value of the selected portion of the waveformStretch Edge– Move an edge forward/backward by "stretching"the waveformMove Edge– Move an edge forward/backward without changingother edgesExtend All Waves– Extend all created waveforms by the specifiedamount or to the specified simulation timeChange Drive Type – Change the drive type of the selectedportion of the waveformUndo – Undo waveform edits (except changing drive type andextending all waves)Redo – Redo previously undone waveform editsEdit Cursor – edit the name or time of the selected cursorDelete Cursor – delete the selected cursorDelete Window Pane – Deletes the wave window paneRemove All – Remove all objects from the active wave windowListthis menu applies to a List window that is active in the MDI frameof the Main windowAdd Marker – Add a time marker at the currently selected lineDelete Marker – Delete marker from the currently selected lineFindReplaceSignal SearchFind in FilesPrevious CoverageMisssearch the active window for the specified text stringfind and replace text in the active windowsearch the waveform display for a specified value, or the nexttransition for the selected object; see "Searching for values ortransitions" (UM-266)search for text in saved filesfind the previous line with missed coverage in the active Sourcewindow; see "Viewing coverage data in the Source window" (UM-324)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-281 - Simulator windowsNext Coverage Missfind the next line with missed coverage in the active Sourcewindow; see "Viewing coverage data in the Source window" (UM-324)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-29View menuDebug WindowsSortFilterJustifyDatasetsWaveopen various debugging windows/panessort the Objects or Locals paneselect the type of objects displayed in the Objects, Locals, orMemory list panesjustify left or right the object names in the Locals windowopen the Dataset Browser to open, close, rename, or activate adatasetthis menu is enabled only when a wave window is active in theMDI frame of the Main windowprovides these options:Object Declaration – open the source file in the Source windowand highlight the declaration for the currently selected objectRefresh Display – clear the Wave window, empty the file cache,and rebuild the window from scratchAssertion Debug – open the Assertion Debug pane; see"Analyzing assertion failures in the Assertion Debug pane" (UM-303)Zoom – choose various zoom commandsMouse Mode – toggle mouse pointer between Select Mode (clickleft mouse button to select, drag with middle mouse button tozoom) and Zoom Mode (drag with left mouse button to zoom,click middle mouse button to select)Cursors – choose a cursor to go to from a list of available cursorsBookmarks – choose a bookmark to go to from a list of availablebookmarksCode Coverageprovides these options:Current Exclusions – hide or show the Exclusions paneMissed Coverage – hide or show the Missed Coverage paneInstance Coverage – hide or show the Instance Coverage paneDetails – hide or show the Details paneSee "Code coverage panes" (GR-122) for details on these panes.Profileprovides these options:View – hide or show Profile paneView Details – hide or show Profile Details paneSee "Profile panes" (GR-190) for details on these panes.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-301 - Simulator windowsSourceMemory ContentsWorkspaceShow TabsTabbed MDIGotoEncodingProject SettingsPropertiesprovides these options:Show line numbers – toggle display of line numbersShow language templates – toggle display of the Languagetemplates (GR-199) paneRead Only – toggles read only status of selected source fileClear Bookmarks – delete existing bookmarksprovides these options:Expand Packed Memories– expand packed dimensions; see"Viewing packed arrays" (GR-167)Split Screen – split the active memory contents window; see"Splitting the memory contents pane" (GR-169)hide or show the Workspace (GR-17) panetoggle display of window tabs in MDI frametoggle MDI frame mode from tab-based mode to floating windowmode; see "Multiple document interface (MDI) frame" (GR-20) formore informationjump to the specified line number or memory addressselect from alphabetical list of encoding names that enable properdisplay of character representations used by various operatingsystems or file systems, such as Unicode, ASCII, or Shift-JIS.show information about the open projectshow information about the object selected in the Workspace; alsodisplays the "List Signal Properties dialog" (GR-156) if invokedwhen a List window is activeFormat menuThe options on this menu are enabled only when a wave window is active in the MDI frameof the Main window.RadixFormatColorHeightset the selected objects’ radixset the waveform format for the selected objects – Literal, Logic,Event, Analogset the color for the selected objects from a color paletteset the waveform height in pixels for the selected objects<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-31Compile menuCompileCompile OptionsSystemC LinkCompile AllCompile SelectedCompile OrderCompile ReportCompile Summarycompile source files; not enabled if you have a project openset various compile options; see "Compiler Options dialog" (GR-68); disabled if you have a project opencollects the object files created in the different design libraries,and uses them to build a shared library (.so) in the current worklibrarycompile all files in the open project; see "Step 3 — Compiling thefiles" (UM-43) for details; disabled if you don’t have a project opencompile the files selected in the project tab; disabled if you don’thave a project openset the compile order of the files in the open project; see"Changing compile order" (UM-46) for details; disabled if youdon’t have a project openreport on the compilation history of the selected file(s) in theproject; disabled if you don’t have a project openreport on the compilation history of all files in the project;disabled if you don’t have a project open<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-341 - Simulator windowsMacro HelperTcl DebuggerTclPro DebuggerOptions(all options are setfor the currentsession only)Edit PreferencesUNIX only - invoke the Macro Helper tool; see "Macro helper"(UM-491)invoke the Tcl debugger, TDebug; see "The Tcl Debugger" (UM-492)invoke TclPro Debugger by Scriptics®, if installed; see "TclProDebugger" (UM-496)provides these options:Adjust Font Scaling – set font scaling factor when using dualmonitors; you must restart <strong>ModelSim</strong> after setting the font scalingTranscript File – set a transcript file to save for this session onlyCommand History – set a file for saving command history only,no commentsSave File – set filename for Save Transcript, and Save TranscriptAsSaved Lines – limit the number of lines saved in the transcript(default is 5000)Line Prefix – specify the comment prefix for the transcriptUpdate Rate – specify the update frequency for the Main windowstatus bar<strong>ModelSim</strong> Prompt – change the title of the <strong>ModelSim</strong> promptVSIM Prompt – change the title of the VSIM promptPaused Prompt – change the title of the Paused promptHTML Viewer – specify the path to your browser; used fordisplaying online helpPDF Viewer – specify the path to your PDF viewer; used fordisplaying documentationExamine Now – sets the examine command to read values at thecurrent simulation timeExamine Current Cursor – sets the examine command to readvalues at the active cursor timeWave Preferences – format the Wave window; see "WindowPreferences dialog" (GR-253)List Preferences – format the List window; see "Modify DisplayProperties dialog" (GR-159)Drag and Drop Preferences – set the action to be performed whena file is dragged and dropped into the Project, Transcript or Wavewindowsset various preference variables; see "Simulator <strong>GUI</strong> preferences"(GR-269) and "Preferences dialog" (GR-114) for more information<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-35Waveform Compare sub-menuStart ComparisonComparison WizardRun ComparisonEnd ComparisonAddOptionsDifferencesRulesReloadstart a comparison; see "Start Comparison dialog" (GR-241)use the Comparison Wizard to configure a comparison; see"Comparison Wizard" (UM-286)compute the number of differences from time zero to the end ofthe simulation run, from time zero until the maximum totalnumber of differences per signal limit is reached, or from timezero until the maximum total number of differences for all signalscompared is reachedcloses the compare dataset and removes all compare waveformsfrom the displayprovides these options:Compare by Signal – designate signals for the comparison; see"Add Signal Options dialog" (GR-242)Compare by Region – designate a reference region for thecomparison; see "Add Comparison by Region dialog" (GR-243)Clocks – Define clocks for a clocked comparison; see "AddClocks dialog" (GR-244)define waveform comparison options; see "Comparison Optionsdialog" (GR-245)provides these options:Clear – clear all differences and reset the comparisonShow – display differences in the Main window transcriptSave – save differences to a file that can be reloaded laterprovides these options:Show – display rules used to set up the comparisonSave – save rules to a file so you can recreate the comparison lateropen previously saved differences and rules files; see "Saving andreloading comparison results" (UM-297)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-361 - Simulator windowsCode Coverage sub-menuLoadSaveReportsClear DataShow coverage dataShow branchcoverageShow coveragenumbersShow coverage byInstanceload a previously saved code coverage analysis; see "Saving andreloading coverage data" (UM-340)save current code coverage data; see "Saving and reloadingcoverage data" (UM-340)produce textual output of code coverage statistics; see "Reportingcoverage data" (UM-335)clear code coverage data from the active databaseshow/hide the statement coverage column in a Source windowshow/hide the branch coverage column in a Source windowdisplay numbers rather than graphics in the coverage columns ofa Source windowdisplay counts only for the instance selected in the Workspacestructure tab<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-37Profile sub-menuPerformanceMemoryCollapse SectionsClear Profile DataProfile Reportenable statistical performance sampling; see A statisticalsampling profiler (UM-364)enable memory allocation profiling; see A memory allocationprofiler (UM-364)report collapsed processes and functionsclear all statistical performance and memory allocation data; seeCollecting memory allocation and performance data (UM-367)enable the Profile Report dialog; see Reporting profiler results(UM-378)C Debug sub-menuStart C DebugC Debug setupEnable auto stepRunQuit C DebugInit modeComplete loadAuto find bpInfo bpShowturn on C Debug so you can set breakpoints and step through Ccodespecify the location of your gdb installation; see "C Debug setupdialog" (GR-109)configure C Debug to run in auto-step mode; see "Identifying allregistered function calls" (UM-353)provide access to step, step-over, run-continue, and run-finishcommandsturn off C Debug; do this before exiting <strong>ModelSim</strong>configure C Debug to run in initialization mode; see "Debuggingfunctions during elaboration" (UM-356)cancel initialization mode and complete loading the rest of yourdesignset breakpoints at all the FLI/PLI/VPI function entry points thatare known (registered) when you make this menu selectionlist all currently set breakpoints including the source file names,line numbers, and breakpoint idsshow the values of the local variables and arguments of thecurrent C function<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-381 - Simulator windowsTracebackC InterruptCommand entryRefreshidentify the HDL source line from which the C function wascalled, if known; when running in initialization mode, no HDLinformation is available, and this command will list only the gdbtraceback stack"re-activate" the C debugger when you are stopped in HDL codeopen a command prompt dialog so you can enter commands evenif the CDBG> prompt is inaccessible;reopen a C source file if you close the Source windowinadvertently while stopped in the C debuggerJobSpy sub-menuJobSpy JobManagerDaemonJobSpy Settingsinvokes JobSpy <strong>GUI</strong>provides these options:Start Daemon - start the JobSpy daemonKill Daemon - stops the JobSpy daemonreview and set various JobSpy settings<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-39Window menuLayoutsCascadeTile HorizontallyTile VerticallyIcon ChildrenIcon AllDeicon AllCustomizeWindowsprovides these options:Reset - Restores layouts to factory defaults; see "Resetting layoutsto their defaults" (GR-262)Save - Save a custom layout or modify a default layout; see "SaveCurrent Window Layout dialog" (GR-116) and "Custom layouts"(GR-261)Configure - Assign layouts to <strong>ModelSim</strong> modes; see "ConfigureWindow Layout dialog" (GR-117) and "Assigning layouts tomodes" (GR-261) - Select a layout to rearrange the <strong>GUI</strong>cascade all open windowstile all open windows horizontallytile all open windows verticallyicon all but the Main windowicon all windowsrestore all windowsadd a button to either the tool or status bar of the specifiedwindow; see "Customize Toolbar dialog" (GR-118)list of up to nine open windows including one for each file openedin the Source window; use the Windows menu item to see acomplete listopen a dialog with a complete list of open windows<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-401 - Simulator windowsHelp menuAbout <strong>ModelSim</strong>Release NotesWelcome MenuCommandCompletionHTML/PDFDocumentationTcl HelpTcl SyntaxTcl Man PagesTechnotesdisplay <strong>ModelSim</strong> application information (e.g., softwareversion)view current release notesopen the Welcome screenenables/disables command completion drop-down; see"Automatic command help" (GR-19) for more informationopen and read <strong>ModelSim</strong> documentation in PDF or HTMLformat; PDF files can be read with a free Adobe Acrobat readeravailable on the <strong>ModelSim</strong> installation CD or fromwww.adobe.comopen the Tcl command reference (man pages) in Windows helpformatopen Tcl syntax documentation in a browseropen the Tcl /Tk 8.3 manual in HTML formatselect a technical note to view from the drop-down list<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-41Main window toolbarButtons on the Main window toolbar give you quick access to various <strong>ModelSim</strong>commands and functions.Main window toolbar buttonsButton Menu equivalent Command equivalentsNew Filecreate a new source fileFile > New > SourceOpenopen the Open File dialogFile > OpenSavesave the contents of the activepaneFile > SavePrintopen the Print dialogFile > PrintCutcut the selected text to theclipboardEdit > CutCopycopy the selected text to theclipboardEdit > CopyPastepaste the clipboard textEdit > PasteUndoundo the last editEdit > UndoRedoredo the last undone editEdit > Redo<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-421 - Simulator windowsMain window toolbar buttonsButton Menu equivalent Command equivalentsFindfind text in the active windowEdit > FindCollapse Allcollapse all instances in theactive windowEdit > Expand >Collapse AllExpand Allexpand all instance in the activewindowEdit > Expand > ExpandAllCompileopen the Compile Source Filesdialog to select files forcompilationCompile Allcompile all files in the openprojectCompile > CompileCompile > Compile Allvcom (CR-305) or vlog (CR-354)vcom (CR-305) or vlog (CR-354)Simulateload the selected design unit orsimulation configuration objectSimulate > StartSimulationvsim (CR-372)Breakstop the current simulation runSimulate > BreakEnvironment upmove up one level in the designhierarchyRestartreload the design elements andreset the simulation time to zero,with the option of maintainingvarious settings and objectsRun Lengthspecify the run length for thecurrent simulationSimulate > Run >RestartSimulate > RuntimeOptionsrestart (CR-239)run (CR-245)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-43Main window toolbar buttonsButton Menu equivalent Command equivalentsRunrun the current simulation for thespecified run lengthSimulate > Run > Runrun (CR-245)Continue Runcontinue the current simulationrun until the end of the specifiedrun length or until it hits abreakpoint or specified breakeventRun -Allrun the current simulationforever, or until it hits abreakpoint or specified breakeventStepstep the current simulation to thenext statementSimulate > Run >ContinueSimulate > Run >Run -AllSimulate > Run > Steprun -continuerun (CR-245) -allstep (CR-265)Step OverHDL statements are executed buttreated as simple statementsinstead of entered and traced lineby lineC Interruptreactivates the C debugger whenstopped in HDL codeMemory Profilingenable collection of memoryusage dataPerformance Profilingenable collection of statisticalperformance dataSimulate > Run >Step -OverTools > C Debug > CInterruptTools > Profile >MemoryTools > Profile >Performancestep (CR-265) -overcdbg (CR-75) interruptContainsfilter items in Objects pane<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-441 - Simulator windowsMain window toolbar buttonsButton Menu equivalent Command equivalentsPrevious Zero Hitsjump to previous line with zerocoverageNext Zero Hitsjump to next line with zerocoverageShow Language Templatesdisplay language templatesView > Source > Showlanguage templates<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-45Main window dialogsThis section describes the dialogs that are accessed via the Main window menu bar. Thedialogs are listed in the order in which they appear on the menus, top-to-bottom and leftto-right(i.e., starting with the File menu and progressing across the menu bar). Not alldialogs are documented (e.g., Change <strong>Directory</strong> dialog).Create Project dialogPurpose Menu command Additional informationCreate a new project File > New > Project "Getting started with projects" (UM-40)The Create Project dialog includes these options:• Project NameThe name of the new project.• Project LocationThe directory in which the project .mpf file will be created.• Default Library NameThe name of the working library. See "Working library versus resource libraries" (UM-56)for more details on work libraries. You can generally leave the Default Library Nameset to "work." The name you specify will be used to create a working library subdirectorywithin the Project Location.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-461 - Simulator windowsCreate a New Library dialogPurpose Menu command Additional informationCreate a new library File > New > Library "Working with design libraries" (UM-58)The Create a New Library dialog includes these options:• Create a new library and a logical mapping to itType the new library name into the Library Name field. This creates a library subdirectoryin your current working directory, initially mapped to itself. Once created, themapped library is easily remapped to a different library.• Create a map to an existing libraryType the new library name into the Library Name field, then type into the LibraryMaps to field or Browse to select a library for the mapping.• Library NameThe name of the new library.• Library Physical NameThe physical path to the library. Visible only when Create a new library and a logicalmapping to it is selected.• Library Maps toType or Browse for a mapping for the specified library. This field is visible and can bechanged only when the Create a map to an existing library option is selected.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-47Open File dialogPurpose Menu command Additional informationOpen a previouslysaved fileFile > OpenThe Open File dialog is the standard Open dialog used by your operating system, and it maylook slightly different on your system than the one above. The key field in this dialog isFiles of Type. Select an item here to show the file type you want to open.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-481 - Simulator windowsImport Library Wizard dialogPurpose Menu command Additional informationMap to a vendorsuppliedlibraryFile > Import > Library"Importing FPGA libraries" (UM-67)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-49Evcd Import dialogPurpose Menu command Additional informationImport a previouslysaved EVCD file asstimulusFile > Import > EVCD"Signal mapping and importing EVCDfiles" (GR-433)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-501 - Simulator windowsSave As dialogPurpose Menu command Additional informationSave a file for the firsttimeFile > Save AsWatch window active: saves watch.doWave window active: saves wave.doMemory pane active: saves mem.do<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-51Create Project File dialogPurpose Menu command Additional informationAdd a new file to theopen projectFile > Add to Project>New File"Create New File" (UM-42)The Create Project File dialog includes these options:• File NameThe name of the new file.• Add file as typeSelect from a variety of types (e.g., Tcl, Verilog, SDF, etc.).• FolderThe organization folder in which you want the new file placed. You must first createfolders in order to access them here. See "Organizing projects with folders" (UM-50) fordetails.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-521 - Simulator windowsAdd file to Project dialogPurpose Menu command Additional informationAdd an existing file tothe open projectFile > Add to Project>Existing File"Add Existing File" (UM-42)The Add file to Project dialog includes these options:• File NameThe name of the file to add. You can add multiple files at one time.• Add file as typeThe type of the file. "Default" assigns type based on the file extension (e.g., .v is typeVerilog).• FolderThe organization folder in which you want the file placed. You must first create foldersin order to access them here. See "Organizing projects with folders" (UM-50) for details.• <strong>Reference</strong> from current location/Copy to project directoryChoose whether to reference the file from its current location or to copy it into the projectdirectory.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-53Optimization Configuration dialogPurpose Menu command Additional informationAdd an optimizationconfiguration to theopen projectFile > Add to Project>OptimizationConfiguration"Optimization Configurations" (UM-49),"Design Optimization dialog" (GR-78)When adding an optimization configuration, you are presented with a modified version ofthe "Design Optimization dialog" (GR-78) that includes two additional options:• Optimization Configuration NameThe name you want for the Optimization Configuration.• Place in FolderThe organization folder in which you want the Optimization Configuration placed. ClickAdd Folder to create a new folder. See "Organizing projects with folders" (UM-50) fordetails.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-541 - Simulator windowsSimulation Configuration dialogPurpose Menu command Additional informationAdd a simulationconfiguration to theopen projectFile > Add to Project>SimulationConfiguration"Creating a Simulation Configuration"(UM-48), "Start Simulation dialog" (GR-85)When adding a simulation configuration, you are presented with a modified version of the"Start Simulation dialog" (GR-85) that includes two additional options:• Simulation Configuration NameThe name you want for the Simulation Configuration.• Place in FolderThe organization folder in which you want the Simulation Configuration placed. ClickAdd Folder to create a new folder. See "Organizing projects with folders" (UM-50) fordetails.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-55Add Folder dialogPurpose Menu command Additional informationAdd a folder to theopen projectFile > Add to Project>Folder"Organizing projects with folders" (UM-50)The Add Folder dialog includes these options:• Folder NameThe name you want for the new folder.• Folder LocationThe organization folder in which you want the new folder placed. The first folder youcreate can be placed only in "Top Level."<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-561 - Simulator windowsFind in Transcript dialogPurpose Menu command Additional informationSearch for text in thecurrent transcriptEdit > FindNAYou must activate the Transcript pane by clicking in it before this dialog will be available.The Find in Transcript dialog includes these options:• FindThe text string you want to search for in the transcript. Backslashes are used to escapespecial interpretation of basic regular expression characters. To search explicitly for abackslash character, it is necessary to escape the character. For example, to match \ArchSignal 1\, the pattern \\Arch... is required.• Case sensitiveIf checked, the search will be case sensitive.• Regular expressionCheck this box if you are using regular expression in the search string.• Search backwardsSearch progresses backwards from the current cursor location in the transcript pane.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-57Dataset Browser dialogPurpose Menu command Additional informationManage datasets View > Datasets "Managing multiple datasets" (UM-244)The Dataset Browser dialog includes these options.• OpenOpens the Open Dataset dialog (see "Open File dialog" (GR-47)) so you can openadditional datasets.• Save AsSaves the selected dataset.• CloseCloses the selected dataset. This will also remove the dataset’s Structure tab in the Mainwindow workspace.• Make ActiveMakes the selected dataset "active." You can also effect this change by double-clickingthe dataset name. "Active" dataset means that if you type a region path as part of acommand and omit the dataset prefix, the active dataset will be assumed. It is equivalentto typing env : at the VSIM prompt. The active dataset is displayed at thebottom of the Main window.• RenameAllows you to assign a new logical name to the selected dataset.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-581 - Simulator windowsProject Compiler SettingsPurpose Menu command Additional informationConfigure settings forproject filesView > Properties"Specifying file properties and projectsettings" (UM-52)This dialog is accessible only if you have a project open. In addition to selecting View >Properties, you can open this dialog by right-clicking a file in the Project tab and selectingProperties. The tabs that are displayed will depend on the type of file(s) you select. Whenyou select a SystemC file, only the General tab will be displayed.General tabThe General tab includes these options:• Do Not CompileDetermines whether the file is excluded from the compile.• Compile to librarySpecifies to which library you want to compile the file; defaults to the working library.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-59• Place in FolderSpecifies the folder in which to place the selected file(s). See "Organizing projects withfolders" (UM-50) for details on folders.• File PropertiesA variety of information about the selected file (e.g, type, size, path). Displays only if asingle file is selected in the Project tab.• Change TypeChange the type that <strong>ModelSim</strong> assigns the file. <strong>ModelSim</strong> uses file extensions (e.g., .vor .vhd) to assign file types.VHDL tab• Language SyntaxSpecifies which version of the 1076 standard to use when compiling. The default is 2002.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-601 - Simulator windowsChanging the VHDL standard (UM-518) variable in the modelsim.ini file will make thesetting permanent.• Don’t put debugging info in libraryModels compiled with this option do not use any of the <strong>ModelSim</strong> debugging features.Consequently, your user will not be able to see into the model. This also means that youcannot set breakpoints or single step within this code. Don’t compile with this optionuntil you are done debugging. Same as the -nodebug argument to the vcom command(CR-305). See "Protecting source code using -nodebug" (UM-68) for more details. Edit theNoDebug (UM-506) variable in the modelsim.ini file to set a permanent default.• Use explicit declarations onlyUsed to ignore an error in packages supplied by some other EDA vendors; directs thecompiler to resolve ambiguous function overloading in favor of the explicit functiondefinition. Same as the -explicit argument to the vcom command (CR-305). Edit theExplicit (UM-506) variable in the modelsim.ini file to set a permanent default.Although it is not intuitively obvious, the = operator is overloaded in the std_logic_1164package. All enumeration data types in VHDL get an “implicit” definition for the =operator. So while there is no explicit = operator, there is an implicit one. This implicitdeclaration can be hidden by an explicit declaration of = in the same package (LRMSection 10.3). However, if another version of the = operator is declared in a differentpackage than that containing the enumeration declaration, and both operators becomevisible through use clauses, neither can be used without explicit naming, for example:ARITHMETIC.”=”(left, right)This option allows the explicit = operator to hide the implicit one.• Disable loading messagesDisables loading messages in the Transcript pane. Same as the -quiet argument for thevcom command (CR-305). Edit the Quiet (UM-506) variable in the modelsim.ini file to seta permanent default.• Show source lines with errorsCauses the compiler to display the relevant lines of code in the transcript. Same as the-source argument to the vcom command (CR-305). Edit the Show_source (UM-507)variable in the modelsim.ini file to set a permanent default.• Disable all optimizationsInstructs the compiler to remove all optimizations. Same as the -O0 argument to thevcom command (CR-305). Useful when "Measuring code coverage" (UM-317), whereoptimizations can skew results.Check for:• SynthesisTurns on limited synthesis-rule compliance checking. The checks apply only to signalsused (read) by a process; also, the checks understand only combinational logic, notclocked logic. Edit the CheckSynthesis (UM-506) variable in the modelsim.ini file to set apermanent default.• VITAL ComplianceToggle Vital compliance checking. Edit the NoVitalCheck (UM-506) variable in themodelsim.ini file to set a permanent default.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-61Report Warnings on:• Unbound componentFlags any component instantiation in the VHDL source code that has no matching entityin a library that is referenced in the source code, either directly or indirectly. Edit theShow_Warning1 (UM-507) variable in the modelsim.ini file to set a permanent default.• Process without a WAIT statementFlags any process that does not contain a wait statement or a sensitivity list. Edit theShow_Warning2 (UM-507) variable in the modelsim.ini file to set a permanent default.• Null rangeFlags any null range, such as 0 down to 4. Edit the Show_Warning3 (UM-507) variable inthe modelsim.ini file to set a permanent default.• No space in time literal (e.g. 5ns)Flags any time literal that is missing a space between the number and the time unit. Editthe Show_Warning4 (UM-507) variable in the modelsim.ini file to set a permanent default.• Multiple drivers on unresolved signalsFlags any unresolved signals that have multiple drivers. Edit the Show_Warning5 (UM-507) variable in the modelsim.ini file to set a permanent default.Optimize for:• StdLogic1164Causes the compiler to perform special optimizations for speeding up simulation whenthe multi-value logic package std_logic_1164 is used. Unless you have modified thestd_logic_1164 package, this option should always be checked. Edit the Optimize_1164(UM-506) variable in the modelsim.ini file to set a permanent default.• VITALToggle acceleration of the VITAL packages. Edit the NoVital (UM-506) variable in themodelsim.ini file to set a permanent default.Other VHDL options:• Enter any other valid vcom arguments. See the vcom command (CR-305) in the <strong>ModelSim</strong>Command <strong>Reference</strong> for a complete list.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-621 - Simulator windowsVerilog tabThe Verilog tab includes the following options:• Language SyntaxSpecify which version of the standard should be used to compile the selected file(s). Thedefault is Verilog 2001. Selecting Use Verilog 1995 simply disables 2001 keywords.Similarly, selecting Use SystemVerilog simply enables SystemVerilog keywords.• Enable runtime hazard checksEnables the run-time hazard checking code. Same as the -hazards argument to the vlogcommand (CR-354). Edit the Hazard (UM-503) variable in the modelsim.ini file to set apermanent default.• Disable debugging dataModels compiled with this option do not use any of the <strong>ModelSim</strong> debugging features.Consequently, your user will not be able to see into the model. This also means that youcannot set breakpoints or single step within this code. Don’t compile with this option<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-63until you are done debugging. Same as the -nodebug argument for the vlog command(CR-354). See "Protecting source code using -nodebug" (UM-68) for more details. Edit theNoDebug (UM-506) variable in the modelsim.ini file to set a permanent default.• Convert identifiers to upper-caseConverts regular Verilog identifiers to uppercase. Allows case insensitivity for modulenames. Same as the -u argument to the vlog command (CR-354). Edit the UpCase (UM-504)variable in the modelsim.ini file to set a permanent default.• Disable loading messagesDisables loading messages in the Transcript pane. Same as the -quiet argument for thevlog command (CR-354). Edit the Quiet (UM-506) variable in the modelsim.ini file to set apermanent default.• Show source lines with errorsCauses the compiler to display the relevant lines of code in the transcript. Same as the-source argument to the vlog command (CR-354). Edit the Show_source (UM-507) variablein the modelsim.ini file to set a permanent default.• Disable all optimizationsInstructs the compiler to remove all optimizations. Same as the -O0 argument to the vlogcommand (CR-354). Useful when running "Measuring code coverage" (UM-317), whereoptimizations can skew results.• Enable `protect usageEnables encryption of regions of your Verilog source code. See "Compiler directivesspecific to <strong>ModelSim</strong>" (UM-158) for more details. Same as the +protect argument for thevlog command (CR-354). Edit the Protect (UM-504) variable in the modelsim.ini file to seta permanent default.• Use vopt flowInstructs <strong>ModelSim</strong> that you will be optimizing the design (see "Optimizing Verilogdesigns" (UM-127) for more information). This prevents the compiler from producingcode. Same as the -vopt argument to the vlog command (CR-354).Other Verilog Options:Specify any valid vlog command (CR-354) arguments.• Library SearchSpecifies the Verilog source library directory to search for undefined modules. Same asthe -y argument for the vlog command (CR-354).• ExtensionSpecifies the suffix of files in the library directory. Multiple suffixes can be used. Sameas the +libext+ argument for the vlog command (CR-354).• Library FileSpecifies the Verilog source library file to search for undefined modules. Same as the -v argument for the vlog command (CR-354).• Include <strong>Directory</strong>Specifies a directory for files included with the ‘include filename compiler directive.Same as the +incdir+ argument for the vlog command (CR-354).• MacroDefines a macro equivalent to one created with the ‘define macro_name macro_text<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-641 - Simulator windowscompiler directive. Same as the +define+ [ = ] argumentfor the vlog command (CR-354).Note: When you specify Other Verilog Options, they are saved into a file called vlog.opt.If you do this while a project is open, an OptionFile entry is written into your project file.If you do this when a project is not open, an OptionFile entry is written into themodelsim.ini file that you are currently using.Coverage tabThe definitions for the options on the Coverage tab can be found in "Enabling codecoverage" (UM-321).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-65Project Settings dialogPurpose Menu command Additional informationConfigure defaultproject propertiesView > Project Settings"Specifying file properties and projectsettings" (UM-52)This dialog is also available by right-clicking in the Project tab of the Workspace andselecting Project Settings. The Project Settings dialog includes these options:• Display compiler outputPrints verbose compile output to the Transcript. By default verbose output is produced inthe Compile Report only.• Save compile reportSaves verbose compile output to disk. You can access the report by right-clicking a fileand selecting Compile > Compile Report.• Location mapSpecifies whether physical paths for the project files should be saved as soft paths if theyare present in the location map. See "Referencing source files with location maps" (UM-65) for more details on using location maps.• Additional PropertiesSpecifies whether all previously opened project source files will be reopened when aproject is reopened. Default: "Automatically reopen all source files..." is enabled.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-661 - Simulator windows• Double-click BehaviorSpecifies the action to take when you double-click a type of file. If you select Custom,you can specify a Tcl command in the text box below the file type.You can use %f for filename substitution. For example, if you want double click on a Tclfile to open the file with Notepad, you would insert the following in the text box:notepad %f<strong>ModelSim</strong> will substitute the %f with the filename that was clicked on, then execute thestring.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-67Compile Source Files dialogPurpose Menu command Additional informationCompile Verilog orVHDL source filesCompile > Compile(disabled when aproject is open)"Compiling Verilog files" (UM-116),"Compiling VHDL files" (UM-72),"Compiling SystemC files" (UM-169)The Compile Source Files dialog includes these options:• LibraryThe library into which you want the source code compiled.• Look inThe directory you want to look in for source files.• File nameThe name of the file(s) you want to compile. Specify the file name and then click theCompile button. Alternatively, double-click a file in the list to compile it.• File of typeFilter the list to show only files of a certain type (e.g., HDL files, Verilog files, etc.).• Default OptionsConfigure compiler options for the Verilog, VHDL, and SystemC compilers and CodeCoverage. See "Compiler Options dialog" (GR-68) for details.• Edit SourceView or edit the selected file in the Source window.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-681 - Simulator windowsCompiler Options dialogPurpose Menu command Additional informationConfigure compileroptionsCompile > CompileOptions (disabledwhen a project is open)vlog command (CR-354), vcomcommand (CR-305), sccom command(CR-247)Important: Note that changes made in the Compiler Options dialog become the defaultfor all future simulations.VHDL tabThe VHDL tab includes the following options:<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-69• Language SyntaxSpecifies which version of the 1076 standard to use when compiling. The default is 2002.Changing the VHDL standard (UM-518) variable in the modelsim.ini file will make thesetting permanent.• Don’t put debugging info in libraryModels compiled with this option do not use any of the <strong>ModelSim</strong> debugging features.Consequently, your user will not be able to see into the model. This also means that youcannot set breakpoints or single step within this code. Don’t compile with this optionuntil you are done debugging. Same as the -nodebug argument to the vcom command(CR-305). See "Protecting source code using -nodebug" (UM-68) for more details. Edit theNoDebug (UM-506) variable in the modelsim.ini file to set a permanent default.• Use explicit declarations onlyUsed to ignore an error in packages supplied by some other EDA vendors; directs thecompiler to resolve ambiguous function overloading in favor of the explicit functiondefinition. Same as the -explicit argument to the vcom command (CR-305). Edit theExplicit (UM-506) variable in the modelsim.ini file to set a permanent default.Although it is not intuitively obvious, the = operator is overloaded in the std_logic_1164package. All enumeration data types in VHDL get an “implicit” definition for the =operator. So while there is no explicit = operator, there is an implicit one. This implicitdeclaration can be hidden by an explicit declaration of = in the same package (LRMSection 10.3). However, if another version of the = operator is declared in a differentpackage than that containing the enumeration declaration, and both operators becomevisible through use clauses, neither can be used without explicit naming, for example:ARITHMETIC.”=”(left, right)This option allows the explicit = operator to hide the implicit one.• Disable loading messagesDisables loading messages in the Transcript pane. Same as the -quiet argument for thevcom command (CR-305). Edit the Quiet (UM-506) variable in the modelsim.ini file to seta permanent default.• Show source lines with errorsCauses the compiler to display the relevant lines of code in the transcript. Same as the-source argument to the vcom command (CR-305). Edit the Show_source (UM-507)variable in the modelsim.ini file to set a permanent default.• Disable all optimizationsInstructs the compiler to remove all optimizations. Same as the -O0 argument to thevcom command (CR-305). Useful when "Measuring code coverage" (UM-317), whereoptimizations can skew results. You can also set this in the modelsim.ini by adding"DisableOpt = 1" to the end of the [vcom] section.Check for:• SynthesisTurns on limited synthesis-rule compliance checking. The checks apply only to signalsused (read) by a process; also, the checks understand only combinational logic, notclocked logic. Edit the CheckSynthesis (UM-506) variable in the modelsim.ini file to set apermanent default.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-701 - Simulator windows• VITAL ComplianceToggle Vital compliance checking. Edit the NoVitalCheck (UM-506) variable in themodelsim.ini file to set a permanent default.Report Warnings on:• Unbound componentFlags any component instantiation in the VHDL source code that has no matching entityin a library that is referenced in the source code, either directly or indirectly. Edit theShow_Warning1 (UM-507) variable in the modelsim.ini file to set a permanent default.• Process without a WAIT statementFlags any process that does not contain a wait statement or a sensitivity list. Edit theShow_Warning2 (UM-507) variable in the modelsim.ini file to set a permanent default.• Null rangeFlags any null range, such as 0 down to 4. Edit the Show_Warning3 (UM-507) variable inthe modelsim.ini file to set a permanent default.• No space in time literal (e.g. 5ns)Flags any time literal that is missing a space between the number and the time unit. Editthe Show_Warning4 (UM-507) variable in the modelsim.ini file to set a permanent default.• Multiple drivers on unresolved signalsFlags any unresolved signals that have multiple drivers. Edit the Show_Warning5 (UM-507) variable in the modelsim.ini file to set a permanent default.Optimize for:• StdLogic1164Causes the compiler to perform special optimizations for speeding up simulation whenthe multi-value logic package std_logic_1164 is used. Unless you have modified thestd_logic_1164 package, this option should always be checked. Edit the Optimize_1164(UM-506) variable in the modelsim.ini file to set a permanent default.• VITALToggle acceleration of the VITAL packages. Edit the NoVital (UM-506) variable in themodelsim.ini file to set a permanent default.• Other VHDL optionsEnter any other valid vcom arguments. See the vcom command (CR-305) in the <strong>ModelSim</strong>Command <strong>Reference</strong> for a complete list.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-71Verilog tabThe Verilog tab includes the following options:• Language SyntaxSpecify which version of the standard should be used to compile the selected file(s). Thedefault is Verilog 2001. Selecting Use Verilog 1995 simply disables 2001 keywords.Similarly, selecting Use SystemVerilog simply enables SystemVerilog keywords.• Enable runtime hazard checksEnables the run-time hazard checking code. Same as the -hazards argument to the vlogcommand (CR-354). Edit the Hazard (UM-503) variable in the modelsim.ini file to set apermanent default.• Disable debugging dataModels compiled with this option do not use any of the <strong>ModelSim</strong> debugging features.Consequently, your user will not be able to see into the model. This also means that you<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-721 - Simulator windowscannot set breakpoints or single step within this code. Don’t compile with this optionuntil you are done debugging. Same as the -nodebug argument for the vlog command(CR-354). See "Protecting source code using -nodebug" (UM-68) for more details. Edit theNoDebug (UM-506) variable in the modelsim.ini file to set a permanent default.• Convert identifiers to upper-caseConverts regular Verilog identifiers to uppercase. Allows case insensitivity for modulenames. Same as the -u argument to the vlog command (CR-354). Edit the UpCase (UM-504)variable in the modelsim.ini file to set a permanent default.• Disable loading messagesDisables loading messages in the Transcript pane. Same as the -quiet argument for thevlog command (CR-354). Edit the Quiet (UM-506) variable in the modelsim.ini file to set apermanent default.• Show source lines with errorsCauses the compiler to display the relevant lines of code in the transcript. Same as the-source argument to the vlog command (CR-354). Edit the Show_source (UM-507) variablein the modelsim.ini file to set a permanent default.• Disable all optimizationsInstructs the compiler to remove all optimizations. Same as the -O0 argument to the vlogcommand (CR-354). Useful when running "Measuring code coverage" (UM-317), whereoptimizations can skew results.• Enable `protect usageEnables encryption of regions of your Verilog source code. See "Compiler directivesspecific to <strong>ModelSim</strong>" (UM-158) for more details. Same as the +protect argument for thevlog command (CR-354). Edit the Protect (UM-504) variable in the modelsim.ini file to seta permanent default.• Use vopt flowInstructs <strong>ModelSim</strong> that you will be optimizing the design (see "Optimizing Verilogdesigns" (UM-127) for more information). This prevents the compiler from producingcode. Same as the -vopt argument to the vlog command (CR-354).Other Verilog Options:Specify any valid vlog command (CR-354) arguments.• Library SearchSpecifies the Verilog source library directory to search for undefined modules. Same asthe -y argument for the vlog command (CR-354).• ExtensionSpecifies the suffix of files in the library directory. Multiple suffixes can be used. Sameas the +libext+ argument for the vlog command (CR-354).• Library FileSpecifies the Verilog source library file to search for undefined modules. Same as the -v argument for the vlog command (CR-354).• Include <strong>Directory</strong>Specifies a directory for files included with the ‘include filename compiler directive.Same as the +incdir+ argument for the vlog command (CR-354).• MacroDefines a macro equivalent to one created with the ‘define macro_name macro_text<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-73compiler directive. Same as the +define+ [ = ] argumentfor the vlog command (CR-354).Note: When you specify Other Verilog Options, they are saved into a file called vlog.opt.If you do this while a project is open, an OptionFile entry is written into your project file.If you do this when a project is not open, an OptionFile entry is written into themodelsim.ini file that you are currently using.Coverage tabThe Coverage tab lets you select which types of code coverage statistics will be collectedduring the simulation. See "Enabling code coverage" (UM-321) for details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-741 - Simulator windowsSystemC tabThe SystemC tab includes the following options:• Enable compilation log fileWrites the compilation output to a file name, specified in the File path field. Same as the-log argument to the sccom command (CR-247).• Include SystemC verification libraryIncludes the SystemC verification library. Same as the -scv argument to the sccomcommand (CR-247).• Include SystemC master slave libraryIncludes the SystemC master slave library. Same as the -scms argument to the sccomcommand (CR-247).• Enable verbose sccom messagesEchoes subprocess invocations with command arguments. Same as the -verboseargument to the sccom command (CR-247).• Custom g++ compiler pathEnables you to specify a path for your custom g++ installation.Other CPP OptionsSpecify any valid g++/aCC compiler options. All options are accepted, with the exceptionof the -o and -c options.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-75• Include <strong>Directory</strong>Includes a directory that contains source files. Same as the -I argument to g++/aCC.• MacroDefines a macro. Same as the -D argument to g++/aCC.• Enable Debug ModeCompiles SystemC code with debugging information. By default SystemC code iscompiled without debugging information. Same as the -g argument to g++/aCC.• Optimization levelSpecifies an optimization value you wish to use. By default, no optimization isperformed. Same as the -O# argument to g++/aCC.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-761 - Simulator windowsSystemC Link dialogPurpose Menu command Additional informationBuild a shared library(.so) in the currentwork libraryCompile > SystemCLink"Linking the compiled source" (UM-177)The SystemC Link dialog includes these options:• Work LibrarySets the work library for the sccom -link command. Same as the -work argument to thesccom command (CR-247).• Include LibrariesIncludes any specified library. You can Add (browse for) a library, Modify the selectionof a library, or Delete the library. Same as the -lib argument to the sccom command (CR-247).• Include SystemC verification libraryIncludes the SystemC verification library. Same as the -scv argument to the sccomcommand (CR-247).• Include SystemC master slave libraryIncludes the SystemC master slave library. Same as the -scms argument to the sccomcommand (CR-247).• SystemC Link OptionsSpecify any valid g++/aCC linking options. All options are accepted.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-77Compile Order dialogPurpose Menu command Additional informationSet the order in whichfiles in a project arecompiledCompile > CompileOrder (disabled unlessproject is open)"Changing compile order" (UM-46)Group buttonUngroup button• Up arrowMove selected file(s) up in compile order.• Down arrowMove selected file(s) down in order.• Group buttonGroups several files together so they are sent to the compiler at the same time. See"Grouping files" (UM-47) for further details.• Ungroup buttonUngroups a previously created group.• Auto GenerateDetermines the correct compile order by making multiple passes over the files. See"Auto-generating compile order" (UM-46) for further details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-781 - Simulator windowsDesign Optimization dialogPurpose Menu command Additional informationOptimize a designSimulate > DesignOptimization"Optimizing Verilog designs" (UM-127),vopt command (CR-365)Design tabThe Design tab includes these options:• Design Unit(s)Specifies the top-level design unit to optimize. You can specify names one of two ways:- Type a design unit name (configuration, module, or entity) into the field, separateadditional names with a space. Specify library/design units with the following syntax:[.]- Select a design unit from the list. You can select multiple top level design units fromthe list by using the control key when you click.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-79• Output Design Name (vopt -o )Specify a name for the optimized design. This is a required option. See "Naming theoptimized design" (UM-128) for more information.• Simulation Start ImmediatelyAutomatically loads the design after optimization is complete. Click the Options buttonto specify Simulation options (see "Start Simulation dialog" (GR-85) for a description ofthe options).Libraries tabUse the Libraries tab to override any library search options you specified when youcompiled the design. See the "Libraries tab" (GR-89) for further details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-801 - Simulator windowsVisibility tabUse the Visibility tab to selectively enable access to parts of your design. See "Enablingdesign object visibility with +acc" (UM-129) for additional information. The tab includesthese options:• No design object visibilityvopt applies all possible optimizations with no concern for debugging visibility. Manyof the nets, ports, and registers are unavailable by name in user interface commands andin the various graphic interface windows. In addition, many of these objects do not havePLI Access handles, potentially affecting the operation of PLI applications.• Apply full visibility...(+acc)vopt maintains full access to all design objects. This may substantially reduce simulatorperformance.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-81• Customized visibilityClick the Add button to open the Add Access Flags dialog.• Selected Module(s)Specify one or more module names for which you want to add access flags. You can typethe names or use the library browser to select modules with the mouse.• RecursiveApplies flags recursively into sub-regions of the specified module(s).• Apply to all modulesApplies flags to all modules in the design.• Access Visibility SpecificationsSpecify to which design objects you need access. Options include:Registers (+acc=r)Nets (+acc=n)Ports (+acc=p)Enable access to registers (includingmemories, integer, time, and real types).Enable access to nets.Enable access to ports.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-821 - Simulator windowsLine debugging (+acc=l)Bits of vector nets (+acc=b)Cells (+acc=c)Generics/Parameters (+acc=g)Tasks and functions (+acc=t)System tasks and functions (+acc=s)Enable line number directives and processnames for line debugging, profiling, and codecoverage.Enable access to individual bits of vector nets.Enable access to library cells.Enable access to generics and parameters.Enable access to tasks and functions.Enable access to system tasks<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-83Options tabThe Options tab includes these options:• Optimization Level (-O)Specify the optimization level for the design. This option is ignored for VHDL andSystemC designs.• Command Files (-f)Click Add to include one or more command files. A command file is a text file thatincludes additional command arguments.• Enable Hazard Checking (-hazards)Enables hazard checking in Verilog modules. This overrides settings from your Verilogcompiler invocation.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-841 - Simulator windows• Keep delta delays (-keep_delta)Disables optimizations that remove delta delays. This overrides settings from yourVerilog compiler invocation.• Disable Timing Checks in Specify Blocks (+notimingchecks)Disables the timing check system tasks ($setup, $hold,...) in specify blocks. Thisoverrides settings from your Verilog compiler invocation.• Verilog Delay Selection (+mindelays | +typdelays | +maxdelays)Use the drop-down menu to select timing for min:typ:max expressions.• Other Vopt OptionsSpecify any other vopt command (CR-365) arguments.• Simulation Start ImmediatelyAutomatically loads the design after optimization is complete. Click the Options buttonto specify Simulation options (see "Start Simulation dialog" (GR-85) for a description ofthe options).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-85Start Simulation dialogPurpose Menu command Additional informationSimulate a compileddesign unitSimulate > StartSimulation"Verilog and SystemVerilogsimulation" (UM-113), "VHDLsimulation" (UM-69), "SystemCsimulation" (UM-163), "Mixed-languagesimulation" (UM-197), vsim command(CR-372)Design tabThe Design tab includes these options:• Design Unit(s)Specifies the design unit(s) to simulate. You can specify the top-level design unit in oneof two ways:- Type a design unit name (configuration, module, entity, optimized design) into thefield, separating additional names with a space. Specify library/design units with thefollowing syntax:[.]<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-861 - Simulator windows- Select a design unit from the list. You can select multiple design units from the list byusing the control key when you click.• Resolution(-t [])The drop-down menu sets the simulator time units.Simulator time units can be expressed as any of the following:Simulation time units1fs, 10fs, or 100fs1ps, 10ps, or 100ps1ns, 10ns, or 100ns1us, 10us, or 100us1ms, 10ms, or 100ms1sec, 10sec, or 100secfemtosecondspicosecondsnanosecondsmicrosecondsmillisecondssecondsSee also, "Simulator resolution limit" (UM-79).• Enable OptimizationInvokes vopt on the design prior to loading. Please read "Optimizing Verilog designs"(UM-127) before using this option.• Optimization OptionsSpecify optimization options for vopt. See "Start Simulation dialog" (GR-85) for detailson this dialog.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-87VHDL tabThe VHDL tab includes these options:VITAL• Disable Timing Checks (+notimingchecks)Disables timing checks generated by VITAL models.• Use Vital 2.2b SDF Mapping (-vital2.2b)Selects SDF mapping for VITAL 2.2b (default is Vital95).• Disable Glitch Generation (-noglitch)Disables VITAL glitch generation.TEXTIO files• STD_INPUT (-std_input )Specifies the file to use for the VHDL textio STD_INPUT file. Use the Browse buttonto locate a file within your directories.• STD_OUTPUT (-std_output )Specifies the file to use for the VHDL textio STD_OUTPUT file. Use the Browse buttonto locate a file within your directories.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-881 - Simulator windowsOther Options• Treat non-existent VHDL files...(-absentisempty)Specifies that files opened for read that target non-existent files be treated as empty,rather than <strong>ModelSim</strong> issuing fatal error messages.• Do not share file descriptors...(-nofileshare)Turns off file descriptor sharing. By default <strong>ModelSim</strong> shares a file descriptor for allVHDL files opened for write or append that have identical names.Verilog tabThe Verilog tab includes these options:Pulse Options• Disable pulse error and warning messages (+no_pulse_msg)Disables path pulse error and warning messages.• Rejection Limit (+pulse_r/)Sets the module path pulse rejection limit as a percentage of the path delay.• Error Limit (+pulse_e/)Sets the module path pulse error limit as a percentage of the path delay.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-89Other Options• Enable Hazard Checking (-hazards)Enables hazard checking in Verilog modules.• Disable Timing Checks in Specify Blocks (+notimingchecks)Disables the timing check system tasks ($setup, $hold,...) in specify blocks.• User Defined Arguments (+)Arguments are preceded with “+”, making them accessible through the Verilog PLIroutine mc_scan_plusargs. The values specified in this field must have a "+" precedingthem or <strong>ModelSim</strong> may parse them incorrectly.• Delay Selection (+mindelays | +typdelays | +maxdelays)Use the drop-down menu to select timing for min:typ:max expressions.Libraries tabThe Libraries tab includes these options:• Search Libraries (-L)Specifies the libraries to search for design units instantiated from Verilog.• Search Libraries First (-Lf)Same as Search Libraries but these libraries are searched before ‘uselib.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-901 - Simulator windowsSDF tabThe SDF (Standard Delay Format) tab includes these options:SDF FilesClick the Add button tospecify the SDF files toload for the currentsimulation. You mayalso select an existing fileon the listing to Delete orModify.From the Add SDFEntry dialog you can setthe following options:• SDF file ([] =)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-91Specifies the SDF file to use for annotation. Use the Browse button to locate a file withinyour directories.• Apply to region ([] = )Specifies the design region to use with the selected SDF options.• Delay (-sdfmin | -sdftyp | -sdfmax)The drop-down menu selects delay timing (min, typ, or max) to be used from thespecified SDF file. See also, "Specifying SDF files for simulation" (UM-438).SDF options• Disable SDF warnings (-sdfnowarn)Select to disable warnings from the SDF reader.• Reduce SDF errors to warnings (-sdfnoerror)Change SDF errors to warnings so the simulation can continue.• Multi-Source Delay (-multisource_delay )Select max, min, or latest delay. Controls how multiple PORT or INTERCONNECTconstructs that terminate at the same port are handled. By default, the Module Input PortDelay (MIPD) is set to the max value encountered in the SDF file. Alternatively, you canchoose the min or latest of the values.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-921 - Simulator windowsOthers tabThe Others tab includes these options:Generics/ParametersThe Add button opens adialog that allows you tospecify the value of generics/parameters within the currentsimulation. You can alsoselect an existing generic/parameter from the list toDelete or Edit.From the Specify a Generic/Parameter dialog you can setthe following options:<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-93• Name (-g =)The name of the generic or parameter. Enter the name as it appears in your source code.Verilog parameters are case sensitive; VHDL generics are case insensitive.• ValueSpecifies a value for all generics/parameters in the design with the given name (above)that have not received explicit values in generic maps (such as top-level generics andgenerics that would otherwise receive their default value). The value must be appropriatefor the declared data type of the generic/parameter. No spaces are allowed in thespecification (except within quotes) when specifying a string value.• Override Instance - specific Values (-G =)Select to override generics/parameters that received explicit values in generic maps. Thename and value are specified as above. The use of this switch is indicated in the Overridecolumn of the Generics/Parameters list.Coverage• Enable code coverage (-coverage)Turn on collection of Code Coverage statistics. You must also specify which type ofstatistics you want when you compile the design. See Chapter 11 - Measuring codecoverage for more information.Profiler• Enable memory profiling (-memprof)Causes memory allocation data to be collected during elaboration and simulation. SeeChapter 13 - Profiling performance and memory use for more information.WLF File• WLF File (-wlf )Specify the name of the wave log format (WLF) file to create. The default is vsim.wlf.Other Options• Other Vsim OptionsSpecify any other vsim command (CR-372) argument.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-941 - Simulator windowsRuntime Options dialogPurpose Menu command Additional informationConfigure simulationoptionsSimulate > RuntimeOptions"[vsim] simulator control variables"(UM-509)Changes made in the Runtime Options dialog are written to the active modelsim.ini file,if it is writable, and affect the current session as well as all future sessions. If the file is readonly,the changes affect only the current session.Defaults tabThe Defaults tab includes these options:• Default RadixSets the default radix for the current simulation run. You can also use the radix (CR-234)command to set the same temporary default. The chosen radix is used for all commands(force (CR-169), examine (CR-160), change (CR-77) are examples) and for displayedvalues in the Objects, Locals, Dataflow, List, and Wave windows. Correspondingmodelsim.ini variable is DefaultRadix (UM-511).• Suppress WarningsSelecting From Synopsys Packages suppresses warnings generated within theaccelerated Synopsys std_arith packages. Corresponding modelsim.ini variable isStdArithNoWarnings (UM-513).Selecting From IEEE Numeric Std Packages suppresses warnings generated within theaccelerated numeric_std and numeric_bit packages. Corresponding modelsim.inivariable is NumericStdNoWarnings (UM-512).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-95• Default RunSets the default run length for the current simulation. Corresponding modelsim.inivariable is RunLength (UM-513).• Iteration LimitSets a limit on the number of deltas within the same simulation time unit to preventinfinite looping. Corresponding modelsim.ini variable is IterationLimit (UM-512).• Default Force TypeSelects the default force type for the current simulation. Corresponding modelsim.inivariable is DefaultForceKind (UM-511).Assertions tabThe Assertions tab includes these options:• No Message Display For – VHDLSelects the VHDL assertion severity for which messages will not be displayed (even ifbreak on assertion is set for that severity). Multiple selections are possible.Corresponding modelsim.ini variables are IgnoreFailure, IgnoreError, IgnoreWarning,and IgnoreNote (UM-511).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-961 - Simulator windowsWLF Files tabThe WLF Files tab includes these options:• WLF File Size LimitLimits the WLF file by size (as closely as possible) to the specified number of megabytes.If both size and time limits are specified, the most restrictive is used. Setting it to 0 resultsin no limit. Corresponding modelsim.ini variable is WLFSizeLimit (UM-514).• WLF File Time LimitLimits the WLF file by size (as closely as possible) to the specified amount of time. Ifboth time and size limits are specified, the most restrictive is used. Setting it to 0 resultsin no limit. Corresponding modelsim.ini variable is WLFTimeLimit (UM-514).• WLF AttributesSpecifies whether to compress WLF files and whether to delete the WLF file when thesimulation ends. You would typically only disable compression for troubleshootingpurposes. Corresponding modelsim.ini variables are WLFCompress (UM-514) forcompression and WLFDeleteOnQuit (UM-514) for WLF file deletion.• Design HierarchySpecifies whether to save all design hierarchy in the WLF file or only regions containinglogged signals. Corresponding modelsim.ini variable is WLFSaveAllRegions (UM-514).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-97Restart dialogPurpose Menu command Additional informationSpecify whichsettings are retainedafter a restartSimulate > Run >Restartrestart command (CR-239)Waveform Compare dialogsThe Restart dialog includes the following options:• List FormatRetain all objects in the List window and their formats.• Wave FormatRetain all objects in the Wave window and their formats.• BreakpointsRe-install all breakpoints after simulation is restarted.• Logged SignalsRetain logging of all currently logged objects.• Virtual SignalsRetain currently defined virtual definitions (e.g., virtual functions, virtual signals, etc.).These dialogs, accessed via the Tools > Waveform Compare menu, are documented inthe "Wave window" (GR-209) section.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-981 - Simulator windowsLoad Coverage Data dialogPurpose Menu command Additional informationReload previouslysaved coverage dataTools > CodeCoverage > Load"Saving and reloading coverage data"(UM-340)The Load Coverage Data dialog includes the following options:• Coverage Data PathnameThe pathname to the coverage data file you want to load.• Install PathAdds whatever you specify as additional hierarchy on the front end of instance and signalnames in the data file. This option allows you to merge coverage results from simulationsthat have different hierarchies.• Levels of Hierarchy to StripRemoves the specified levels of hierarchy from instance and signal names in the data file.Enter an integer. This option allows you to merge coverage results from simulations thathave different hierarchies.• MergeMerges the saved coverage data with coverage data in the current simulation. If you don’tcheck merge, <strong>ModelSim</strong> clears existing coverage data before loading the saved data.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-99Coverage Report dialogPurpose Menu command Additional informationSave textual reports ofCode Coverage dataTools > CodeCoverage > Reports"Reporting coverage data" (UM-335)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1001 - Simulator windowsThe Coverage Report dialog includes these options:• Report on all filesSaves a textual summary for each file in the design.• Report on all instancesSaves a textual summary for each instance in the design.• Report on a specific DUSaves a textual summary for the specified Design Unit. The selected design unitautomatically appears in the DU Name field. You can browse for other design units.• Report on a specific instanceSaves a textual summary for the specified instance. The selected instance automaticallyappears in the Instance Name field. You can browse for other instances.• Report on a source fileSaves a textual summary for the specified source file. The selected file automaticallyappears in the File Name field. You can browse for other source files.• Report on a specific packageSaves a textual summary for the specified HDL package. The selected packageautomatically appears in the Package Name field. You can browse for other HDLpackages.Coverage TypeSelect the type of coverage to be reported – statement, branch, condition, expression,toggle, and extended toggle coverage.Toggle coverage is relevant only when reporting on instances. Consequently, togglestatistics are excluded if you select Report on all files or Report on a source file.FilterSpecifies whether to filter the report based on coverage percentage. You can choose to filterobjects with coverage above or below a certain percentage.Other Options• Zero Coverage OnlySaves a textual summary of statement and branch coverage that includes columns for thenumber of statements and branches not executed.• Include Line DetailsSaves a detailed textual report of the statement and branch coverage for every line ofcode.• Coverage Totals OnlySaves a text report of the coverage totals by files and by instances. Includes total hits andcoverage percentages for all active statements and branches.• Disable Source AnnotationRemoves source code from coverage reports.• RecursiveReports on the specified instance, and all included instances, recursively.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-101• Write XML formatProduces output in an XML-structured format. See "XML output" (UM-336) for anexample.• AllForces toggle coverage reporting of all signals including those that have toggled.Report PathnameSpecify a pathname for the output file.• Append to fileAppends output to an existing file rather than overwriting the file.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1021 - Simulator windowsFilter instance list dialogPurpose Menu command Additional informationFilter coveragestatisticsRight-click in InstanceCoverage pane > SetFilter"Setting a coverage threshold" (UM-330)The Filter instance dialog includes these options:• Filter methodSpecifies whether you want to filter objects that exceed the threshold or fall below thethreshold.• Coverage TypeDetermines which coverage statistics you want to filter.• Threshold levelSpecifies the percentage above or below which objects are filtered.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-103Profile Report dialogPurpose Menu command Additional informationCreate textual reports fromperformance and memoryprofile resultsTools > Profile > ProfileReportReporting profiler results(UM-378) or profile reportcommand (CR-223)The Profile Report dialog includes the following options:• TypeSave a textual report from Call Tree, Ranked, and Structural profile data. The Structuraloption allows you to designate the root instance for the report, include the function callhierarchy, and specify the structure level. You can also create a Callers and Callees reportfor the designated function; a Function to instance report for the designated function; anda report of Instances using the same definition as the designated instance.• Performance/Memory dataElect to save performance profile data only, memory allocation data only, or both.• Cutoff percentReport results including and above the designated or Default percentage.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1041 - Simulator windows• OutputWrites the textual report directly to the transcript or to a file. Will also display the fileimmediately after it is created if "View file" is selected.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-105Modify Breakpoints dialogPurpose Menu command Additional informationAdd or manage signaland file breakpointsTools > Breakpoints"Creating and managing breakpoints"(GR-256)The Breakpoints dialog includes these options:• BreakpointsList of all existing breakpoints. Breakpoints set from anywhere in the <strong>GUI</strong>, or from thecommand line, are listed. A red ’X’ through the hand icon means the breakpoint iscurrently disabled.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1061 - Simulator windows• AddCreate a new signal or file-linebreakpoint. When you click Add to add anew breakpoint, you will see the AddBreakpoint dialog. Choose whether tocreate a signal breakpoint or a file-linebreakpoint and then select Next.Depending on which type of breakpointyou are creating, you will see one of thetwo dialogs described below.• ModifyChange properties of an existing breakpoint.• Disable/EnableDe-activate or activate the selected breakpoint.• DeleteDelete the selected breakpoint.• LabelText label of the selected breakpoint. Entered in the Signal Breakpoint or File Breakpointdialog (described below).• ConditionThe condition under which the breakpoint will be hit. Entered in the Signal Breakpointor File Breakpoint dialog (described below).• CommandThe command that will be executed when the breakpoint is hit. Entered in the SignalBreakpoint or File Breakpoint dialog (described below).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-107Signal Breakpoint dialogPurpose Menu command Additional informationAdd/modify signalbreakpointsTools > Breakpoints"Creating and managing breakpoints"(GR-256)The Signal Breakpoint dialog includes these options:• Breakpoint LabelSpecify an optional text label for the breakpoint.• Breakpoint ConditionSpecify condition(s) to be met for the command(s) to be executed. See the whencommand (CR-406) for more information on creating the condition statement.• Breakpoint CommandsSpecify command(s) to be executed when the condition is met. Any <strong>ModelSim</strong> or Tclcommand or series of commands are valid, with one exception – the run command (CR-245) cannot be used.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1081 - Simulator windowsFile Breakpoint dialogPurpose Menu command Additional informationAdd/modify filebreakpointsTools > Breakpoints"Creating and managing breakpoints"(GR-256)The File Breakpoint dialog includes these options:• FileSpecify the file in which to set the breakpoint.• LineSpecify the line number on which to set the breakpoint. Note that breakpoints can be setonly on executable lines.• Instance NameSpecify a region in which to apply the breakpoint. If left blank the breakpoint affectsevery instance in the design.• Breakpoint ConditionSpecify a condition that determines whether the breakpoint is hit.• Breakpoint CommandsSpecify command(s) to be executed when the breakpoint is hit. Any <strong>ModelSim</strong> or Tclcommand or series of commands is valid, with one exception – the run command (CR-245) cannot be used.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-109C Debug setup dialogPurpose Menu command Additional informationConfigure C DebugTools > C Debug > CDebug setup"Setting up C Debug" (UM-348)The C Debug setup dialog includes these options:• C debugger pathSpecifies the path to the installed copy of gdb. Select "default" to point at the ModelTechnology supplied gdb or "custom" to point at another installation of gdb. See"Supported platforms and gdb versions" (UM-347) for the supported versions.• Stop on quitAllows you to debug functions that get called when the simulator is exiting. See"Debugging functions when quitting simulation" (UM-360) for details.• Keep user init bpsLeaves enabled any breakpoints you set while running in initialization mode (see"Debugging functions during elaboration" (UM-356)). Normally breakpoints set duringinitialization mode are disabled once the design is finished loading.• Show source balloonEnables name/value popup in the Source window when you hover your mouse pointerover a variable name.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1101 - Simulator windowsCommand entry dialogPurpose Menu command Additional informationEnter C DebugcommandsTools > C Debug >Command entryNAThis dialog lets you enter C Debug commands even if the CDBG> prompt is inaccessible. The<strong>GUI</strong> prompt may become inaccessible in certain situations (e.g., when debugging FLILoadDone callback functions)The Command entry dialog includes this field:• Enter commandSpecify the debugging command to execute.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-111Tcl debuggerTclDebugger and TclPro Debugger are third-party tools we make available through<strong>ModelSim</strong>. They are described briefly in the Tcl chapter. See "The Tcl Debugger" (UM-492)and "TclPro Debugger" (UM-496).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1121 - Simulator windowsMacro dialogPurpose Menu command Additional informationRecord a series ofmouse movementsand key strokesTools > Macro Helper"Macro helper" (UM-491)This tool is available for UNIX only (excluding Linux).The macro dialog includes these options.• Macro nameType a filename for the macro. The resulting file can be called from a more complexmacro by using the play (CR-210) command.• Record/StopRecord begins recording and toggles to Stop once a recording begins.• Insert PauseInserts a .5 second pause into the macro file. Press the button more than once to add morepause time.• PlayPlay the macro specified in the file name field.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-113Drag and Drop Preferences dialogPurpose Menu command Additional informationSet the action to beperformed when a file isdragged and dropped intothe Project, Transcript orWave windowsTools > Options > Dragand Drop PreferencesThe dialog contains four fields:• LocationSelect the Project pane, the Transcript pane or the Wave window. When a file of the typespecified in the File Type field is dragged and dropped into the selected location, thespecified action will be performed.• File TypeSelect from a list of file types that includes: Verilog, VHDL, Text, SystemC, TCL,Macro, VCD, SDF, XML and Logfile. When a file of the type specified is dragged anddropped into the specified location, the specified action will be performed.• ActionAllows you to select the following actions: Open, Execute, Add to Project, and Custom.When Custom is selected, the Custom field becomes active.• CustomAllows you to specify a custom action to be performed when the specified file type isdragged and dropped to the specified location. The action is defined with a Tcl command.If the command requires a pathname of an object, place "%f" in the command. <strong>ModelSim</strong>will substitute the appropriate pathname and execute the appropriate action.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1141 - Simulator windowsPreferences dialogPurpose Menu command Additional informationEdit <strong>ModelSim</strong> Tclpreference variablesTools > EditPreferences"Simulator <strong>GUI</strong> preferences" (GR-269)By Window tabThe By Window tab includes the following options:• Window ListSelect a window type.• Color SchemeSelect a window component of which you want to change the color. Select a color fromthe Palette.• FontChoose a font for text in the selected window type.• ApplyApply the changes for the current <strong>ModelSim</strong> session only.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-115• OKSaves the current preference settings to the .modelsim file (Unix/Linux) or Registry(Windows). See "Simulator <strong>GUI</strong> preferences" (GR-269) for further details.By Name tabThe By Name tab organizes Tcl preference variables by category rather than by window.Select a preference item then click Change Value to edit the variable.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1161 - Simulator windowsSave Current Window Layout dialogPurpose Menu command Additional informationSave a custom <strong>GUI</strong> layout Window > Layouts > Save "Custom layouts" (GR-261)The dialog contains these fields:• Save Layout As:Type a name for the new layout or select a name from the list to overwrite an existinglayout• Use this layout when...Depending on what mode you are in, this checkbox lets you easily set a custom layout asthe default for that mode.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-117Configure Window Layout dialogPurpose Menu command Additional informationSave a custom <strong>GUI</strong> layout Window > Layouts > Save "Assigning layouts to modes"(GR-261)The dialog contains these fields:• Specify a Layout to Use:Select a layout for each of the three modes in which <strong>ModelSim</strong> operates.• Save Window Layout AutomaticallyIf checked, any customizations you make are saved automatically to the current layoutwhenever you quit a simulation or exit the tool.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1181 - Simulator windowsCustomize Toolbar dialogPurpose Menu command Additional informationAdd user-definedtoolbar buttonsWindow > CustomizeNAThe Customize Toolbar dialog includes the following options:• Tool BarAdds the button to a new toolbar in the specified window.• FooterAdds the button to the window’s status bar.• Placement optionsJustify the button on the right-side, left-side, top/center, or bottom/center of the toolbaror footer.• Window NameThe window to which you want to add the button.• Button NameThe text that will appear on the button.• FunctionAny command or macro you might execute from the <strong>ModelSim</strong> command line. Forexample, you might want an add wave button in the Wave window.Making the button persistentUser-defined buttons exist only until you close the window unless you add the button codeto the window’s user hook variable. When you create a button, the underlying commandsare echoed in the transcript. You can use these commands to make the button appear everytime you invoke the window. Follow these steps:1 Create a button.2 Copy the commands from the transcript into a Tcl procedure in the modelsim.tcl file. Ifyou don’t have a modelsim.tcl file already, create a new text file with that name and setthe MODELSIM_TCL environment variable to the full path of the modelsim.tcl file.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window GR-1193 Append the procedure name to the window’s user_hook Tcl variable. See "Simulator<strong>GUI</strong> preferences" (GR-269) for more information on Tcl preference variables.An example will help clarify. Say you create a button in the Wave window that adds allsignals from the selected region to the Wave window. The button code will look somethinglike this:_add_menu .wave controls right SystemButtonFace black AddWaves {add wave *}You would insert that code into a Tcl procedure in the modelsim.tcl file and then appendthe procedure to the PrefWave(user_hook) variable. The entire entry in the modelsim.tclfile would look as follows:proc AddWaves winname {_add_menu .wave controls right SystemButtonFace black AddWaves {add wave *}}lappend PrefWave(user_hook) AddWavesNow, any time you start <strong>ModelSim</strong> and open the Wave window, it will have a buttonlabeled "AddWaves" that executes the command "add wave *".<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1201 - Simulator windowsActive Processes paneThe Active Processes pane displays a list of HDL and SystemC processes.Processes are also displayed in the structure tabs of the Main window Workspace. To filterdisplayed processes in the structure tabs, select View > Filter > Processes.Process statusEach object in the scrollbox is preceded by one of the following indicators:• Indicates that the process is scheduled to be executed within the current delta time. If youselect a "Ready" process, it will be executed next by the simulator.• Indicates that the process is waiting for a VHDL signal or Verilog net or variable tochange or for a specified time-out period. SystemC objects cannot be in a Wait state.• Indicates that the process has executed a VHDL wait statement without a time-out or asensitivity list. The process will not restart during the current simulation run. SystemCobjects cannot be in a Done state.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Active Processes pane GR-121Active Processes dialogsThis section describes the processes-related dialogs that are accessed via the Main windowmenu bar. Not all dialogs are documented (e.g., File > Save).Find in Active Processes dialogPurpose Menu command Additional informationLocate object names Edit > Find NAYou must activate the Active Processes pane by clicking in it before this dialog will beavailable.The Find in Active Processes dialog includes these options:• FindSpecify the text for which you want to search.• FieldSpecify which column of the pane to search.• DirectionSpecify which direction to start searching.• ExactCheck Exact if you only want to find objects that match your search exactly. Forexample, searching for "initial" without Exact will find #INITIAL74#, #INITIAL104#,etc.• Auto WrapCheck Auto Wrap to continue the search at the top or bottom of the pane.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1221 - Simulator windowsCode coverage panesWhen you run simulations with code coverage enabled, a number of panes display in theMain window. These panes dissect and organize the data collected during coverageanalysis. Each pane contains context menus (right-click in the pane to access the menus)with commands appropriate to that pane. You can hide and show the panes by selectingView > Code Coverage.For details about using code coverage, see Chapter 11 - Measuring code coverage in the<strong>ModelSim</strong> User’s Manual.WorkspaceMissed CoverageCurrentExclusionsInstanceCoverageDetailsWorkspace paneThe Workspace pane displays code coverage information in the Files tab and in thestructure tabs (e.g., the sim tab) that display structure for any datasets being simulated.When coverage is invoked, several columns for displaying coverage data are added to theWorkspace pane. You can toggle columns on/off by right-clicking on a column name and<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Code coverage panes GR-123selecting from the context menu that appears. The following code coverage-relatedcolumns appear in the Workspace pane:Column nameStmt countStmt hitsStmt missesStmt %Stmt graphBranch countBranch hitsBranch missesBranch %Branch graphCondition rowsCondition hitsCondition missesDescriptionin the Files tab, the number of executable statements ineach file; in the sim tab, the number of executablestatements in each level and all levels under that levelin the Files tab, the number of executable statements thatwere executed in each file; in the sim tab, the number ofexecutable statements that were executed in each level andall levels under that levelin the Files tab, the number of executable statements thatwere not executed in each file; in the sim tab, the numberof executable statements that were not executed in eachlevel and all levels under that levelthe current ratio of Stmt hits to Stmt counta bar chart displaying the Stmt %; if the percentage isbelow 90%, the bar is red; 90% or more, the bar is green;you can change this threshold percentage by editing thePrefCoverage(cutoff) preference variablein the Files tab, the number of executable branches in eachfile; in the sim tab, the number of executable branches ineach level and all levels under that levelthe number of executable branches that have beenexecuted in the current simulationthe number of executable branches that were not executedin the current simulationthe current ratio of Branch hits to Branch counta bar chart displaying the Branch %; if the percentage isbelow 90%, the bar is red; 90% or more, the bar is green;you can change this threshold percentage by editing thePrefCoverage(cutoff) preference variablein the Files tab, the number of conditions in each file; in thesim tab, the number of conditions in each level and alllevels under that levelin the Files tab, the number of times the conditions in a filehave been executed; in the sim tab, the number of times theconditions in a level, and all levels under that level, havebeen executedin the Files tab, the number of conditions in a file that werenot executed; in the sim tab, the number of conditions in alevel, and all levels under that level, that were not executed<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1241 - Simulator windowsColumn nameCondition %Condition graphExpression rowsExpression hitsExpression missesExpression %Expression graphToggle nodesToggle hitsToggle missesToggle %Toggle graphDescriptionthe current ratio of Condition hits to Condition rowsa bar chart displaying the Condition %; if the percentage isbelow 90%, the bar is red; 90% or more, the bar is green;you can change this threshold percentage by editing thePrefCoverage(cutoff) preference variablein the Files tab, the number of executable expressions ineach file; in the sim tab, the number of executableexpressions in each level and all levels subsumed underthat levelin the Files tab, the number of times expressions in a filehave been executed; in the sim tab, the number of timesexpressions in a level, and each level under that level, havebeen executedin the Files tab, the number of executable expressions in afile that were not executed; in the sim tab, the number ofexecutable expressions in a level, and all levels under thatlevel, that were not executedthe current ratio of Expression hits to Expression rowsa bar chart displaying the Expression %; if the percentageis below 90%, the bar is red; 90% or more, the bar is green;you can change this threshold percentage by editing thePrefCoverage(cutoff) preference variablethe number of points in each instance where the logic willtransition from one state to anotherthe number of nodes in each instance that have transitionedat least oncethe number of nodes in each instance that have nottransitioned at least oncethe current ratio of Toggle hits to Toggle nodesa bar chart displaying the Toggle %; if the percentage isbelow 90%, the bar is red; 90% or more, the bar is green;you can change this threshold percentage by editing thePrefCoverage(cutoff) preference variable<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Code coverage panes GR-125The diagram below shows a portion of the Workspace window pane with code coveragedata displayed.You can sort code coverage information for any column by clicking the column heading.Clicking the column heading again will reverse the order.Coverage information in the Workspace pane is dynamically linked to the MissedCoverage pane and the Current Exclusions pane. Click the left mouse button on any file inthe Workspace pane to display that file’s un-executed statements, branches, conditions,expressions, and toggles in the Missed Coverage pane. Lines from the selected file that areexcluded from coverage statistics are displayed in the Current Exclusions pane.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1261 - Simulator windowsMissed Coverage paneWhen you select a file in the Workspace pane, the Missed Coverage pane displays thatfile’s un-executed statements, branches, conditions, and expressions and signals thathaven’t toggled. The pane includes a tab for each object, as shown below.Each tab includes a column for the line number and a column for statement, branch,condition, expression, or toggle on that line. The "X" indicates the object was not executed.The Branch tab also includes a column for branch code (conditional "if/then/else" and"case" statements). "X T " indicates that only the true condition of the branch was notexecuted. "X F " indicates that only the false condition of the branch was not executed.Fractional numbers indicate how many case statement labels were not executed. Forexample, if only one of six case labels executed, the Branch tab would indicate "X 1/6."When you right-click any object in the Statement, Branch, Condition, or Expression tabsyou can select Exclude Selection or Exclude Selection for Instance to excludethe object from coverage statistics and make it appear in the Current Exclusions pane.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Code coverage panes GR-127Current Exclusions paneThe Current Exclusions pane lists all files and lines that are excluded from coveragestatistics. See "Excluding objects from coverage" (UM-331) for more details.The pane does not display by default. Select View > Code Coverage > CurrentExclusions to display the it.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1281 - Simulator windowsInstance Coverage paneThe Instance Coverage pane displays coverage statistics for each instance in a flat, nonhierarchicalview. It allows sorting of data columns to be more meaningful, and notconfused by hierarchy. The Instance Coverage pane contains the same code coveragestatistics columns as in the "Workspace" (GR-17) pane.A partial view of the Instance Coverage pane is shown below.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Code coverage panes GR-129Details paneAfter code coverage is invoked and the simulation is loaded and run, you can turn on theDetails pane by selecting View > Coverage > Details. The Details pane shows the detailsof missed coverage. When an object is selected in the Missed Coverage pane, the details ofthat coverage are displayed in the Details pane. Truth tables will be displayed for conditionand expression coverage, as shown here.For a description of these truth tables, see "Coverage statistics details" (UM-342).Toggle details are displayed as follows:<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1301 - Simulator windowsBy clicking the left mouse button on the statement Hits column in the Source window, allcoverage information for that line will be displayed in the Details pane as shown here:<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Code coverage panes GR-131Objects pane toggle coverageToggle coverage data is displayed in the Objects pane in multiple columns, as shownbelow. There is a column for each of the six transition types.Right click any column name to toggle a column on or off.The following table provides a description of the available columns:Column nameNameValueKindMode1H -> 0L0L -> 1H0L -> ZZ -> 0L1H -> ZZ -> 1HDescriptionthe name of each object in the current regionthe current value of each objectthe object typethe object mode (internal, in, out, etc.)the number of times each object has transitioned from a 1 or aHigh state to a 0 or a Low statethe number of times each object has transitioned from a 0 or aLow state to 1 or a High statethe number of times each object has transitioned from a 0 or aLow state to a high impedance (Z) statethe number of times each object has transitioned from a highimpedance state to a 0 or a Low statethe number of times each object has transitioned from a 1 or aHigh state to a high impedance statethe number of times each object has transitioned from a highimpedance state to 1 or a High state# Nodes the number of scalar bits in each object# Toggled the number of nodes that have transitioned at least once% Toggled the current ratio of the # Toggled to the # Nodes for each object<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1321 - Simulator windowsColumn nameDescription% 01 the percentage of 1H -> 0L and 0L -> 1H transitions that haveoccurred (transitions in the first two columns)% Full the percentage of all transitions that have occurred (all sixcolumns)% Z the percentage of 0L -> Z, Z -> 0L, 1H -> Z, and Z -> 1Htransitions that have occurred (last four columns)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Code coverage panes GR-133Code coverage toolbarWhen you simulate with code coverage enabled, the following toolbar is added to the Mainwindow.The toolbar has the following buttons:.Covfilter toolbar buttonsButtonEnable Filteringenables display filtering of coverage statistics in the Workspace andInstance Coverage panes of the Main windowThreshold abovedisplays all coverage statistics above the Filter Threshold for selectedcolumnsThreshold belowdisplays all coverage statistics below the Filter Threshold for selectedcolumnsFilter Thresholdspecifies the display coverage percentage for the selectedcoverage columnsStatementapplies the display filter to all Statement coverage columns in theWorkspace and Instance Coverage panes of the Main windowBranchapplies the display filter to all Branch coverage columns in theWorkspace and Instance Coverage panes of the Main windowConditionapplies the display filter to all Condition coverage columns in theWorkspace and Instance Coverage panes of the Main windowExpressionapplies the display filter to all Expression coverage columns in theWorkspace and Instance Coverage panes of the Main windowToggleapplies the display filter to all Toggle coverage columns in theWorkspace and Instance Coverage panes of the Main window<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1341 - Simulator windowsDataflow windowThe Dataflow window allows you to explore the "physical" connectivity of your design; totrace events that propagate through the design; and to identify the cause of unexpectedoutputs.Note: <strong>ModelSim</strong> versions operating without a dataflow license feature have limitedDataflow functionality. Without the license feature, the window will show only oneprocess and its attached signals or one signal and its attached processes. Contact ModelTechnology sales for more information if you don’t have a dataflow license feature.Objects you can viewThe Dataflow window displays processes; signals, nets, and registers; and interconnect.The window has built-in mappings for all Verilog primitive gates (i.e., AND, OR, PMOS,NMOS, etc.). For components other than Verilog primitives, you can define a mappingbetween processes and built-in symbols. See "Symbol mapping" (UM-313) for details.Note: You cannot view SystemC objects in the Dataflow window.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Dataflow window GR-135Dataflow window menu barFile menuThe following commands are available from the Dataflow window menu bar. Many of thecommands are also available from the context menu (click right or 3rd mouse button).New WindowPage setupPrintPrint PostscriptClosecreate a new Dataflow windowconfigure page formatting for printingprint the current view of the Dataflow window (Windows only)print/save the current view of the Dataflow window to a postscriptdevice/fileclose the Dataflow window; note that this erases whatever iscurrently displayed in the windowEdit menuUndoRedoCutCopyPasteErase selectedSelect allUnselect allErase highlightErase allRegenerateFindFind nextundo the last actionredo the last undone actioncut the selected object(s)copy the selected object(s)paste the previously cut or copied object(s) into the displayclear the selected object from the windowselect all objects in the windowdeselect all currently selected objectsremove green highlighting from interconnect linesclear all objects from the windowclear and redraw the display using an optimal layoutsearch for an instance or signalsearch for the next occurrence of an instance or signal<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1361 - Simulator windowsView menuShow WaveSelectZoomPanDefaultopen the embedded wave viewer paneset left mouse button to select mode and middle mouse button tozoom modeset left mouse button to zoom mode and middle mouse button topan modeset left mouse button to pan mode and middle mouse button tozoom modeset mouse to default modeNavigate menuExpand net todriversExpand net toreadersExpand netExpand to designinputsExpand to hierarchyinputsHide selectedShow selectedView regionAdd regionView all netsAdd portsdisplay driver(s) of the selected signal, net, or registerdisplay reader(s) of the selected signal, net, or registerdisplay driver(s) and reader(s) of the selected signal, net, orregisterdisplay the top-level driver of the net, which will most likely be ina testbench or in the top entity or moduledisplay the primary driver (port) of the net within its level ofhierarchyremove the selected component and all other components fromthe same region and replace them with a single componentrepresenting that regionexpand the selected component to show all underlyingcomponentsclear the window and display all signals from the current regiondisplay all signals from the current region without first clearingthe windowclear the window and display all signals from the entire designadd port symbols to the port signals in the current region<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Dataflow window GR-137Trace menuTraceX TMChaseX TMTraceX DelayChaseX DelayTrace next eventTrace event setTrace event resetstep back to the last driver of an unknown (X) valuejump to the source of an unknown (X) valuestep back in time to the last driver of an unknown (X) valuejump back in time to the point where the output value transitionsto Xmove the next event cursor to the next input event driving theselected outputjump to the source of the selected input eventreturn the next event cursor to the selected outputTools menuLoad built-insymbol mapLoad symlib libraryCreate symlib indexOptionsload a .bsm file for mapping symbol instances; see "Symbolmapping" (UM-313)load a user-defined symbol librarycreate an index for a user-defined symbol libraryconfigure Dataflow window preferencesWindow menuThe Window menu is identical in all windows. See "Window menu" (GR-39) for adescription of the commands.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1381 - Simulator windowsDataflow window toolbarThe buttons on the Dataflow window toolbar are described below.ButtonMenu equivalentPrintprint the current view of the Dataflow windowSelect modeset left mouse button to select mode and middlemouse button to zoom modeFile > Print (Windows)File > Print Postscript(UNIX)View > SelectZoom modeset left mouse button to zoom mode and middlemouse button to pan modeView > ZoomPan modeset left mouse button to pan mode and middlemouse button to zoom modeView > PanCutcut the selected object(s)Edit > CutCopycopy the selected object(s)Edit > CopyPastepaste the previously cut or copied object(s)Edit > PasteUndoundo the last actionEdit > UndoRedoredo the last undone actionEdit > RedoFindsearch for an instance or signalEdit > Find<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Dataflow window GR-139ButtonMenu equivalentTrace input net to eventmove the next event cursor to the next input eventdriving the selected outputTrace > Trace next eventTrace Setjump to the source of the selected input eventTrace > Trace event setTrace Resetreturn the next event cursor to the selected outputTrace > Trace eventresetTrace net to driver of Xstep back to the last driver of an unknown valueTrace > TraceXExpand net to all driversdisplay driver(s) of the selected signal, net, orregisterNavigate > Expand netto driversExpand net to all drivers and readersdisplay driver(s) and reader(s) of the selectedsignal, net, or registerNavigate > Expand netExpand net to all readersdisplay reader(s) of the selected signal, net, orregisterNavigate > Expand netto readersErase highlightclear the green highlighting which identifies thepath you’ve traversed through the designEdit > Erase highlightErase allclear the windowEdit > Erase allRegenerateclear and redraw the display using an optimallayoutEdit > Regenerate<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1401 - Simulator windowsButtonMenu equivalentZoom Inzoom in by a factor of two from current viewnoneZoom Outzoom out by a factor of two from current viewnoneZoom Fullzoom out to show all components in the windownoneStop Drawinghalt any drawing currently happening in thewindownoneShow Wavedisplay the embedded wave viewer paneView > Show Wave<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Dataflow window GR-141Dataflow window dialogsPrint dialogThis section describes the dialogs that are accessed via the Dataflow window menu bar. Thedialogs are listed in the order in which they appear on the menus, top-to-bottom and leftto-right(i.e., starting with the File menu and progressing across the menu bar).Purpose Menu command Additional informationPrint the contents ofthe Dataflow windowFile > Print"Printing and saving the display" (UM-310)"Printing on Windows platforms"(UM-311)The Print dialog includes these options:Printer• NameChoose the printer from the drop-down menu. Set printer properties with the Propertiesbutton.• StatusIndicates the availability of the selected printer.• TypePrinter driver name for the selected printer. The driver determines what type of file isoutput if "Print to file" is selected.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1421 - Simulator windows• WhereThe printer port for the selected printer.• CommentThe printer comment from the printer properties dialog.• Print to fileMake this selection to print the display to a file instead of a printer. The printer driverdetermines what type of file is created. Postscript printers create a Postscript (.ps) file,non-Postscript printers create a .prn or printer control language file. To create anencapsulated Postscript file (.eps) use the File > Print Postscript menu selection.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Dataflow window GR-143Print Postscript dialogPurpose Menu command Additional informationPrint/save a postscriptfile of the DataflowwindowFile > Print Postscript"Printing and saving the display" (UM-310)The Print Postscript dialog includes these options:Printer• Print commandEnter a UNIX print command to print the display in a UNIX environment.• File nameEnter a filename for the encapsulated Postscript (.eps) file to create; or browse to apreviously created .eps file and use that filename.Paper• Paper sizeSelect the paper size used by the printer.• Border widthSpecify the border in inches.• FontSpecify the font to use for printing.Setup buttonSee "Dataflow Page Setup dialog" (GR-144).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1441 - Simulator windowsDataflow Page Setup dialogPurpose Menu command Additional informationSet up page layout forprintingFile > Page setup"Configuring page setup" (UM-312)The Dataflow Page Setup dialog includes these options:• ViewSpecifies Full (everything in the window) or Current View (only that which is visible).• HighlightSpecifies that highlighting (see "Tracking your path through the design" (UM-303)) is Onor Off.• Color ModeSpecifies Color (256 colors), Invert Color (gray-scale) or Mono (monochrome) colormode.• OrientationSpecifies Landscape (horizontal) or Portrait (vertical) orientation.• PaperSpecifies the font to use for printing (Windows only).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Dataflow window GR-145Find in dataflow dialogPurpose Menu command Additional informationLocate a signal orprocessEdit > Find"Finding objects by name in theDataflow window" (UM-309)The Find in dataflow dialog includes the following options:• FindEnter the object name you want to locate.• TypeSpecify whether to search Instance, Signals, or both (Any) for the object name.• ExactFind only those objects that match your search exactly. For example, searching for "clk"without Exact will find /top/clk and clk1.• Zoom ToIf checked the window zooms in on the located object.You can continue searching using the Find Next button.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1461 - Simulator windowsDataflow Options dialogPurpose Menu command Additional informationConfigure Dataflowwindow optionsTools > Options"Configuring window options" (UM-315)The settings affect only the current session.General options tabThe General options tab includes these options:• Hide CellsBy default the Dataflow window automatically hides instances that have either'celldefine, VITAL_LEVEL0, or VITAL_LEVEL1 attributes. Unchecking this disablesautomatic cell hiding.• Keep DataflowKeeps previous contents when adding new signals or processes to the window.• Show HierarchyDisplays connectivity using hierarchical references. Note that selecting this will erase thecurrent contents of the window.• Bottom inout pinsPlaces inout pins on the bottom of components rather than on the right with output pins.• Disable SproutDisplays only the selected signal or process with its immediate fanin/fanout. Configureswindow to behave like the Dataflow window of versions prior to 5.6.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Dataflow window GR-147• Select equivalent netsIf the object you select traverses hierarchy, then <strong>ModelSim</strong> selects all connected objectsacross the hierarchy.• Log netsLogs signals when they are added to the window.• Select EnvironmentUpdates the Structure, Objects, and Source windows to reflect the net selected in theDataflow window.• Automatic Add to WaveAdds signals automatically to the Wave pane or window when executing ChaseX orTraceX.Warning options tabThe Warning options tab includes these options:• Enable diverging X fanin warningEnables the warning message, "ChaseX: diverging X fanin. Reduce the selection list andtry again."• Enable depth limit warningEnables the warning message, "ChaseX: Stop because depth limit reached! Possibleloop?"• Enable X event at time 0 warningEnables the warning message, ""Driving X event at time 0."<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1481 - Simulator windowsCustomize Toolbar dialogThis dialog is the same for all windows. See "Customize Toolbar dialog" (GR-118) underthe Main window for details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window GR-149List windowThe List window displays the results of your simulation run in tabular format. The windowis divided into two adjustable columns, which allow you to scroll horizontally through thelisting on the right, while keeping time and delta visible on the left.The List window opens by default in the MDI frame of the Main window as shown below.Undock buttonThe window can be undocked from the main window by pressing the Undock button in thewindow header or by using the view -undock list command.Dockbutton<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1501 - Simulator windowsObjects you can viewThe following type of objects can be viewed in the List pane:VHDLsignals, aliases, process variables, and shared variablesVerilognets, registers, and variablesSystemCprimitive channels and ports; see "Viewable SystemC objects" (UM-181) for moreinformationComparisonscomparison objects; see "Waveform Compare" (UM-285) for more informationVirtualsVirtual signals and functions<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window GR-151List window menu barFile menuThe following menu commands are available from the List window menu bar when it isundocked from the Main window. When the List window is docked in the MDI frame, thecommands are distributed among the Main window menus (see "Main window menu bar"(GR-23)). Some of the commands are also available on a context menu accessed by clickingthe right mouse button on an entry in the right-hand pane.New WindowOpenSaveWrite ListClosecreate another instance of the List windowprovides these options:Dataset – open a datasetFormat – run a List window format DO file previously saved withSave Formatprovides these options:Dataset – save the current simulation to a WLF fileFormat – save the current List window display and signalpreferences to a DO (macro) file; running the DO file willreformat the List window to match the display as it appeared whenthe DO file was createdsave the List window data to a text file in one of three formats; see"Saving List window data to a file" (UM-278) for detailsclose this copy of the List windowEdit menuCutCopyPasteDeleteSelect AllUnselect AllAdd MarkerDelete Markercut the selected object field from the listing; see "Formattingobjects in the List window" (UM-274)copy the selected object fieldpaste the previously cut or copied object to the left of the currentlyselected objectdelete the selected object fieldselect all signals in the List windowdeselect all signals in the List windowadd a time marker at the currently selected linedelete the selected marker from the listing<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1521 - Simulator windowsFindSearchfind the specified object label within the List windowsearch the List window for a specified value, or the next transitionfor the selected signalView menuSignal PropertiesGotoset label, radix, trigger on/off, and field width for the selectedobjectchoose the time marker to go to from a list of current markersTools menuCombine SignalsWindowPreferencescombine the selected objects into a user-defined bus; keep copiesof the original objects rather than moving them; see "Combiningobjects/creating busses" (UM-279)set display properties for all objects in the window: delta settings,trigger on selection, strobe period, label size, and dataset prefixWindow menuThe Window menu is identical in all windows. See "Window menu" (GR-39) for adescription of the commands.The List window context menuThe following commands are available by clicking the right mouse button on an entry inthe right-hand pane:ExamineAnnotate DiffIgnore DiffAdd MarkerDelete Markerdisplay the value of the object at the time selectedAdd a note to explain a comparison difference. See "WaveformCompare" (UM-285) for further information.Disregard the selected comparison difference. See "WaveformCompare" (UM-285) for further information.add a time marker at the currently selected linedelete the selected marker from the listing<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window GR-153List window dialogsThis section describes the List window dialogs that are accessed via the List window menubar. The dialogs are listed in the order in which they appear on the menus, top-to-bottomand left-to-right (i.e., starting with the File menu and progressing across the menu bar). Notall dialogs are documented (e.g., File > Write List).Open DatasetThis is the same dialog as accessed via the Main window File menu. See "Open File dialog"(GR-47).Find in List dialogPurpose Menu command Additional informationLocate object names Edit > Find "Finding signal names" (UM-265)The Find in List dialog includes these options:• FindThe text you want to locate.• FieldSpecify Name to search the real pathnames of the objects or Label to search theirassigned names (see "Setting List window display properties" (UM-274)).• DirectionThe direction to search through the List pane.• ExactCheck Exact if you only want to find objects that match your search exactly. Forexample, searching for "clk" without Exact will find /top/clk and clk1.• Auto WrapCheck Auto Wrap to continue the search at the beginning of the window.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1541 - Simulator windowsList Signal Search dialogPurpose Menu command Additional informationLocate signal valuesor transitionsEdit > Search"Searching for values or transitions"(UM-266)The List Signal Search dialog includes these options:• Signal Name(s)A list of the objects currently selected in the List pane. These objects are the subject ofthe search.• Any TransitionSearches for any transition in the selected signal(s).• Rising EdgeSearches for rising edges in the selected signal(s).• Falling EdgeSearches for falling edges in the selected signal(s).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window GR-155• Search for Signal ValueSearches for the value specified in the Value field; the value should be formatted usingVHDL or Verilog numbering conventions (see "Numbering conventions" (CR-19) formore information).Note: If your signal values are displayed in binary radix, see "Searching for binary signalvalues in the <strong>GUI</strong>" (CR-28) for details on how signal values are mapped between a binaryradix and std_logic.• Search for ExpressionSearches for the expression specified in the Expression field evaluating to a booleantrue. See "Using the Expression Builder for expression searches" (UM-267) forinformation on the Builder button.The expression can involve more than one signal but is limited to signals logged in theList pane. Expressions can include constants, variables, and DO files. If no expression isspecified, the search will give an error. See "Expression syntax" (CR-22) for moreinformation.• Match CountIndicates the number of transitions or matches to search.You can search for the nthtransition or the nth match on value.• Ignore GlitchesIgnores zero width glitches in VHDL signals and Verilog nets.The Search Results are indicated at the bottom of the dialog.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1561 - Simulator windowsList Signal Properties dialogPurpose Menu command Additional informationFormat signal displaypropertiesView > SignalProperties"Formatting objects in the List window"(UM-274)The List Signal Properties dialog includes these options:• SignalShows the full pathname of the selected signal.• Display NameAn alias for the signal pathname that will appear at the top of the List pane. Labels canmake List data more discernible by un-cluttering the top of the pane.• RadixSpecifies the radix (base) in which the object value is expressed. The default radix issymbolic, which means that for an enumerated type, the List window lists the actualvalues of the enumerated type of that object. See "Formatting objects in the List window"(UM-274) for more information on setting the radix.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window GR-157• WidthAllows you to specify the desired width of the column used to list the object value. Thedefault is an approximation of the width of the current value.• Trigger: Triggers lineSpecifies that a change in the value of the selected object causes a new line to bedisplayed in the List window.• Trigger: Does not trigger lineSpecifies that a change in the value of the selected object does not affect the List window.See "Configuring new line triggering in the List window" (UM-280) for more informationabout triggering.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1581 - Simulator windowsCombine Selected Signals dialogPurpose Menu command Additional informationCombine signals intoa busTools > CombineSignals"Combining objects/creating busses"(UM-279). Cannot be used if any of thesignals selected are virtual comparesignals (signals that are the result of acomparison simulation).The Combine Selected Signals dialog includes these options:• NameSpecifies the name of the newly created bus.• Order of IndexesSpecifies in which order the selected signals are indexed in the bus. If set to Ascending,the first signal selected in the List window will be assigned an index of 0. If set toDescending, the first signal selected will be assigned the highest index number. Note thatthe signals are added to the bus in the order that they appear in the window. Ascendingand descending affect only the order and direction of the indexes of the bus.• Remove selected signals after combiningSpecifies whether you want to remove the selected signals from the List window once thebus is created.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window GR-159Modify Display Properties dialogPurpose Menu command Additional informationConfigure windowdisplay propertiesTools > WindowPreferences"Formatting the List window" (UM-274)Window Properties tabThe Window Properties tab includes these options:• Signal NamesSets the number of path elements to be shown in the List window. For example, "0"shows the full path. "1" shows only the leaf element.• Max Title RowsSets the maximum number of rows in the name pane.• Always Show Dataset PrefixesDisplays the dataset prefix associated with each signal pathname. Useful for displayingsignals from multiple datasets.• Show Dataset Prefix if 2 or moreDisplays dataset prefixes if there are signals in the window from 2 or more datasets.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1601 - Simulator windows• Never Show Dataset PrefixesTurns off display of dataset prefixes.Triggers tabThe Triggers tab controls the triggering for the display of new lines in the List window. See"Configuring new line triggering in the List window" (UM-280) for more details.The Triggers tab includes the following options:• Expand DeltasWhen selected with the Trigger on: Signal Change check box, displays a new line foreach time step on which objects change, including deltas within a single unit of timeresolution.• Collapse DeltasDisplays only the final value for each time unit.• No DeltasHides the simulation cycle (delta) column.• Trigger On Signal ChangeTriggers on signal changes. Defaults to all signals. Individual signals can be excludedfrom triggering by using the View > Signal Properties dialog or by originally addingthem with the -notrigger option to the add list command (CR-48).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window GR-161• Trigger On StrobeTriggers on the Strobe Period you specify; specify the first strobe with First Strobe at:.• Use Gating ExpressionEnables triggers to be gated on (a value of 1) or off (a value of 0) by the specifiedExpression. See "Using gating expressions to control triggering" (UM-281) for moreinformation.• Use Expression Builder (button)Opens the Expression Builder to help you write a gating expression. See "Using gatingexpressions to control triggering" (UM-281).• ExpressionEnter the expression for trigger gating into this field, or use the Expression Builder (selectthe Use Expression Builder button). The expression is evaluated when the List windowwould normally have displayed a row of data (given the trigger on signals and strobesettings above).• On DurationDetermines for how long triggering stays enabled after the gating expression returns tofalse (0). The default of 0 duration will enable triggering only while the expression is true(1). The duration is expressed in x number of default timescale units.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1621 - Simulator windowsCustomize Toolbar dialogThis dialog is the same for all windows. See "Customize Toolbar dialog" (GR-118) underthe Main window for details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Locals pane GR-163Locals paneThe Locals pane displays data objects that are immediately visible from the statement thatwill be executed next (that statement is denoted by a blue arrow in the Source editorwindow). The contents of the window change from one statement to the next.The Locals pane includes two columns. The first column lists the names of the immediatelyvisible data objects. The second column lists the current value(s) associated with eachname.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1641 - Simulator windowsLocals dialogsThis section describes the locals related dialogs that are accessed via the Main windowmenu bar. Not all dialogs are documented (e.g., File > Save).Change Selected Variable dialogPurpose Menu command Additional informationEdit a variable value Edit > Advanced >Changechange command (CR-77)The Change Selected Variable dialog includes these options:• Variable NameThe variable name whose value you are changing.• ValueThe new value for the specified variable. You can enter any value that is valid for thevariable. An array value must be specified as a string (without surrounding quotationmarks). To modify the values in a record, you need to change each field separately.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Locals pane GR-165Find in Locals dialogPurpose Menu command Additional informationLocate objects orvaluesEdit > FindNAYou must activate the Locals pane by clicking in it before this dialog will be available.The Find in Locals dialog includes these options:• FindSpecify the text for which you want to search.• FieldSpecify whether to search the name or value column.• DirectionSpecify the direction to begin searching.• ExactCheck Exact if you only want to find objects that match your search exactly. For examplesearching for "addr" without Exact will find addr and addr_r.• Auto WrapCheck Auto Wrap to continue the search at the top or bottom of the pane.You can also do a quick find from the keyboard. When the Locals pane is active, each timeyou type a letter the highlight will move to the next object whose name begins with thatletter.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1661 - Simulator windowsMemory panesThe Main window lists all memories in your design in the Memories tab of the Mainwindow Workspace and displays the contents of a selected memory in the Main windowMDI frame.Memory listMemory contentsThe memory list is from the top-level of the design. In other words, it is not sensitive to thecontext selected in the Structure tab.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory panes GR-167Memories you can view<strong>ModelSim</strong> identifies certain kinds of arrays in various scopes as memories. Memoryidentification depends on the array element kind as well as the overall array kind (i.e.associative array, unpacked array, etc.).VHDLElement kind enum a ,std_logic_vector,std_bit_vector, orinteger.Verilog/SystemVerilogany integral type. (i.e. integer_type):shortint, int, longint, byte, bit (2 state),logic, reg, integer, time (4 state),packed_struct / packed_union (2 state),packed_struct / packed_union (4 state),packed_array (single-Dim, multi-D,2 state and 4 state),enum or string.Scope:recognizableinArray kindarchitecture,process, or recordsingledemensionalormulti-dimensionalmodule, interface, package, compilation unit,struct, or static variableswithin a task / function / named block / classsingle-dimensional unpacked, associative, ordynamic arrays, or multi-D unpacked arrays.a.These enumerated type value sets must have values that are longer than one character.The listed width is the number of entries in the enumerated type definition and the depthis the size of the array itself.Associative arrays in Verilog/SystemVerilogFor an associative array to be recognized as a memory, the index must be of an integral type(see above). An associative array with wildcard index type is not supported as a memory.For associative arrays, the element kind can be any type allowed for fixed-size arrays.Viewing single and multidimensional memoriesSingle dimensional arrays of integers are interpreted as 2D memory arrays. In these cases,the word width listed in the Memory List pane is equal to the integer size, and the depth isthe size of the array itself.Memories with three or more dimensions display with a plus sign ’+’ next to their namesin the Memory List. Click the ’+’ to show the array indices under that level. When youfinally expand down to the 2D level, you can double-click on the index, and the data for theselected 2D slice of the memory will appear in a memory contents pane in the MDI frame.Viewing packed arraysBy default packed dimensions are treated as single vectors in the memory contents pane.To expand packed dimensions of packed arrays, select View > Memory Contents >Expand Packed Memories.To change the permanent default, edit the PrefMemory(ExpandPackedMem) variable. Thisvariable affects only packed arrays. If the variable is set to 1, the packed arrays are treated<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1681 - Simulator windowsViewing memory contentsas unpacked arrays and are expanded along the packed dimensions such that they appear asa linearized bit vector. See "Simulator <strong>GUI</strong> preferences" (GR-269) for details on settingpreference variables.When you double-click an instance on the Memory tab, <strong>ModelSim</strong> automatically displaysa memory contents pane in the MDI frame (see "Multiple document interface (MDI) frame"(GR-20)). You can also enter the command add mem at the vsim commandprompt.Viewing multiple memory instancesYou can view multiple memory instances simultaneously. A memory tab appears in theMDI frame for each instance you double-click in the Memory list.See "Organizing windows with tab groups" (GR-20) for more information on tabs.Saving memory formats in a DO fileYou can save all open memory instances and their formats (e.g., address radix, data radix,etc.) by creating a DO file. With the memory tab active, select File > Save As. The Savememory format dialog box opens, where you can specify the name for the saved file. Bydefault it is named mem.do. The file will contain all open memory instances and theirformats. To load it at a later time, select File > Load.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory panes GR-169Direct address navigationYou can navigate to any address location directly by editing the address in the addresscolumn. Double-click on any address, type in the desired address, and hit Enter. Theaddress display scrolls to the specified location.Splitting the memory contents paneTo split a memory contents window into two screens displaying the contents of a singlememory instance, select View > Memory Contents > Split Screen (or right-click in thepane and select Split Screen from the pop-up menu). This allows you to view differentaddress locations within the same memory instance simultaneously.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1701 - Simulator windowsMemory popup menu commandsMemories tab popup menuThe following commands are available when you right-click in the Memory tab of the Mainwindow Workspace or the Memory contents pane in the MDI frame.View ContentsMemoryDeclarationCompare Contentsview memory data for the selected memory in the Memoryaddress panedisplay the source code declaration for the selected memory in theMDI framecompare the contents of the selected memory with a selectedreference memory or file; see "Compare Memory dialog" (GR-179)Memory contents pane popup menusWhen you right-click any memory address (first column in the Memory contents pane) thefollowing popup menu commands are available:GotoSplit ScreenPropertiesClose InstanceClose Allgo to specific memory address in currently displayed memoryinstancesplit the memory contents window horizontally; see "Splitting thememory contents pane" (GR-169)set Address radix, Data radix, and line wrap options (words perline); see "Properties dialog" (GR-181).close the Memory contents pane display of the currently selectedmemory instanceclose the Memory contents pane display of all memory instancesWhen you right-click any memory data field in the Memory contents pane the followingpopup menu commands are available:EditChangeImportExportallows you to manually edit the selected datachange the memory contents for all addresses or a range ofaddresses in the currently displayed memory instance; see"Change Memory dialog" (GR-177)import memory data to the currently displayed memory instancefrom a file; see "Import Memory dialog" (GR-172)export currently displayed memory data (all or a range) to a file;see "Export Memory dialog" (GR-175)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory panes GR-171FindSplit ScreenPropertiesClose InstanceClose Allsearches for a specified memory data pattern in the currentlydisplayed memory instancesplit the memory contents window horizontally; see "Splitting thememory contents pane" (GR-169)set Address radix, Data radix, and line wrap options (words perline); see "Properties dialog" (GR-181).close the Memory contents pane display of the currently selectedmemory instanceclose the Memory contents pane display of all memory instances<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1721 - Simulator windowsMemory dialogsImport Memory dialogThis section describes the memory-related dialogs that are accessed via the Main windowmenu bar. The dialogs are listed in the order in which they appear on the menus, top-tobottomand left-to-right (i.e., starting with the File menu and progressing across the menubar). Not all dialogs are documented (e.g., Goto).Purpose Menu command Additional informationInitialize memories File > Import >Memory Data (withmemory pane active)mem load command (CR-191)You can initialize memories in your design by either importing the contents from a file orby using an interactive command. An entire memory, a specific range of addresses, or anindividual word can be overwritten. Choose the type of loading operation to be performedin the Load Type area. When either File Only or Data Only is selected, the unused sectionof the dialog is grayed out.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory panes GR-173The Import Memory dialog includes these options:• Instance NameDisplays the name of the memory instance being loaded.• Load TypeDefines the type of load function you will perform. Your choices for loading data are:File Only, Data Only or Both File and Data.• Address RangeSpecifies all addresses or a range of addresses in the memory that you want to load. Theaddress radix of the displayed memory is shown in parentheses.• File LoadContains all inputs related to loading from a file. This area of the dialog is grayed out ifLoad Type is specified as Data Only.• File FormatSpecifies the format of the file to be loaded. Verilog Hex, Verilog Binary, or MTI formatcan be explicitly set, or the format can be determined automatically from the file (if thefile was created with the mem save command).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1741 - Simulator windows• File nameThe name of the memory file to load. You can manually edit this field or click Browse toselect a file.• Data LoadContains all inputs related to loading memory data. This area of the dialog is grayed outif Load Type is specified as File Only.• Fill TypeSpecifies how to apply the fill data, either directly as a value, or algorithmically. See themem load command (CR-191) for more information on Fill Type and Fill Data.• Fill DataSpecifies the fill data for addresses not contained in the load file.• SkipSpecifies the number of words to skip when applying a fill pattern sequence.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory panes GR-175Export Memory dialogPurpose Menu command Additional informationSave memories File > Export >Memory Data (withmemory pane active)mem save command (CR-194)The Export Memory dialog includes these options:• Instance NameThe memory instance being saved.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1761 - Simulator windows• Address RangeSpecifies all or a range of addresses to be saved into the file.• File FormatSpecifies whether memory is to be saved in Verilog Hex, Verilog Binary, or MTI format.Also, specify the Address and Data radix for MTI format.• No addressesSpecifies that no addresses are to appear in the saved file. This enables the file to bereloaded anywhere in the memory.• CompressApplies a simple ASCII compression to the saved file. The compression algorithmreplaces repeating lines with a single asterisk, like is done with the Unix “od” command.• Line WrapDesignates that lines of memory data will Fit in Window or allows user to designateWords per Line.• FilenameName of file to be saved.MTI memory data file formatThe MTI memory data file format is as illustrated in the following example:// memory data file// (do not edit the following line - required for mem load use)// format=mti addressradix=d dataradix=s direction=ascending0: 110 110 110 110 110 1106: 110 110 110 110 000 00012: 000 000 000 000 000 00018: 000 000 000 000 000 00024: 000 000 000 000 000 00030: 000 000The possible format, address radix, data radix, and direction settings are as specified by thecorresponding options in the mem save command (CR-194) and mem load command (CR-191).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory panes GR-177Change Memory dialogPurpose Menu command Additional informationEdit memory datavaluesEdit > Advanced >Change (with memorypane active)NAThe Change Memory dialog includes the following:• Instance NameDisplays the name of the memory instance being loaded.• Address RangeSpecifies all addresses or a starting and ending address to be changed. The address radixof the currently displayed memory is shown in parentheses.• Fill DataSpecifies the fill data for specified addresses.• Fill TypeSpecifies how to apply the fill data, either directly as a value, or algorithmically. See themem load command (CR-191) for more information on Fill Type and Fill Data.• SkipSpecifies the number of words to skip after applying a fill pattern sequence.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1781 - Simulator windowsChanging data for individual addressesTo edit memory datain place, doubleclick(or right-clickand select Edit ) anyword in a memorycontents window.The data ishighlighted. Type inthe desired change.Pressing commits the change; aborts it. scrolls downthe list of data entries, while - scrolls up the list.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory panes GR-179Compare Memory dialogPurpose Menu command Additional informationcompare selectedmemory to referencememory or fileright click Memory tab of Mainwindow Workspace > selectCompare ContentsNAThe Compare Memory dialog includes the following fields:• Actual MemorySpecifies the name of the memory that will be compared to a reference memory or file.You can manually edit this field or click Browse to select a memory.• <strong>Reference</strong> MemorySpecifies the name of the reference memory. You can manually edit this field or clickBrowse to select a memory.• <strong>Reference</strong> FileSpecifies the name of the reference file. You can manually edit this field or click Browseto select a file.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1801 - Simulator windowsFind dialogPurpose Menu command Additional informationLocate a value in thememory contentsEdit > FindNAYou must activate a memory content window in the MDI frame by clicking in it before thisdialog will be available.The Find dialog includes these options:• Search for:The value you want to find.• Replace withAn optional value to replace the located value.• Search backwardsSearch backwards through the memory.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory panes GR-181Properties dialogPurpose Menu command Additional informationConfigure windowdisplay propertiesView > Propertiesmem display command (CR-188)The Properties dialog includes these options:• Address RadixThe radix for the address. Can be Hexadecimal or Decimal.• Data RadixThe radix for the data. Non-enumerated type memories can be Symbolic, Binary, Octal,Decimal, Unsigned, and Hexadecimal. Enumerated type memories are only symbolicdata types, and all other options are grayed out.• Line WrapThe number of words per line can be set, or arbitrarily determined based on the size ofthe window.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1821 - Simulator windowsObjects paneThe Objects pane shows the names and current values of declared data objects in the currentregion (selected in the structure tabs of the Workspace). Data objects include signals, nets,registers, constants and variables not declared in a process, generics, parameters, andmember data variables of a SystemC module.Clicking an entry in the window highlights that object in the Dataflow and Wave windows.Double-clicking an entry highlights that object in a Source editor window (opening aSource editor window if one is not open already). You can also right click an object nameand add it to the List or Wave window, or the current log file.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Objects pane GR-183Filtering the objects listYou can filter the objects list by name or by object type.Filtering by nameTo filter by name, start typing letters in the Contains field on the Main window toolbar.As you type letters, the objects list filters to show only those signals that contain thoseletters.As you type lettersin the Contains:field......the objects listfilters dynamicallyto show onlyobjects that matchyour entryTo display all objects again, click the Eraser icon to clear the entry.Filters are stored relative to the region selected in the Structure window. If you re-select aregion that had a filter applied, that filter is restored. This allows you to apply differentfilters to different regions.Filtering by signal typeThe View > Filter menu selection allows you to specify which signal types to display inthe Objects window. Multiple options can be selected.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1841 - Simulator windowsObjects dialogsForce Selected Signal dialogThis section describes the objects related dialogs that are accessed via the Main windowmenu bar. Not all dialogs are documented (e.g., File > Save).Purpose Menu command Additional informationApply stimulus to asignal or netEdit > Advanced >Forceforce command (CR-169)The Force function (unavailable for SystemC) allows you to apply stimulus to the selectedsignal or net. Multiple signals can be selected and forced; the force dialog remains openuntil all of the signals are either forced, skipped, or you close the dialog. To cancel a forcecommand, use the Edit > Advanced > NoForce command.The Force Selected Signal dialog includes these options:• Signal NameSpecifies the signal or net for the applied stimulus.• ValueInitially displays the current value, which can be changed by entering a new value intothe field. A value can be specified in radixes other than decimal by using the form (forVHDL and Verilog, respectively):base#value -or- b|o|d|h’value16#EE or h’EE, for example, specifies the hexadecimal value EE.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Objects pane GR-185Kind• FreezeFreezes the signal or net at the specified value until it is forced again or until it is unforcedwith a noforce command (CR-200).Freeze is the default for Verilog nets and unresolved VHDL signals and Drive is thedefault for resolved signals.If you prefer Freeze as the default for resolved and unresolved signals, you can changethe default force kind in the modelsim.ini file (see "Control variables located in INI files"(UM-502)).• DriveAttaches a driver to the signal and drives the specified value until the signal or net isforced again or until it is unforced with a noforce command (CR-200). This type of forceis illegal for unresolved VHDL signals.• DepositSets the signal or net to the specified value. The value remains until there is a subsequentdriver transaction, or until the signal or net is forced again, or until it is unforced with anoforce command (CR-200).• Delay ForAllows you to specify how many time units from the current time the stimulus is to beapplied.• Cancel AfterCancels the force after the specified period of simulation time.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1861 - Simulator windowsDefine Clock dialogPurpose Menu command Additional informationAdd clock signals Edit > Advanced >Clockforce command (CR-169)The Define Clock dialog includes the following options:• Clock NameThe name of the clock signal.• OffsetThe time after the current simulation time that the first transition will occur.• DutyThe percentage of the period that the clock is high or low.• PeriodThe period of the clock signal.• CancelA simulation period after which the clock definition should be cancelled.• Logic ValuesIf the signal type is std_logic, std_ulogic, bit, verilog wire, verilog net, or any other logictype where 1 and 0 are valid, then 1 is the default High Value and 0 is the default LowValue. For other signal types, you will need to specify a High Value and a Low Value forthe clock.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Objects pane GR-187• First EdgeSpecify whether the first transition will be rising or falling.For clock signals starting on the rising edge, a visual depiction of Period, Offset, and DutyCycle looks like this:PeriodHigh ValueLow ValueOffsetHigh TimeDuty Cycle = High Time/Period<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1881 - Simulator windowsFind in Objects dialogPurpose Menu command Additional informationLocate objects orvaluesEdit > FindNAYou must activate the Objects pane by clicking in it before this dialog will be available.The Find in Signals dialog includes these options:• FindSpecify the text for which you want to search.• FieldSpecify whether to search the name or value column.• DirectionSpecify the direction to begin searching.• ExactCheck Exact if you only want to find objects that match your search exactly. Forexample, searching for "clk" without Exact will find /top/clk and /top/clk1.• Auto WrapCheck Auto Wrap to continue the search at the top or bottom of the window.You can also do a quick find from the keyboard. When the Objects window is active, eachtime you type a letter the signal selector (highlight) will move to the next signal whosename begins with that letter.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Objects pane GR-189Modify Breakpoints dialogThis dialog is the same as the one you access in the Main window. See "ModifyBreakpoints dialog" (GR-105) for more information.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1901 - Simulator windowsProfile panesThe Profile and Profile Details panes display the results of statistical performance andmemory allocation profiling. By default, both panes are displayed within the Main windowbut they can be undocked from the Main window to stand alone. Each pane contains threetabs for displaying profile results: Ranked, Call Tree, and Structural.For details about using the profiler see Chapter 13 - Profiling performance and memory usein the <strong>ModelSim</strong> User’s Manual.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Profile panes GR-191Profile pane columnsThe Profile panes include the columns described below. See "Hiding/showing columns inthe Profile panes" (GR-192) for details on how to show or hide particular columns.• The Name column lists the filename of an HDL function or instance, and the line numberat which it appears. Most useful names consist of a line of VHDL or Verilog source code.If you use a PLI/VPI or FLI routine, then the name of the C function that implements thatroutine can also appear in the Name column.• The Under (raw) column lists the raw number of Profiler samples collected during theexecution of a function, including all support routines under that function; or, the numberof samples collected for an instance, including all instances beneath it in the structuralhierarchy.• The In (raw) column lists the raw number of Profiler samples collected during a functionor instance.• The Under% column lists the ratio (as a percentage) of the samples collected during theexecution of a function and all support routines under that function to the total numberof samples collected; or, the ratio of the samples collected during an instance, includingall instances beneath it in the structural hierarchy, to the total number of samplescollected.• The In% column lists the ratio (as a percentage) of the total samples collected during afunction or instance.• The %<strong>Parent</strong> column (not in Ranked view) lists the ratio, as a percentage, of the samplescollected during the execution of a function or instance to the samples collected in theparent function or instance.• The Mem under column lists the amount of memory allocated to a function, includingall support routines under that function; or, the amount of memory allocated to aninstance, including all instances beneath it in the structural hierarchy.• The Mem in column lists the amount of memory allocated to a function or instance.• The Mem under (%) column lists the ratio (as a percentage) of the amount of memoryallocated to a function and all of its support routines to the total memory available; or,the ratio of the amount of memory allocated to an instance, including all instancesbeneath it in the structural hierarchy, to the total memory available.• The Mem in (%) column lists the ratio (as a percentage) of the amount of memoryallocated to a function or instance to the total memory available.• The %<strong>Parent</strong> column lists (not in Ranked view) the ratio, as a percentage, of the memoryallocated to a function or instance to the memory allocated to the parent function orinstance.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1921 - Simulator windowsHiding/showing columns in the Profile panesYou can hide or show any of the columns in the Profile panes. Click the drop-down arrowon the left-hand side of the dialog and select a column name.Click here tohide or showa fieldThe selection acts as a toggle. Select it once to hide a column; select it again to show thecolumn.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Profile panes GR-193Profiler popup menu commandsThe following menu commands are available when you right click in the Ranked, Call Tree,and Structural views of the Profile window, or in the Profile Details window. (The Rankedview does not contain all of the commands available in the Call Tree and Structural views.)View SourceFunction UsageInstance UsageView InstantiationCallers & CalleesDisplay in Call TreeDisplay in Structuraldisplays source code of selected function in Source windowdisplays all instances using the selected function in the ProfileDetails window(Structural view only) displays all instances with the samedefinition as the selected instance in the Profile Details window(Structural view only) opens Source window at the point in thesource code where the selected instance is instantiateddisplays all callers and callees for the selected function in theProfile Details window. Items above the selected function arecallers; items below are callees. The selected function isdesignated with an arrow.expands the Call Tree view of the Profile window to display alloccurrences of the selected function; puts the selected functioninto a search buffer so you can easily cycle across all occurrencesof that function.expands the Structural view of the Profile window to display alloccurrences of the selected function; puts the selected functioninto a search buffer so you can easily cycle across all occurrencesof that function.The following commands are only available in the Call Tree and Structural viewsSet RootAscend RootReset RootExpand SelectedCollapse SelectedExpand AllCollapse AllCollapse To <strong>Parent</strong>Expand One LevelShow Callscauses the display to be rooted at the currently selected itemcauses the display root to ascend one levelcauses the display to be reset to normalexpand hierarchy of the selected function or instancecollapse hierarchy of the selected function or instanceexpand hierarchy of all functions and instancescollapse hierarchy of all functions and instancescollapse hierarchy to the parent function or instanceexpand hierarchy of selected function or instance one leveltoggle the display of call stack entries<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1941 - Simulator windowsProfiler toolbarThe Ranked, Call Tree and Structural views all share a toolbar in the Main window. Thetable below describes the icons in this toolbar.Main window Profiler toolbar buttonsButton Menu equivalent CommandequivalentsMemory Profilingenable collection of memory usagedataPerformance Profilingenable collection of statisticalperformance dataCollapse Sectionson/off toggling of reporting forcollapsed processes and functions.Tools > Profile > MemoryTools > Profile > PerformanceTools > Profile > CollapseSectionsProfile Cutoffdisplay performance andmemory profile data equalto or greater than setpercentageRefresh profile datarefresh profile performance andmemory data after changing profilecutoffSave profile resultssave profile data to output file(prompts for file name)Tools > Profile > ProfileReportprofile report (CR-223)Profile Findsearch for the named stringin the Profile pane<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Profile panes GR-195Profiler dialogsProfile Report dialogThis section describes the profiler-related dialogs that are accessed via the Main windowmenu bar. Not all dialogs are documented (e.g., File > Save).Purpose Menu command Additional informationCreate textual reportsfrom performance andmemory profileresultsTools > Profile >Profile Reportprofile report command (CR-223)The Profile Report dialog includes the following options:• TypeSave a textual report from Call Tree, Ranked, and Structural profile data. The Structuraloption allows you to designate the root instance for the report, include the function callhierarchy, and specify the structure level. You can also create a Function to instancereport for the designated function, and a report of Instances using the same definition asthe designated instance.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1961 - Simulator windows• Performance/Memory dataElect to save performance profile data only, memory allocation data only, or both.• Cutoff percentReport results including and above the designated or Default percentage.• OutputWrites the textual report directly to the transcript or to a file. Will also display the fileimmediately after it is created if "View file" is selected.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Source window GR-197Source windowSource files display by default in the MDI frame of the Main window. The window can beundocked from the Main window by pressing the Undock button in the window header orby using the view -undock source command.You can edit source files as well as set breakpoints, step through design files, and view codecoverage statistics.By default, the Source window displays your source code with line numbers. You may alsosee the following graphic elements:• Red line numbers – denote lines on which you can set a breakpoint• Blue arrow – denotes the currently active line or a process that you have selected in the"Active Processes pane" (GR-120)• Red circles – denote file-line breakpoints; gray circles denote breakpoints that arecurrently disabled• Blue circles – denote line bookmarks• Language Templates pane – displays Language templates (GR-199)Opening source filesYou can open source files using the File > Open command. Alternatively, you can opensource files by double-clicking objects in other windows. For example, if you double-clickan item in the Objects window or in the structure tab of the Workspace, the underlyingsource file for the object will open, and the cursor will scroll to the line where the object isdefined.By default files you open from within the design (e.g., by double-clicking an object in theObjects pane) open in Read Only mode. To make the file editable, right-click in the Sourcewindow and select Read Only. To change this default behavior, set the<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-1981 - Simulator windowsPrefSource(ReadOnly) variable to 0. See "Simulator <strong>GUI</strong> preferences" (GR-269) for detailson setting preference variables.Displaying multiple source filesBy default each file you open or create is marked by a window tab, as shown in the graphicbelow.Window tabsSee "Organizing windows with tab groups" (GR-20) for more information on these tabs.You can also display source files in independent windows within the MDI frame. To switchthe MDI Mode to display independent windows, select View > Tabbed MDI. By default,the Tabbed MDI selection will be checked (selected). Clicking the selection will uncheckit and the MDI Mode changes will take effect with the next invocation of <strong>ModelSim</strong>.Dragging and dropping objects into the Wave and List windows<strong>ModelSim</strong> allows you to drag and drop objects from the Source window to the Wave andList windows. Double-click an object to highlight it, then drag the object to the Wave orList window.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Source window GR-199Language templates<strong>ModelSim</strong> language templates help you write code. They are a collection of wizards,menus, and dialogs that produce code for new designs, testbenches, language constructs,logic blocks, etc.Important: The language templates are not intended to replace thorough knowledge ofcoding. They are intended as an interactive "reference" for creating small sections ofcode. If you are unfamiliar with a particular language, you should attend a training classor consult one of the many available books.To use the templates, either open an existing file, or select File > New > Source to createa new file. Once the file is open, select View > Source > Show language templates. Thisdisplays a pane that shows the available templates.The templates that appear depend on the type of file you create. For example Module andPrimitive templates are available for Verilog files, and Entity and Architecture templatesare available for VHDL files.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2001 - Simulator windowsDouble-click an object in the list to open a wizard or to begin creating code. Some of theobjects bring up wizards while others insert code into your source file. The dialog below ispart of the wizard for creating a new design. Simply follow the directions in the wizards.Code inserted into your source contains a variety of highlighted fields. The example belowshows a module statement inserted from the Verilog template.Some of the fields, such as module_name in the example above, are to be replaced withnames you type. Other fields can be expanded by double-clicking and still others offer a<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Source window GR-201context menu of options when double-clicked. The example below shows the menu thatappears when you double-click module_item then select gate_instantiation.Setting file-line breakpointsYou can easily set "File-line breakpoints" (GR-256) in a Source window using your mouse.Click on a red line number at the left side of the Source window, and a red circle denotinga breakpoint will appear. The breakpoints are toggles – click once to create the breakpoint;click again to disable or enable the breakpoint.To delete the breakpoint completely, right click the red circle, and select RemoveBreakpoint. Other options on the context menu include:• Disable/Enable BreakpointDeactivate or activate the selected breakpoint.• Edit BreakpointOpen the "File Breakpoint dialog" (GR-108) to change breakpoint arguments.• Edit All BreakpointsOpen the "Modify Breakpoints dialog" (GR-105)Checking object values and descriptionsThere are two quick methods to determine the value and description of an object displayedin the Source window:• select an object, then right-click and select Examine or Describe from the context menu• pause over an object with your mouse pointer to see an examine pop-upSelect Tools > Options > Examine Now or Tools > Options > Examine Current Cursorto choose at what simulation time the object is examined or described.You can also invoke the examine (CR-160) and/or describe (CR-145) command on thecommand line or in a macro.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2021 - Simulator windowsFinding and replacing in a Source windowTwo dialogs with slightly different options allow you to find, mark, and replace text stringsor regular expressions in a Source window.If you select Edit > Find, you will see the following dialog:Enter the value to search for in the Find field. Optionally specify whether the entries arecase sensitive and whether to search backwards from the current cursor location. CheckMatch whole word only to prevent implicit wildcards. Check the Regular expressioncheckbox if you are using regular expressions. The Mark All button places bookmarks onall lines that contain the text for which you are searching (see "Marking lines withbookmarks" (GR-202)).If you select Edit > Replace, you will see this dialog:Marking lines with bookmarksMost of the options are the same as those in the Find dialog, but you would also enter avalue in the Replace field.Source window bookmarks are blue circles that mark lines in a source file. These graphicalicons may ease navigation through a large source file by "highlighting" certain lines.As noted above in the discussion about finding text in the Source window, you can insertbookmarks on any line containing the text for which you are searching. The other methodfor inserting bookmarks is to right-click a line number and select Add/RemoveBookmark. To remove a bookmark, right-click the line number and select Add/RemoveBookmark again.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Source window GR-203Customizing the Source windowYou can customize a variety of settings for Source windows. For example, you can changefonts, spacing, colors, syntax highlighting, and so forth. To customize Source windowsettings, select Tools > Edit Preferences. This opens the Preferences dialog. Select SourceWindows from the Window List.Select an item from the Category list and then edit the available properties on the right.Click OK or Apply to accept the changes.The changes will be active for the next Source window you open. The changes are savedautomatically when you quit <strong>ModelSim</strong>.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2041 - Simulator windowsSource window menusThe following menu commands are available in the Source window when it is undockedfrom the Main window. When the Source window is docked in the Main window, thesefunctions can be found in the Main window menu bar (see Main window menu bar (GR-23)).Several commands are also available in a context menu by right-clicking in a Sourcewindow.File menuNewOpenUse SourceSource <strong>Directory</strong>Save/Save AsPrintClosecreate a new VHDL, Verilog, SystemC, DO or other source fileopen a source filespecify an alternative file to use for the current source file; thisalternative source mapping exists for the current simulation onlyadd to a list of directories to search for source filessave the active source fileprint the active source fileclose the active source fileEdit menuUndoCutCopyPasteSelect AllUnselect AllComment/UncommentSelectedFindReplaceundo previous actioncut selected source codecopy selected source codepaste code at selected location in the source codeselect all source code in the active source fileunselect selected source codeadd or remove comment characters from the selected linesfind specific code in the active source file; allows you to matchwhole word only, match case, search for regular expressions, andsearch backwards in the active source file; you can also mark alloccurrencesfind and replace specified source code in the active source file;allows you to match whole word only, match case, search for andreplace regular expressions, and search backwards in the activesource file; you can also replace all occurrences<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Source window GR-205Previous CoverageMissNext Coverage MissGotoRead Onlyjump to previous line of code not executedjump to next line of code not executedjump to a line number in the active source filetoggle read only status of active source file; edit thePrefSource(ReadOnly) variable to set a permanent default (see"Simulator <strong>GUI</strong> preferences" (GR-269) for details)View menuShow line numbersShow languagetemplatesPropertiestoggle display of line numbersShow language templatestoggle display of the Language templates (GR-199) panelist a variety of information about the source file; for example, filetype, file size, file modification dateTools menuExamineDescribeDriversReadersCompileC DebugBreakpointsOptionsdisplay the current value of the object selected in the active Sourcewindow; same as the examine (CR-160) commanddisplay information about the object selected in the active Sourcewindow; same as the describe command (CR-145)list the names of all drivers of the object selected in the activeSource windowlist the names of all readers of the object selected in the activeSource windowcompile the active source filesee "C Debug sub-menu" (GR-37)add, edit, or delete file-line and signal breakpoints; see "Creatingand managing breakpoints" (GR-256)the Options menu includes these Source related options:Examine Now–examine selected object at the current simulationtime; this option affects the behavior of the Examine and Describecommands as well as the examine popup; see "Checking objectvalues and descriptions" (GR-201)Examine Current Cursor–examine selected object at the time ofthe current cursor in the Wave window; this option affects thebehavior of the Examine and Describe commands as well as theexamine popup; see "Checking object values and descriptions"(GR-201)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2061 - Simulator windowsWatch paneThe Watch pane shows values for signals and variables at the current simulation time.Unlike the Objects or Locals pane, the Watch pane allows you to view any signal orvariable in the design regardless of the current context..Objects you can viewVHDL objectssignals, aliases, generics, constants, and variablesVerilog objectsnets, registers, variables, named events, and module parametersSystemC objectsprimitive channels and portsAdding objects to the paneVirtual objectsvirtual signals and virtual functionsTo add objects to the Watch pane, drag-and-drop objects from the Structure tab, Objectspane, or Locals pane. Alternatively, use the add watch command (CR-52).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Watch pane GR-207Expanding objects to show individual bitsIf you add an array or record to the Watch pane, you can view individual bit values bydouble-clicking the array or record. As shown in the graphic above, /ram_tb/dpram1/inaddr has been expanded to show all the individual bit values. Notice the arrow that "ties"the array to the individual bit display.Grouping and ungrouping objectsYou can group objects in the Watch pane so they display and move together. Select theobjects, then right click one of the objects and choose Group.In the graphic below, two different sets of objects have been grouped together.To ungroup them, right-click the group and select Ungroup.Saving and reloading format filesOther Watch pane commandsYou can save a format file (a DO file, actually) that will redraw the contents of the Watchwindow. Right-click anywhere in the window and select Save Format.Once you have saved the file, you can reload it by right-clicking and selecting LoadFormat.The table below summarizes some other Watch pane commands, all of which are availablevia a context menu by clicking in the pane with the right mouse button.CommandAdd WaveAdd ListLog SignalDescriptionAdd the selected items to the Wave windowAdd the selected items to the List windowAdd selected signals to the active log file<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2081 - Simulator windowsCommandForceNoforceClockChangeFollow SelectionContextSave FormatLoad FormatClearTileGroup/UngroupPropertiesClearDescriptionApply stimulus to a net or signal; see "Force Selected Signaldialog" (GR-184)Cancel a previous force commandCreate a clock signal; see "Define Clock dialog" (GR-186)Modify the value of a variableIdentifies location of object in design hierarchy in structure tabof WorkspaceSave the current Watch window display and signal preferencesto a DO (macro) file; running the DO file will reformat theWatch window to match the display as it appeared when the DOfile was createdExecutes or loads a previously saved DO file in the WatchwindowClear all objects from the Watch paneOrganizes objects in the paneGroup or ungroup selected objectsSet the displayed radix of the selected signalClear the Watch window<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-209Wave windowThe Wave window, like the List window, allows you to view the results of your simulation.In the Wave window, however, you can see the results as waveforms and their values.The Wave window opens by default in the MDI frame of the Main window as shownbelow. The window can be undocked from the main window by pressing the Undock buttonin the window header or by using the view -undock wave command. The preferencevariable PrefWave(ViewUnDocked) can be used to control this default behavior. Bysetting the value of this variable to 1, the Wave Window will open undocked.Undock button<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2101 - Simulator windowsHere is an example of a Wave window that is undocked from the MDI frame. All menusand icons associated with Wave window functions now appear in the menu and toolbarareas of the Wave window.Dock buttonUndock buttonIf the Wave window is docked into the Main window MDI frame, all menus and icons thatwere in the standalone version of the Wave window move into the Main window menu barand toolbar. See "Main window menu bar" (GR-23) for more information.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-211The Wave window is divided into a number of window panes. All window panes in theWave window can be resized by clicking and dragging the bar between any two panes.pathnames values waveformscursors names and valuescursorsWave window panesThe sections below describe the various Wave window panes.Pathname paneThe pathname pane displays signal pathnames. Signals can be displayed with fullpathnames, as shown here, or with only the leaf element displayed. You can increase thesize of the pane by clicking and dragging on the right border. The selected signal ishighlighted.The white bar along the left margin indicates the selected dataset (see "Splitting Wavewindow panes" (UM-272)).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2121 - Simulator windowsObjects you can viewValue paneThe value pane displays the values of the displayed signals.The radix for each signal can be symbolic, binary, octal, decimal, unsigned, hexadecimal,ASCII, or default. The default radix can be set by selecting Simulate > Runtime Options.The data in this pane is similar to that shown in the Objects pane (GR-182), except that thevalues change dynamically whenever a cursor in the waveform pane is moved.Waveform paneThe waveform pane displays the waveforms that correspond to the displayed signalpathnames. It also displays up to 20 cursors. Signal values can be displayed in analog step,analog interpolated, analog backstep, literal, logic, and event formats. Each signal can beformatted individually. The default format is logic.If you rest your mouse pointer on a signal in the waveform pane, a popup displays withinformation about the signal. You can toggle this popup on and off in the Wave WindowProperties dialog (see "Grid & Timeline tab" (GR-255)).Cursor panesThere are three cursor panes–the left pane shows the cursor names; the middle pane showsthe current simulation time and the value for each cursor; and the right pane shows theabsolute time value for each cursor and relative time between cursors. Up to 20 cursors canbe displayed. See "Measuring time with cursors in the Wave window" (UM-259) for moreinformation.The following types of objects can be viewed in the Wave windowVHDL objects(indicated by a dark blue diamond)signals, aliases, process variables, and shared variablesVerilog objects(indicated by a light blue diamond)nets, registers, variables, and named eventsSystemC objects(indicated by a green diamond)primitive channels and portsVirtual objects(indicated by an orange diamond)virtual signals, buses, and functions, see; "Virtual Objects (User-defined buses, and more)"(UM-248) for more information<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-213Comparison objects(indicated by a yellow triangle)comparison region and comparison signals; see "Waveform Compare" (UM-285) for moreinformationCreated waveforms(indicated by a red dot on a diamond)see Chapter 16 - Generating stimulus with Waveform EditorThe data in the object values pane is very similar to the Objects window, except that thevalues change dynamically whenever a cursor in the waveform pane is moved.At the bottom of the waveform pane you can see a time line, tick marks, and the time valueof each cursor’s position. As you click and drag to move a cursor, the time value at thecursor location is updated at the bottom of the cursor.You can resize the window panes by clicking on the bar between them and dragging the barto a new location.Waveform and signal-name formatting are easily changed via the Format menu (GR-216).You can reuse any formatting changes you make by saving a Wave window format file (see"Saving the window format" (UM-276)).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2141 - Simulator windowsWave window menu barFile menuThe following commands are available from the Wave window menu bar when the Wavewindow is undocked. When the Wave window is docked in the MDI frame (see "Wavewindow" (GR-209)), the commands are distributed among the Main window menus.Many of these commands are also available via a context menu by clicking your rightmouse button within the Wave window itself.New WindowOpenLoadSaveExportImport EVCDPage SetupPrint (Windowsonly)Print PostscriptCloseQuitcreate a new instance of the Wave windowopen a dataset (WLF file)run a Wave window format (DO) file previously saved with SaveFormatopens the Save Format dialog which allows you to save the currentWave window display and signal preferences to a DO (macro) file orany wave edits; running the DO file will reformat the Wave windowto match the display as it appeared when the DO file was createdprovides these options:Waveform – export a created waveform; see "Exporting waveformsto a stimulus file" (GR-432);Image – saves a bitmap image of the Wave window.import a previously exported EVCD file for waveform editor; see"Driving simulation with the saved stimulus file" (GR-433)configure page setup including paper size, margins, label width,cursors, grid, color, scaling and orientationsend the contents of the Wave window to a selected printer; see"Printing and saving waveforms in the Wave window" (UM-277) fordetailssave or print the waveform display as a Postscript file; see "Saving a.eps file and printing under UNIX" (UM-277) for detailsclose this copy of the Wave windowexit <strong>ModelSim</strong>Edit menuCutCopycut the selected object and waveform from the Wave windowcopy the selected object and waveform<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-215PasteDeleteEdit WaveEdit CursorDelete CursorDelete WindowPaneRemove All (Panesand Signals)Select AllUnselect AllFindSearchForceNoforceClockpaste the previously cut or copied object above the currentlyselected objectdelete the selected object and its waveformselect from numerous options for editing waveforms; see "Editingwaveforms" (GR-428)open a dialog to specify the location of the selected cursordelete the selected cursor from the windowdelete the selected window paneremoves all signals and additional window panes, leaving thewindow in its original stateselect, or unselect, all object names in the pathname panefind the specified object label within the pathname pane or thespecified value within the value panesearch the waveform display for a specified value, or the nexttransition for the selected object; see "Searching for values ortransitions" (UM-266)force a value on the selected object; see "Force Selected Signaldialog" (GR-184)cancel a previous force commanddefine a clock; see "Define Clock dialog" (GR-186)View menuZoom Mouse ModeObject DeclarationCursorsBookmarksselection: Full, In, Out, Last, or Range to change the waveformdisplay rangetoggle mouse pointer between Select Mode (click left mousebutton to select, drag with middle mouse button to zoom) andZoom Mode (drag with left mouse button to zoom, click middlemouse button to select)open the source file in the Source window and highlight thedeclaration for the currently selected objectchoose a cursor to go to from a list of available cursorschoose a bookmark to go to from a list of available bookmarks<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2161 - Simulator windowsGoto TimeSortJustify ValuesRefresh DisplayPropertiesscroll the Wave window so the specified time is in view; "g"hotkey produces the same resultsort the top-level objects in the pathname pane; sort with full pathor viewed name; use ascending or descending orderjustify values to the left or right margins of the window paneclear the Wave window, empty the file cache, and rebuild thewindow from scratchset properties for the selected object (use the Format menu tochange individual properties)Insert menuDividerBreakpointBookmarkCursorWindow Paneinsert a divider at the current locationadd a breakpoint on the selected signal; see "Signal breakpoints"(GR-256)add a bookmark with the current zoom range and scroll location;see "Saving zoom range and scroll position with bookmarks" (UM-264)add a cursor to the waveform panesplit the pathname, values and waveform window panes toprovide room for a new wavesetFormat menuRadixFormatColorHeightset the selected objects’ radixset the waveform format for the selected objects – Literal, Logic,Event, Analogset the color for the selected objects from a color paletteset the waveform height in pixels for the selected objectsTools menuWaveformComparesee "Waveform Compare sub-menu" (GR-35)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-217BreakpointsBookmarksDataset SnapshotCombine SignalsWindowPreferencesadd, edit, and delete signal breakpoints; see "Creating andmanaging breakpoints" (GR-256)add, edit, delete, and goto bookmarks; see "Saving zoom rangeand scroll position with bookmarks" (UM-264)enable periodic saving of simulation data to a WLF file; see"Saving at intervals with Dataset Snapshot" (UM-246)combine the selected objects into a user-defined bus; see"Combining objects/creating busses" (UM-279)set various display properties such as signal path length, cursorsnap distance, row margin, dataset prefixes, waveform popup, etc.Window menuThe Window menu is identical in all windows. See "Window menu" (GR-39) for adescription of the commands.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2181 - Simulator windowsWave window toolbarWave window toolbar buttonsThe Wave window toolbar gives you quick access to these <strong>ModelSim</strong> commands andfunctions.Button Menu equivalent Other optionsOpen Datasetopen a previously saved datasetFile > OpenFile > Open from Main windowwhen Transcript window sim tab isactiveSave Formatsave the current Wave windowdisplay and signal preferences to aDO (macro) fileFile > SavenonePrintprint a user-selected range of thecurrent Wave window display to aprinter or a fileFile > PrintFile > Print PostscriptnoneExport Waveformexport a created waveformFile > Export > WaveformnoneCutcut the selected signal from theWave windowEdit > Cutright mouse in pathname pane > CutCopycopy the signal selected in thepathname paneEdit > Copy right mouse in pathname pane >CopyPastepaste the copied signal aboveanother selected signalEdit > Paste right mouse in pathname pane >PasteFindfind a name or value in the WavewindowEdit > Find Windows UNIX<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-219Wave window toolbar buttonsButton Menu equivalent Other optionsInsert Cursoradd a cursor to the waveform paneInsert > Cursorright click in cursor pane and selectNew CursorDelete Cursordelete the selected cursor from thewindowEdit > Delete Cursorright mouse in cursor pane > DeleteCursor nFind Previous Transitionlocate the previous signal valuechange for the selected signalFind Next Transitionlocate the next signal valuechange for the selected signalSelect Modeset mouse to Select Mode – clickleft mouse button to select, dragmiddle mouse button to zoomZoom Modeset mouse to Zoom Mode – dragleft mouse button to zoom, clickmiddle mouse button to selectEdit > Search(Search Reverse)Edit > Search(Search Forward)View > Mouse Mode >Select ModeView > Mouse Mode >Zoom Modekeyboard: Shift + Tableft see left command (CR-180)keyboard: Tabright see right command (CR-243)nonenoneZoom In 2xzoom in by a factor of two fromthe current viewView > Zoom > Zoom In keyboard: i I or +right mouse in wave pane > ZoomInZoom Out 2xzoom out by a factor of two fromcurrent viewZoom Fullzoom out to view the full range ofthe simulation from time 0 to thecurrent timeStop Wave Drawinghalts any waves currently beingdrawn in the Wave windowView > Zoom > ZoomOutView > Zoom > ZoomFullnonekeyboard: o O or -right mouse in wave pane > ZoomOutkeyboard: f or Fright mouse in wave pane > ZoomFull.wave.tree interrupt<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2201 - Simulator windowsWave window toolbar buttonsButton Menu equivalent Other optionsShow Driversdisplay driver(s) of the selectedsignal, net, or register in theDataflow windowRestartreloads the design elements andresets the simulation time to zero,with the option of keeping thecurrent formatting, breakpoints,and WLF fileRunrun the current simulation for thedefault time lengthContinue Runcontinue the current simulationrunRun -Allrun the current simulation forever,or until it hits a breakpoint orspecified break event[Dataflow window]Navigate > Expand net todriversMain menu:Simulate > Run > RestartMain menu:Simulate > Run > RunMain menu:Simulate > Run >ContinueMain menu:Simulate > Run > Run-All[Dataflow window] Expand net toall driversright mouse in wave pane > ShowDriversrestart see: restart (CR-239)use the run command at the VSIMpromptsee: run (CR-245)use the run -continue command atthe VSIM promptsee: run (CR-245)use the run -all command at theVSIM promptsee: run (CR-245)Breakstop the current simulation runnonenoneFind First Differencefind the first difference in awaveform comparisonnonenoneFind Previous AnnotatedDifferencefind the previous annotateddifference in a waveformcomparisonFind Previous Differencefind the previous difference in awaveform comparisonnonenonenonenone<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-221Wave window toolbar buttonsButton Menu equivalent Other optionsFind Next Differencefind the next difference in awaveform comparisonnonenoneFind Next Annotated Differencefind the next annotated differencein a waveform comparisonnonenoneFind Last Differencefind the last difference in awaveform comparisonnonenoneWaveform editor toolbarWaveform editor toolbar buttons<strong>ModelSim</strong>’s waveform editor has its own toolbar. The toolbar becomes active once you addan editable wave to the Wave window. See Chapter 16 - Generating stimulus withWaveform Editor in the <strong>ModelSim</strong> User’s Manual for more details.Button Menu equivalent Other optionsCut Wavecut the selected section of thewaveform to the clipboardEdit > Edit Wave > Cutwave edit cutsee wave edit command (CR-399)Copy Wavecopy the selected section of thewaveform to the clipboardEdit > Edit Wave > Copywave edit copysee wave edit command (CR-399)Paste Wavepaste the wave from the clipboardEdit > Edit Wave > Pastewave edit pastesee wave edit command (CR-399)Insert PulseInsert a transition at the selectedtimeEdit > Edit Wave > InsertPulsewave edit insert_pulsesee wave edit command (CR-399)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2221 - Simulator windowsWaveform editor toolbar buttonsButton Menu equivalent Other optionsDelete EdgeDelete the selected transitionEdit > Edit Wave > DeleteEdgewave edit deletesee wave edit command (CR-399)InvertInvert the selected section of thewaveformEdit > Edit Wave > Invertwave edit invertsee wave edit command (CR-399)MirrorMirror the selected section of thewaveformEdit > Edit Wave > Mirrorwave edit mirrorsee wave edit command (CR-399)Change ValueChange the value of the selectedsection of the waveformEdit > Edit Wave > Valuewave edit change_valuesee wave edit command (CR-399)Stretch EdgeMove the selected edge byincreasing/decreasing waveformdurationMove EdgeMove the selected edge withoutincreasing/decreasing waveformdurationExtend All WavesIncrease the duration of alleditable wavesEdit > Edit Wave > StretchEdgeEdit > Edit Wave > MoveEdgeEdit > Edit Wave > ExtendAll Waveswave edit stretchsee wave edit command (CR-399)wave edit movesee wave edit command (CR-399)wave edit extendsee wave edit command (CR-399)Wave UndoUndo a previous waveform editEdit > Edit Wave > Undowave edit undosee wave edit command (CR-399)Wave RedoRedo a previously undonewaveform editEdit > Edit Wave > Redowave edit redosee wave edit command (CR-399)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-223Wave window dialogsOpen Dataset dialogThis section describes the dialogs that are accessed via the Main window menu bar whenthe Wave window is docked, and via the Wave window menu bar when it is undocked. Thedialogs are listed in the order in which they appear on the menus, top-to-bottom and leftto-right(i.e., starting with the File menu and progressing across the menu bar). Not alldialogs are documented (e.g., Open Format dialog).Purpose Menu command Additional informationOpen a new dataset File > Open Opening datasets (UM-241)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2241 - Simulator windowsWrite Postscript dialogPurpose Menu command Additional informationPrint/save a postscriptfileFile > Print Postscript"Printing and saving waveforms in theWave window" (UM-277)The Write Postscript dialog includes these options:Printer• Print commandEnter a UNIX print command to print the waveform in a UNIX environment.• File nameEnter a filename to be created or browse to a previously created file and use that filename.• Export EPS FileSave the file in encapsulated postscript (EPS) format.Signal Selection• All signalsPrint all signals.• Current ViewPrint signals in the current view.• SelectedPrint all selected signals.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-225Time Range• Full RangePrint all specified signals in the full simulation range.• Current viewPrint the specified signals for the viewable time range.• CustomPrint the specified signals for a user-designated From and To time.Setup buttonSee "Page Setup dialog" (GR-228)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2261 - Simulator windowsPrint dialogPurpose Menu command Additional informationPrint the Wavewindow contentsFile > Print"Printing and saving waveforms in theWave window" (UM-277)The Print dialog includes these options:Printer• NameChoose the printer from the drop-down menu. Set printer properties with the Propertiesbutton.• StatusIndicates the availability of the selected printer.• TypePrinter driver name for the selected printer. The driver determines what type of file isoutput if "Print to file" is selected.• WhereThe printer port for the selected printer.• CommentThe printer comment from the printer properties dialog.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-227• Print to fileMake this selection to print the waveform to a file instead of a printer. The printer driverdetermines what type of file is created. Postscript printers create a Postscript (.ps) file,non-Postscript printers create a .prn or printer control language file. To create anencapsulated Postscript file (.eps) use the File > Print Postscript menu selection.Signal Selection• All signalsPrint all signals.• Current ViewPrint signals in current view.• SelectedPrint all selected signals.Time Range• Full RangePrint all specified signals in the full simulation range.• Current viewPrint the specified signals for the viewable time range.• CustomPrint the specified signals for a user-designated From and To time.Setup buttonSee "Page Setup dialog" (GR-228)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2281 - Simulator windowsPage Setup dialogPurpose Menu command Additional informationSet up page layout forprintingFile > Page Setup"Printing and saving waveforms in theWave window" (UM-277)The Page Setup dialog includes these options:• Paper SizeSelect your output page size from a number of options; also choose the paper width andheight.• UnitsSpecify whether measurements are in inches or centimeters.• MarginsSpecify the page margins; changing the Margin will change the Scale and Pagespecifications.• Label widthSpecify Auto Adjust to accommodate any length label, or set a fixed label width.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-229• CursorsTurn printing of cursors on or off.• GridTurn printing of grid lines on or off.• ColorSelect full color printing, grayscale, or black and white.• ScalingSpecify a Fixed output time width in nanoseconds per page – the number of pages outputis automatically computed; or, select Fit to define the number of pages to be output basedon the paper size and time settings; if set, the time-width per page is automaticallycomputed.• OrientationSelect the output page orientation, Portrait or Landscape.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2301 - Simulator windowsCursor Properties dialogPurpose Menu command Additional informationName, move, or locka cursorEdit > Edit Cursor"Measuring time with cursors in theWave window" (UM-259)The Cursor Properties dialog includes these options:• Cursor NameThe name of the selected cursor.• Cursor TimeThe position of the selected cursor.• Lock cursor to specified timeFreezes the selected cursor so it will not move. A cursor will turn red in the Wavewindow when it is locked. See "Working with cursors" (UM-259) for more information.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-231Find in .wave dialogPurpose Menu command Additional informationLocate objects Edit > Find "Searching in the Wave and Listwindows" (UM-265)The Find in .wave dialog includes these options:• FindSpecify the text for which you want to search.• FieldSpecify whether to search the name or value column.• DirectionSpecify the direction to begin searching.• ExactCheck Exact if you only want to find objects that match your search exactly. For examplesearching for "addr" without Exact will find addr and addr_r.• Auto WrapCheck Auto Wrap to continue the search at the top or bottom of the window.The find operation works only within the active pane.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2321 - Simulator windowsWave Signal Search dialogPurpose Menu command Additional informationLocate values andtransitionsEdit > Search"Searching in the Wave and Listwindows" (UM-265)The Wave Signal Search dialog includes these options:• Signal Name(s)A list of the objects currently selected in the Wave window. These objects are the subjectof the search.• Any TransitionSearches for any transition in the selected signal(s).• Rising EdgeSearches for rising edges in the selected signal(s).• Falling EdgeSearches for falling edges in the selected signal(s).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-233• Search for Signal ValueSearches for the value specified in the Value field; the value should be formatted usingVHDL or Verilog numbering conventions (see "Numbering conventions" (CR-19) formore information).Note: If your signal values are displayed in binary radix, see "Searching for binary signalvalues in the <strong>GUI</strong>" (CR-28) for details on how signal values are mapped between a binaryradix and std_logic.• Search for ExpressionSearches for the expression specified in the Expression field evaluating to a booleantrue. See "Using the Expression Builder for expression searches" (UM-267) forinformation on the Builder button.The expression can involve more than one signal but is limited to signals logged in theWave window. Expressions can include constants, variables, and DO files. If noexpression is specified, the search will give an error. See "Expression syntax" (CR-22) formore information.• Match CountIndicates the number of transitions or matches to search.You can search for the nthtransition or the nth match on value.The Search Results are indicated at the bottom of the dialog.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2341 - Simulator windowsForce Selected Signal dialogDefine Clock dialogThis is the same dialog that is accessible via the Objects window. See "Force SelectedSignal dialog" (GR-184) for more information.This is the same dialog that is accessible via the Objects window. See "Define Clockdialog" (GR-186) for more information.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-235Wave Signal Properties dialogPurpose Menu command Additional informationFormat object displaypropertiesView > Properties"Formatting the Wave window" (UM-269)View tabThe View tab includes these options:• Display NameSpecifies a new name (in the pathname pane) for the selected signal.• RadixSpecifies the Radix of the selected signal(s). See "Changing radix (base)" (UM-269).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2361 - Simulator windows• Wave ColorSpecifies the waveform color. Select a new color fromthe color palette, or enter a color name. The Defaultbutton in the Colors palette allows you to return theselected object’s color back to its default value.• Name ColorSpecifies the signal name’s color. Select a new colorfrom the color palette, or enter a color name. TheDefault button in the Colors palette allows you toreturn the selected object’s color back to its defaultvalue.Format tabThe Format tab includes these options:Format• LiteralDisplays the waveform as a box containing the object value (if the value fits the spaceavailable). This is the only format that can be used to list a record.• LogicDisplays values as U, X, 0, 1, Z, W, L, H, or -.• EventMarks each transition during the simulation run.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-237• AnalogDisplays the values in one of three analog styles, as detailed below.• HeightAllows you to specify the height (in pixels) of the waveform.Analog• Analog StepDisplays the waveform in step style.• Analog InterpolatedDisplays the waveform in interpolated style.• Analog BackstepDisplays the waveform in backstep style. Often used for power calculations.• OffsetAllows you to adjust the scale of the object as it is seen on the display. Offset is thenumber of pixels offset from zero.• ScaleReduces (if less than 1) or increases (if greater than 1) the number of pixels displayed.The signals in the following illustration demonstrate the various signal formats.Only the following types are supported in Analog format:• VHDL types:All vectors - std logic vectors, bit vectors, and vectors derived from these typesScalar integersScalar realsScalar times• Verilog types:All vectorsScalar realsScalar integers• SystemC types:Vector types (sc_int, sc_bigint, etc.)Scalar integers (char, short, int, long, etc.)float, double<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2381 - Simulator windowsCompare tabThe Compare tab includes the same options as those in the "Add Signal Options dialog"(GR-242).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-239Wave Divider Properties dialogPurpose Menu command Additional informationDivide the WavewindowInsert > Divider"Dividing the Wave window" (UM-271)When the Wave window is docked, this selection is available through the right-click menu.The Wave Divider Properties dialog includes these options:• Divider NameThe name of the divider. Default is "New Divider". A dash "-" cannot be used as the firstcharacter of the Divider Name. A space may be used as the first character, and it may befollowed by a dash. For example, "-Test Signals-" is not permitted as a Divider Name but" -Test Signals- " is permitted.• Divider HeightThe height of the divider in pixels.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2401 - Simulator windowsBookmark Properties dialogPurpose Menu command Additional informationSave zoom and scrollposition(window docked)Add > Bookmark(window undocked)Insert > Bookmark"Saving zoom range and scroll positionwith bookmarks" (UM-264)The Bookmark Properties dialog includes the following options.• Bookmark NameA text label to assign to the bookmark. The name will identify the bookmark on theView > Bookmarks menu.• Zoom RangeA starting value and ending value that define the zoom range.• Top IndexThe object that will display at the top of the Wave window. For instance, if you specify15, the Wave window will be scrolled down to show the 15th object in the window.• Save zoom range with bookmarkWhen checked the zoom range will be saved in the bookmark.• Save scroll location with bookmarkWhen checked the scroll location will be saved in the bookmark.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-241Start Comparison dialogPurpose Menu command Additional informationStart a waveformcomparisonTools > WaveformCompare > StartComparison"Setting up a comparison with the <strong>GUI</strong>"(UM-286)The Start Comparison dialog includes the following options.• <strong>Reference</strong> DatasetThe dataset to which the test dataset will be compared. It can be a saved dataset, thecurrent simulation dataset, or any part of the current simulation dataset.Test Dataset• Use Current SimulationUses the current active simulation as the test dataset.• Update comparison after each runTracks the current simulation, updating differences after every run command. If you wishto see differences soon after they occur, use many relatively short run commands.• Specify DatasetEnter the name of an existing dataset to compare against the reference dataset.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2421 - Simulator windowsAdd Signal Options dialogPurpose Menu command Additional informationSpecify comparisonmethodTools > WaveformCompare > Add >Compare by Signal"Specifying the comparison method"(UM-291)The Add Signal Options dialog includes these options:• Clocked ComparisonCompare the datasets only at or just after an edge on some signal. In this mode, youdefine one or more clocks. The test signal is compared to a reference signal and both aresampled relative to the defined clock.• Continuous ComparisonCompare the test signals to the reference signals at each transition of the reference.• Leading ToleranceHow much earlier the test signal edge may occur before the reference signal edge. Maybe specified differently for each signal compared.• Trailing ToleranceHow much later the test signal edge may occur after the reference signal edge. May bespecified differently for each signal compared.• Specify When ExpressionA conditional expression that must evaluate to "true" or 1 at the signal edge for thecomparison to become effective. See "<strong>GUI</strong>_expression_format" (CR-21) for legalexpression syntax.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-243Add Comparison by Region dialogPurpose Menu command Additional informationAdd a region to awaveformcomparisonTools > WaveformCompare > Add >Compare by Region"Adding signals, regions, and clocks"(UM-289)The Add Comparison by Region dialog includes these options:Region Data tab• <strong>Reference</strong> RegionThe reference region that will be used in the comparison.• Test RegionUse this if you have a test region that has a different name than the reference region.• Compare Signals of TypeThe types of signals to compare.• Recursive SearchCheck if you wish to search for signals in the hierarchy below the specified region.Comparison Method tabThe Comparison Method tab includes the same options as those in the "Add Signal Optionsdialog" (GR-242).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2441 - Simulator windowsAdd Clocks dialogPurpose Menu command Additional informationAdd a clock for aclocked comparisonTools > WaveformCompare > Add >Clocks"Adding signals, regions, and clocks"(UM-289)The Add Clock dialog includes these options:• Clock NameA name for the clock.• Delay Signal OffsetA time value for delaying the sample time beyond the specified signal edge.• Based on SignalThe signal whose edge(s) is to be used as the strobe trigger.• Specify When ExpressionA conditional expression that must evaluate to "true" or "1" for the clock edge to be usedas a strobe. Optional. The expression is evaluated at the time of the clock edge rather thanafter the delay has been applied. See "<strong>GUI</strong>_expression_format" (CR-21) for legalexpression syntax.• Compare Strobe EdgeThe edge(s) of the specified signal that should be used for the strobe trigger.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-245Comparison Options dialogPurpose Menu command Additional informationConfigure waveformcomparison optionTools > WaveformCompare > Options"Setting compare options" (UM-293)General Options tabThe General Options tab includes these options:• Comparison Limit CountLimit the comparison to a specific number of total differences and/or a specific numberof differences per signal.• VHDL MatchingDesignate which VHDL signal values will match X, Z, 1, and 0 values.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2461 - Simulator windows• Verilog MatchingDesignate which Verilog signal values will match X, Z, 1, and 0 values.• Ignore StrengthIgnore the strength of the Verilog signal and consider only logic values.• Automatically add comparisons to the Wave window?If checked new signal comparison objects are added automatically to the Wave window.• Save as DefaultSaves the settings to the .modelsim file (Registry on Windows) so they become thedefaults for future comparisons.• Reset to DefaultReset the dialog to the default options with which <strong>ModelSim</strong> ships.Comparison Method tabThe Comparison Method tab includes the same options as those in the "Add Signal Optionsdialog" (GR-242).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-247Modify Breakpoints dialogThis is the same dialog that is accessible via the Main window. See "Modify Breakpointsdialog" (GR-105) for more information.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2481 - Simulator windowsBookmark Selection dialogPurpose Menu command Additional informationAdd/edit/deletebookmarksTools > Bookmarks"Saving zoom range and scroll positionwith bookmarks" (UM-264)The Bookmark Selection dialog includes the following options.• AddAdd a new bookmark.• ModifyEdit the selected bookmark.• DeleteDelete the selected bookmark.• GotoZoom and scroll the Wave window using the selected bookmark.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-249Dataset Snapshot dialogPurpose Menu command Additional informationSave simulationresults at regularintervalsTools > DatasetSnapshot"Saving at intervals with DatasetSnapshot" (UM-246)The Dataset Snapshot dialog includes these options:Dataset Snapshot State• Enabled/DisabledEnable or disable Dataset Snapshot. All other dialog options are unavailable if Disabledis selected.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2501 - Simulator windowsSnapshot Type• Simulation TimeSpecifies that data is copied to the specified snapshot file every time units. Defaultis 1000000 time units.• WLF File SizeSpecifies that data is copied to the specified snapshot file whenever the currentsimulation WLF file reaches megabytes. Default is 100 MB.Snapshot Contents• Snapshot contains only data since previous snapshotSpecifies that each snapshot contains only data since the last snapshot. This option causes<strong>ModelSim</strong> to clear the current simulation WLF file each time a snapshot is taken.• Snapshot contains all previous dataSpecifies that each snapshot contains all data from the time signals were first logged. Theentire contents of the current simulation WLF file are saved each time a snapshot is taken.Snapshot <strong>Directory</strong> and File• <strong>Directory</strong>The directory in which <strong>ModelSim</strong> saves the snapshot files.• File PrefixThe name of the snapshot files. <strong>ModelSim</strong> adds .wlf to the snapshot files.Overwrite / Increment• Always replace snapshot fileSpecifies that a single file is created for all snapshots. Each new snapshot overwrites theprevious.• Use incrementing suffix on snapshot filesSpecifies that a new file is created for each snapshot. Each new snapshot creates aseparate file (e.g., vsim_snapshot_1.wlf, vsim_snapshot_2.wlf, etc.).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-251Combine Selected Signals dialogPurpose Menu command Additional informationCreate busses fromindividual signalsTools > CombineSignals"Combining objects/creating busses"(UM-279)The Combine Selected Signals dialog includes these options:• Result NameSpecifies the name of the newly created bus.• Order to combine selected itemsSpecifies the order in which to combine the selected signals. "Top down" specifies thatthe selected signals are ordered as they appear top-to-bottom in the Wave window."Bottom up" reverses the order.• Order of Result IndexesSpecifies in which order the selected signals are indexed in the bus. If set to Ascending,the first signal selected in the Wave window will be assigned an index of 0. If set toDescending, the first signal selected will be assigned the highest index number.• Remove selected signals after combiningSpecifies whether you want to remove the selected signals from the Wave window oncethe bus is created.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2521 - Simulator windows• Reverse bit order of bus items in the resultIf checked, the bits of each selected signal are reversed in the newly created bus. Theorder of the signals in the bus is not affected.• Flatten arraysIf checked, <strong>ModelSim</strong> combines the signals into one big array. If unchecked, <strong>ModelSim</strong>combines signals together without merging them into one array. The signals becomeelements of a record and retain their original names. When expanded, the new signallooks just like a group of signals.• Flatten recordsIf checked, causes elements of a record type signal to be pulled up to the top level.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-253Window Preferences dialogPurpose Menu command Additional informationConfigure windowpreferences(window docked)Tools > Options >Wave Preferences(window undocked)Tools > WindowPreferences"Formatting the Wave window" (UM-269)Display tabThe Display tab includes the following options:• Display Signal PathSets the display to show anything from the full pathname of each signal (e.g., sim:/top/<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2541 - Simulator windowsclk) to only its leaf element (e.g., sim:clk). A non-zero number indicates the number ofpath elements to be displayed. The default is Full Path.• Justify ValueSpecifies whether the signal values will be justified to the left margin or the right marginin the values window pane.• Snap DistanceSpecifies the distance the cursor needs to be placed from an object edge to jump to thatedge (a 0 specification turns off the snap).• Row MarginSpecifies the distance in pixels between top-level signals.• Child Row MarginSpecifies the distance in pixels between child signals.Enable/Disable• Waveform Popup EnableToggles on/off the popup that displays when you rest your mouse pointer on a signal orcomparison object.• Waveform Selection Highlighting EnabledToggles on/off waveform highlighting. When enabled the waveform is highlighted if youselect the waveform or its value.• Double-Click to Show Drivers (Dataflow Window)Toggles on/off double-clicking to show the drivers of the selected waveform. See"Displaying drivers of the selected waveform" (UM-284) for more details.• On Close Warn for Save FormatToggles on/off a message that prompts you to save the Wave window format when youclose the window. See "Saving the window format" (UM-276) for more details.Dataset Prefix Display• Always Show Dataset PrefixesAll dataset prefixes will be displayed along with the dataset prefix of the currentsimulation ("sim").• Show Dataset Prefixes if 2 or moreDisplays all dataset prefixes if 2 or more datasets are displayed. "sim" is the default prefixfor the current simulation.• Never Show Dataset PrefixesNo dataset prefixes will be displayed. This selection is useful if you are running only asingle simulation.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Wave window GR-255Grid & Timeline tabThe Grid & Timeline tab includes the following options:• Grid OffsetSpecifies the time (in user time units) of the first grid line. Default is 0.• Grid PeriodSpecifies the time (in user time units) between subsequent grid lines. Default is 1.• Minimum Grid SpacingSpecifies the closest (in pixels) two grid lines can be drawn before intermediate lines willbe removed. Default is 40.• Timeline ConfigurationSpecifies whether to display simulation time or grid period count on the horizontal axis.Default is to display simulation time.You can also access this tab by right-clicking in the cursor tracks at the bottom of the Wavewindow and selecting Grid & Timeline Properties.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2561 - Simulator windowsCreating and managing breakpointsSignal breakpointsFile-line breakpoints<strong>ModelSim</strong> supports both signal (i.e., when conditions) and file-line breakpoints.Breakpoints can be set from multiple locations in the <strong>GUI</strong> or from the command line.Breakpoints within SystemC portions of the design can only be set using File-linebreakpoints (GR-256).Signal breakpoints (when conditions) instruct <strong>ModelSim</strong> to perform actions when thespecified conditions are met. For example, you can break on a signal value or at a specificsimulator time (see the when command (CR-406) for additional details). When a breakpointis hit, a message in the Main window transcript identifies the signal that caused thebreakpoint.Setting signal breakpoints from the command lineYou use the when command (CR-406) to set a signal breakpoint from the VSIM> prompt.See the Command <strong>Reference</strong> for further details.Setting signal breakpoints from the <strong>GUI</strong>Signal breakpoints are most easily set in the Objects pane (GR-182) and the Wave window(GR-209). Right-click a signal and select Insert Breakpoint from the context menu. Abreakpoint is set on that signal and will be listed in the Breakpoints dialog.Alternatively you can set signal breakpoints from the "Modify Breakpoints dialog" (GR-105).File-line breakpoints are set on executable lines in your source files. When the line is hit,the simulator stops and the Source window opens to show the line with the breakpoint. Youcan change this behavior by editing the PrefSource(OpenOnBreak) variable. See"Simulator <strong>GUI</strong> preferences" (GR-269) for details on setting preference variables.Since C Debug is invoked when you set a breakpoint within a SystemC module, your CDebug settings must be in place prior to setting a breakpoint. See Setting up C Debug (UM-348) for more information. Once invoked, C Debug can be exited using the C Debug menu.Setting file-line breakpoints from the command lineYou use the bp command (CR-71) to set a file-line breakpoint from the VSIM> prompt. Seethe Command <strong>Reference</strong> for further details.Setting file-line breakpoints from the <strong>GUI</strong>File-line breakpoints are most easily set using your mouse in the Source window (GR-197).Click on a blue line number at the left side of the Source window, and a red diamonddenoting a breakpoint will appear. The breakpoints are toggles – click once to create thecolored diamond; click again to disable or enable the breakpoint. To delete the breakpointcompletely, click the red diamond with your right mouse button, and select RemoveBreakpoint.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Creating and managing breakpoints GR-257Alternatively you can set file-line breakpoints from the "Modify Breakpoints dialog" (GR-105).<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2581 - Simulator windows<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2592 - Setting <strong>GUI</strong> preferencesChapter contentsIntroduction . . . . . . . . . . . . . . . .GR-260Customizing the simulator <strong>GUI</strong> layout . . . . . . . . .GR-261Layouts and modes of operation . . . . . . . . . .GR-261Custom layouts . . . . . . . . . . . . . .GR-261Automatic saving of layouts . . . . . . . . . . .GR-262Resetting layouts to their defaults. . . . . . . . . .GR-262Rearranging the simulator <strong>GUI</strong> . . . . . . . . . . .GR-263Moving, docking, and undocking panes . . . . . . . .GR-263Zooming panes . . . . . . . . . . . . . .GR-265Columnar information display . . . . . . . . . .GR-267Quick access toolbars . . . . . . . . . . . . .GR-268Simulator <strong>GUI</strong> preferences. . . . . . . . . . . . .GR-269Setting preference variables from the <strong>GUI</strong> . . . . . . .GR-269Setting preference variables from the command line . . . . .GR-270Saving <strong>GUI</strong> preferences . . . . . . . . . . . .GR-270Saving <strong>GUI</strong> preferences in an alternate location . . . . . .GR-270The modelsim.tcl file . . . . . . . . . . . . .GR-271This chapter describes how to set preferences for the simulator <strong>GUI</strong>.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2602 - Setting <strong>GUI</strong> preferencesIntroductionThe <strong>ModelSim</strong> <strong>GUI</strong> is programmed using Tcl/Tk. It is highly customizable. You cancontrol everything from window size, position, and color to the text of window prompts,default output filenames, and so forth. You can even add buttons and menus that runuser-programmable Tcl code.Most user <strong>GUI</strong> preferences are stored as Tcl variables in the .modelsim file on Unix/Linuxplatforms or the Registry on Windows platforms. The variable values save automaticallywhen you exit <strong>ModelSim</strong>. Some of the variables are modified by actions you take withmenus or windows (e.g., resizing a window changes its geometry variable). Or, you can editthe variables directly either from the <strong>ModelSim</strong> > prompt or the Edit Preferences dialog.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Customizing the simulator <strong>GUI</strong> layout GR-261Customizing the simulator <strong>GUI</strong> layoutYou can customize the layout of panes, windows, toolbars, etc. This section discusseslayouts and how they are used in <strong>ModelSim</strong>.Layouts and modes of operation<strong>ModelSim</strong> ships with three default layouts that correspond to three modes of operation.LayoutNoDesignSimulateCoverageModea design is not yet loadeda design is loadeda design is loaded with code coverage enabledCustom layoutsAs you load and unload designs, <strong>ModelSim</strong> switches between the layouts.You can create custom layouts or modify the three default layouts.Creating custom layoutsTo create a custom layout or modify one of the default layouts, follow these steps:1 Rearrange the <strong>GUI</strong> as you see fit (see "Rearranging the simulator <strong>GUI</strong>" (GR-263) fordetails).2 Select Window > Layouts > Save.3 Specify a new name or use an existing name to overwrite that layout.4 Click OK.The layout is saved to the .modelsim file (or Registry on Windows).Assigning layouts to modesYou can assign which layout appears in each mode (no design loaded, design loaded,design loaded with coverage). Follow these steps:1 Create your custom layouts as described above.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2622 - Setting <strong>GUI</strong> preferences2 Select Window > Layouts > Configure.3 Select a layout for each mode.Automatic saving of layouts4 Click OK.The layout assignment is saved to the .modelsim file (Registry on Windows).By default any changes you make to a layout are saved automatically when you exit the toolor when you change modes. For example, if you load a design with code coverage,rearrange some windows, and then quit the simulation, the changes are saved to whateverlayout was assigned to the "load with coverage" mode.To disable automatic saving of layouts, select Window > Layouts > Configure anduncheck Save Window Layout Automatically.Resetting layouts to their defaultsYou can reset the layouts for the three modes to their original defaults. Select Window >Layouts > Reset. This command does not delete custom layouts.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Rearranging the simulator <strong>GUI</strong> GR-263Rearranging the simulator <strong>GUI</strong>This section discusses how to rearrange various elements of the <strong>GUI</strong>.Moving, docking, and undocking panesWindow panes (e.g., Transcript) can be positioned at various places within the parentwindow or they can be dragged out ("undocked") of the parent window altogether. Whenyou see a double bar at the top edge of a pane, it means you can modify the pane position.Click-and-drag inthe middle of adouble bar tomove, undock, ordock a paneClick this icon toundock a pane;click it again toredockClick-and drag the pane handle in the middle of a double bar (your mouse pointer willchange to a four-headed arrow when it is in the correct location) to reposition the paneinside the parent window. As you move the mouse to various parts of the main window, agray outline will show you valid locations to drop the pane.Or, drag the pane outside of the parent window, and when you let go of the mouse button,the pane becomes a free-floating window.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2642 - Setting <strong>GUI</strong> preferencesTo redock a floating pane, click on the pane handle at the top of the window and drag itback into the parent window, or click the undock/dock icon as shown in the graphic below:Click this icon toredock a pane in itsparent windowYou can also undock a pane by clicking the undock/dock icon, as noted in the pictureabove.Note: If you want to return to the original default layouts, select Window > Layouts >Reset.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Rearranging the simulator <strong>GUI</strong> GR-265Zooming panesYou can expand panes to fill the entire Main window by clicking the zoom icon. Forexample, in the graphic below, clicking the zoom icon on the Workspace pane makes it fillthe entire Main window, as shown on the following page.Click the zoom iconto expand a paneto fill the entirewindow<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2662 - Setting <strong>GUI</strong> preferencesClick the unzoomicon to restore thepane to its originalsize and position<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Rearranging the simulator <strong>GUI</strong> GR-267Columnar information displayMany panes (e.g., Objects, Workspace, etc.) display information in a columnar format. Youcan perform a number of operations on columnar formats:• Click and drag on a column heading to rearrange columns• Click and drag on a border between column names to increase/decrease column size• Sort columns by clicking once on the column heading to sort in ascending order; clickingtwice to sort in descending order; and clicking three times to sort in default order.• Hide or show columns by either right-clicking a column heading and selecting an objectfrom the context menu or by clicking the column-list drop down arrow and selecting anobject.Click the downarrow to hide/showcolumnsClick on a columnto sort the list<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2682 - Setting <strong>GUI</strong> preferencesQuick access toolbarsToolbar buttons provide access to commonly used commands and functions. Toolbars canbe docked and undocked (moved to or from the main toolbar area) by clicking and draggingon the vertical bar at the left-edge of a toolbar.You can also hide/show the various toolbars. To hide or show a toolbar, right-click on ablank spot of the main toolbar area and select a toolbar from the list.Drag on the verticalbar to dock/undockor rearrange atoolbarTo reset toolbars to their original state, right-click on a blank spot of the main toolbar areaand select Reset.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Simulator <strong>GUI</strong> preferences GR-269Simulator <strong>GUI</strong> preferencesSimulator <strong>GUI</strong> preferences are stored by default either in the .modelsim file in your HOMEdirectory on UNIX/Linux platforms or the Registry on Windows platforms.Setting preference variables from the <strong>GUI</strong>To edit a variable value from the <strong>GUI</strong>, select Tools > Edit Preferences.The dialog organizes preferences by window and by name. The By Window tab primarilyallows you to change colors and fonts for various <strong>GUI</strong> objects. For example, if you want tochange the color of assertion messages in the Main window, you would select "Mainwindow" in the first colum, select "assertColor" in the second column, and click a color onthe palette. Clicking OK or Apply changes the variable, and the change is saved when youexit <strong>ModelSim</strong>.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2702 - Setting <strong>GUI</strong> preferencesThe By Name tab lists every Tcl variable in a tree structure. Expand the tree, highlight avariable, and click Change Value to edit the current value..Setting preference variables from the command lineSaving <strong>GUI</strong> preferencesUse the Tcl set command (UM-477) to customize preference variables from the Mainwindow command line. For example:set <strong>GUI</strong> preferences are saved automatically when you exit the tool.Saving <strong>GUI</strong> preferences in an alternate locationIf you prefer to store <strong>GUI</strong> preferences elsewhere, set the "MODELSIM_PREFERENCES"(UM-499) environment variable to designate where these preferences are stored. Setting thisvariable causes <strong>ModelSim</strong> to use a specified path and file instead of the default location.Here are some additional points to keep in mind about this variable setting:• The file does not need to exist before setting the variable as <strong>ModelSim</strong> will initialize it.• If the file is read-only, <strong>ModelSim</strong> will not update or otherwise modify the file.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Simulator <strong>GUI</strong> preferences GR-271The modelsim.tcl file• This variable may contain a relative pathname, in which case the file is relative to theworking directory at the time the tool is started.Previous versions saved user <strong>GUI</strong> preferences into a modelsim.tcl file. Current versionswill still read in a modelsim.tcl file if it exists. <strong>ModelSim</strong> searches for the file as follows:• use MODELSIM_TCL (UM-499) environment variable if it exists (if MODELSIM_TCLis a list of files, each file is loaded in the order that it appears in the list); else• use ./modelsim.tcl; else• use $(HOME)/modelsim.tcl if it existsNote that in versions 6.1 and later, <strong>ModelSim</strong> will save to the .modelsim file any variablesit reads in from a modelsim.tcl file (except for user_hook variables). The values from themodelsim.tcl file will override like variables in the .modelsim file.User_hook variablesUser_hook variables allow you to add buttons and menus to the <strong>GUI</strong> (see "CustomizeToolbar dialog" (GR-118) for an example). User_hook variables can only be stored in amodelsim.tcl file. They are not stored in .modelsim. If you need to use user_hook variables,you must create a modelsim.tcl file to store them.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-2722 - Setting <strong>GUI</strong> preferences<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-273A - <strong>ModelSim</strong> <strong>GUI</strong> changesAppendix contentsMain window changes . . . . . . . . . . . . . UM-274Memory window changes . . . . . . . . . . . . UM-288List window changes . . . . . . . . . . . . . UM-283Signals (Objects) window . . . . . . . . . . . . UM-292Source window changes . . . . . . . . . . . . UM-294Variables (Locals) window . . . . . . . . . . . UM-296Beginning with version 6.0 and continuing with version 6.1, <strong>ModelSim</strong> includes many new<strong>GUI</strong> features and enhancements that are described in this document. Links within thesections will connect you to more detail.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-274A - <strong>ModelSim</strong> <strong>GUI</strong> changesMain window changesThe Main window has become the primary interface to the tool, providing convenientaccess to design libraries and objects, source files, debugging commands, simulation statusmessages, etc. Here is what the Main window looks like the very first time you start thetool:Workspace Transcript Multiple document interface (MDI) panePanes and WindowsPrevious versions of <strong>ModelSim</strong> used a window layout system for organizing the display ofits debug windows. Many of the windows have become "panes," embedded in the Mainwindow view. However, you can choose to unembed, or undock, these panes so that theybecome stand-alone windows. The icon used to undock a pane appears in the upper righthand corner of the pane, and looks like this:Click this icon toundock a pane;click it again toredock<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window changes UM-275See "Customizing the simulator <strong>GUI</strong> layout" (GR-261) for more information on this andother methods for changing the view of <strong>GUI</strong> panes and windows.Multiple document interface (MDI) frameThe MDI frame, introduced in version 6.0, is an area in the Main window where sourceeditor, memory content, list windows, and wave windows can be displayed. The frameallows multiple windows to be displayed simultaneously in tabs, as shown below.Object nameWindow tabs - Wave, List, Source editor, and memorycontents can be displayed here.Context sensitivityThe number of menu items which are context-sensitive has increased substantially. If anitem is grayed-out, it is not available in the current context. In general, you can activate agrayed-out menu item by activating the associated pane/window.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-276A - <strong>ModelSim</strong> <strong>GUI</strong> changesFile menuThe File menu has several additions and changes. This section presents and illustrates thechanges in the File menu from 5.8 to 6.0.For complete details on all new 6.0 menu items, refer to "Main window" (GR-16).• File > New > Window becomes View > Debug WindowsThis submenu changes significantly. All windows/panes not specifically discussed orhighlighted remain the same.5.8 File > New > Window6.0 View > Debug WindowsThis option is removed. Structure isviewed in Workspace via the Sim tab.This menu selection is removed. UseFile > New > Source to open a newSource window.• Process window becomes Active Process pane• Signals window becomes Objects paneIn 6.0, the Signals window has been replaced by the Objects window, reflecting the factthat it displays all objects that persist through the life of the simulation, not simplysignals.• Variables window becomes Locals paneThe Variables window has been renamed Locals, which displays all non-persistentdesign elements. Non-persistent objects are those which come and go during the courseof simulation.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window changes UM-277• File > Open menuThe File > Open menu has become a simple dialog box in 6.0, allowing you to openeither a file, project, dataset, etc. You may open any file by typing in the name of the file.Datasets can also be opened also using View > Datasets, selecting one of the datasetslisted in the Dataset Browser, and selecting Open.5.8 File > Open > 6.0 View >• File > Transcript menuThis menu option has become a context-sensitive command. To access any of the <strong>GUI</strong>transcript commands, the Transcript pane must be active.To save the transcript, activate the Transcript pane, click on File > Save or Save As. Thisbrings up a Save Transcript dialog box where you can enter a name for the file.To open a transcript file, select File > Open.To clear the transcript pane, select Edit > Clear. To print a transcript, select File > Print.To print the transcript, select File > Print.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-278A - <strong>ModelSim</strong> <strong>GUI</strong> changes5.8 File > Transcript 6.0 File >Edit ><strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window changes UM-279View menuThe View menu has been rearranged a bit, but all the items remain.• View All Windows... becomes View > Debug Windows > All Windows...A sub menu is added to the View menu for all debug windows. For the name changes ofthe windows, see "Main window changes" (UM-274).5.8 View > 6.0 View >See "Main window menu bar" (GR-23) for complete menu option details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-280A - <strong>ModelSim</strong> <strong>GUI</strong> changesSimulate menuThe Simulate menu has incorporated the following changes:• Design OptimizationYou can now gain access to <strong>ModelSim</strong>’s design optimization features through theSimulate > Design Optimization. For more information, see "Design Optimizationdialog" (GR-78).• Simulate > Simulate becomes Simulate > Start Simulation• Simulate > Simulate Options becomes Simulate > Runtime OptionsThese changes are in name only. The associated dialog boxes remain functionally the same.5.8 Simulate > 6.0 Simulate >See "Main window" (GR-16) for complete menu option details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Main window changes UM-281Tools menuThe 6.0 Main window Tools menu changes as follows:• Coverage becomes Code Coverage• Profile > Profile On / Profile Off becomes Profile > Performance (toggles on and offwith selection)• Profile > View hierarchical profile and View ranked profile become Call Tree andRanked tabs in the Profile window5.8 Tools > Profile > 6.0 Tools > Profile >6.0Profile windowSee "Main window menu bar" (GR-23) for complete menu option details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-282A - <strong>ModelSim</strong> <strong>GUI</strong> changesWindow menuThe 6.0/6.1 Window changes as follows:• Window > Layout StyleThe window layout styles available in 5.8 have been replaced by the 6.0 MDI (MultipleDocument Interface) system. You move panes by dragging and dropping.• Window > LayoutNew feature that allows you to save custom <strong>GUI</strong> layouts.5.8 Window > 6.0 Window >Moving panesaround by leftclickingon top ofpane, dragging anddropping wheredesired.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window changes UM-283List window changesFile menuIn version 6.1 the List window displays by default in the MDI frame. When the window isdocked in the MDI frame, its commands are located on the Main window menu bar underthe same menu names used in the List window.You can undock the List window and then the menu bar is organized as it was in 6.0 (seebelow).6.0 List window > File6.1 Main window > File<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-284A - <strong>ModelSim</strong> <strong>GUI</strong> changesEdit menu6.0 List window > Edit6.1 Main window > Edit<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window changes UM-285View menu6.0 List window > View6.1 Main window > View<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-286A - <strong>ModelSim</strong> <strong>GUI</strong> changesTools menu6.0 List window > Tools6.1 Main window > Tools<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


List window changes UM-287File menu in undocked List windowIn 6.0 and later versions, the List window > File menu changes as follows:• File > Open Dataset becomes File > Open > Dataset• File > Save Dataset becomes File > Save > Dataset• File > Save Format becomes File > Save > Format• File > Load Format becomes File > Open > Format5.8 List window > File6.0 List window > FileSee "List window" (GR-149) for complete menu option details.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-288A - <strong>ModelSim</strong> <strong>GUI</strong> changesMemory window changesThe Memory window in <strong>ModelSim</strong> 5.8 has two panes, one for displaying the memoryinstance names, and one for displaying the memory contents. In <strong>ModelSim</strong> 6.0:• Memory instances viewed through mem tab in Workspace pane of Main window• Double-click on an instance to view memory contents as one of the tabs in the MDI5.86.0<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory window changes UM-289See "Memory panes" (GR-166) for complete menu option details.File menuThe Memory window > File menu changes as follows:• File > Environment menu selection removed• File > Close Instance and Close AllRight-click anywhere in memory contents pane for menu selections.5.8 Memory window > File > 6.1 Main Menu > File >Right-click in mempane, in the addresscolumn or a datacolumn.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-290A - <strong>ModelSim</strong> <strong>GUI</strong> changesEdit menuThe Memory window > Edit menu changes as follows:• Edit > Goto accessible through right-click in address area• Edit > Change, Find, and Data Search accessible through right-click in data area5.8 Memory window > Edit > 6.1 Right-click in the address area ofthe memory contents (mem) pane.Right-click in the data area ofthe mem pane.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Memory window changes UM-291View menuThe Memory window > View menu changes as follows:• View > Memory Declaration accessible through right-click on memory instance• View > Split Screen accessible through right-click in address area of memory contentspane5.8 Memory window > View > 6.1 Right-click on selected memoryinstance within the Workspace paneRight-click in address area ofmemory contents pane<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-292A - <strong>ModelSim</strong> <strong>GUI</strong> changesSignals (Objects) windowIn 6.0, the Signals window becomes the Objects pane, reflecting the fact that it displays allobjects that persist through the life of the simulation, not simply signals. The name changereflects the increased variety of non-persisting data objects that may be viewed duringsimulation.• Signals window menus are accessible through the Main window > File menuThe Objects pane must be active to view Objects menu selections.See "Objects pane" (GR-182) for complete menu option details.File menuThe Signals window > File menu changes as follows:• File > New Window is not supported• File > Save List becomes File > Report5.8 Signals window > File 6.0 Main window (with Objects pane active) > FileMultiple Objectswindows notsupported in 6.0.<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Signals (Objects) window UM-293Edit menuThe Signals window > Edit menu changes as follows:• Edit > Expand/Collapse menu selections become Main window > Edit > Expand >Expand Selected, Collapse Selected, Expand All, and Collapse All• Edit > Force, NoForce, and Clock become Main window > Edit > Advanced > Force,NoForce, and Clock5.8 Source window > Edit 6.0 Main window > Edit > Expand> Advanced(sub-menus)<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-294A - <strong>ModelSim</strong> <strong>GUI</strong> changesSource window changesSeveral changes appear in the File and View menus, as detailed in the following sections.See "Source window" (GR-197) for complete menu option details.File menuThe Source window > File menu changes as follows:• File > Open Design Source is accessible through Main window Workspace > File tab5.8 Source window > File 6.0 Main window > File tab in Workspace pane<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


Source window changes UM-295View menuThe Source window > File menu changes as follows:• View > Show line numbers / language templates is accessible through View > Source5.8 Source window > File6.0 Main window > File tab<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


UM-296A - <strong>ModelSim</strong> <strong>GUI</strong> changesVariables (Locals) windowIn 6.0, the Variables window becomes the Locals pane. The name change reflects theincreased variety of non-persisting data objects that may be viewed during simulation. Anon-persistent object is one which may come and go during the course of simulation. Dataobjects which do persist can be viewed using the Objects window (formerly called theSignals window).See "Locals pane" (GR-163) for complete menu option details.Edit menuThe Variables window > Edit menu changes as follows:• Edit > Expand/Collapse menu selections become Main window > Edit > Expand >Expand Selected, Collapse Selected, Expand All, and Collapse All• Edit > Change becomes Main window > Edit > Advanced > Change5.8 Locals window > Edit 6.0 Main window > Edit > Expand> Advanced<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-297End-User License AgreementIMPORTANT - U<strong>SE</strong> OF THIS SOFTWARE IS SUBJECT TO LICEN<strong>SE</strong>RESTRICTIONS.CAREFULLY READ THIS LICEN<strong>SE</strong> AGREEMENT BEFORE USING THESOFTWARE.This license is a legal “Agreement” concerning the use of Software between you, theend user, either individually or as an authorized representative of the companyacquiring the license, and Mentor Graphics Corporation and Mentor Graphics(Ireland) Limited acting directly or through their subsidiaries or authorizeddistributors (collectively “Mentor Graphics”). U<strong>SE</strong> OF SOFTWARE INDICATESYOUR COMPLETE AND UNCONDITIONAL ACCEPTANCE OF THE TERMSAND CONDITIONS <strong>SE</strong>T FORTH IN THIS AGREEMENT. If you do not agree tothese terms and conditions, promptly return, or, if received electronically, certifydestruction of Software and all accompanying items within five days after receipt ofSoftware and receive a full refund of any license fee paid.END-U<strong>SE</strong>R LICEN<strong>SE</strong> AGREEMENT1. GRANT OF LICEN<strong>SE</strong>. The software programs you are installing, downloading, or haveacquired with this Agreement, including any updates, modifications, revisions, copies,documentation and design data (“Software”) are copyrighted, trade secret and confidentialinformation of Mentor Graphics or its licensors who maintain exclusive title to allSoftware and retain all rights not expressly granted by this Agreement. Mentor Graphicsgrants to you, subject to payment of appropriate license fees, a nontransferable,nonexclusive license to use Software solely: (a) in machine-readable, object-code form;(b) for your internal business purposes; and (c) on the computer hardware or at the site forwhich an applicable license fee is paid, or as authorized by Mentor Graphics. A site isrestricted to a one-half mile (800 meter) radius. Mentor Graphics’ standard policies andprograms, which vary depending on Software, license fees paid or service plan purchased,apply to the following and are subject to change: (a) relocation of Software; (b) use ofSoftware, which may be limited, for example, to execution of a single session by a singleuser on the authorized hardware or for a restricted period of time (such limitations may becommunicated and technically implemented through the use of authorization codes orsimilar devices); (c) support services provided, including eligibility to receive telephonesupport, updates, modifications, and revisions. Current standard policies and programs areavailable upon request.2. ESD SOFTWARE. If you purchased a license to use embedded software development(“ESD”) Software, Mentor Graphics grants to you a nontransferable, nonexclusive licenseto reproduce and distribute executable files created using ESD compilers, including theESD run-time libraries distributed with ESD C and C++ compiler Software that are linkedinto a composite program as an integral part of your compiled computer program,provided that you distribute these files only in conjunction with your compiled computerprogram. Mentor Graphics does NOT grant you any right to duplicate or incorporatecopies of Mentor Graphics' real-time operating systems or other ESD Software, exceptthose explicitly granted in this section, into your products without first signing a separateagreement with Mentor Graphics for such purpose.3. BETA CODE. Portions or all of certain Software may contain code for experimentaltesting and evaluation (“Beta Code”), which may not be used without Mentor Graphics’explicit authorization. Upon Mentor Graphics’ authorization, Mentor Graphics grants toyou a temporary, nontransferable, nonexclusive license for experimental use to test andevaluate the Beta Code without charge for a limited period of time specified by MentorGraphics. This grant and your use of the Beta Code shall not be construed as marketing or<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-298 License Agreementoffering to sell a license to the Beta Code, which Mentor Graphics may choose not torelease commercially in any form. If Mentor Graphics authorizes you to use the BetaCode, you agree to evaluate and test the Beta Code under normal conditions as directed byMentor Graphics. You will contact Mentor Graphics periodically during your use of theBeta Code to discuss any malfunctions or suggested improvements. Upon completion ofyour evaluation and testing, you will send to Mentor Graphics a written evaluation of theBeta Code, including its strengths, weaknesses and recommended improvements. Youagree that any written evaluations and all inventions, product improvements,modifications or developments that Mentor Graphics conceived or made during orsubsequent to this Agreement, including those based partly or wholly on your feedback,will be the exclusive property of Mentor Graphics. Mentor Graphics will have exclusiverights, title and interest in all such property. The provisions of this subsection shall survivetermination or expiration of this Agreement.4. RESTRICTIONS ON U<strong>SE</strong>. You may copy Software only as reasonably necessary tosupport the authorized use. Each copy must include all notices and legends embedded inSoftware and affixed to its medium and container as received from Mentor Graphics. Allcopies shall remain the property of Mentor Graphics or its licensors. You shall maintain arecord of the number and primary location of all copies of Software, including copiesmerged with other software, and shall make those records available to Mentor Graphicsupon request. You shall not make Software available in any form to any person other thanemployees and contractors, excluding Mentor Graphics' competitors, whose jobperformance requires access. You shall take appropriate action to protect theconfidentiality of Software and ensure that any person permitted access to Software doesnot disclose it or use it except as permitted by this Agreement. Except as otherwisepermitted for purposes of interoperability as specified by applicable and mandatory locallaw, you shall not reverse-assemble, reverse-compile, reverse-engineer or in any wayderive from Software any source code. You may not sublicense, assign or otherwisetransfer Software, this Agreement or the rights under it, whether by operation of law orotherwise (“attempted transfer”), without Mentor Graphics’ prior written consent andpayment of Mentor Graphics’ then-current applicable transfer charges. Any attemptedtransfer without Mentor Graphics' prior written consent shall be a material breach of thisAgreement and may, at Mentor Graphics' option, result in the immediate termination ofthe Agreement and licenses granted under this Agreement.The terms of this Agreement, including without limitation, the licensing and assignmentprovisions shall be binding upon your heirs, successors in interest and assigns. Theprovisions of this section 4 shall survive the termination or expiration of this Agreement.5. LIMITED WARRANTY.5.1. Mentor Graphics warrants that during the warranty period Software, when properlyinstalled, will substantially conform to the functional specifications set forth in theapplicable user manual. Mentor Graphics does not warrant that Software will meetyour requirements or that operation of Software will be uninterrupted or error free.The warranty period is 90 days starting on the 15th day after delivery or uponinstallation, whichever first occurs. You must notify Mentor Graphics in writing ofany nonconformity within the warranty period. This warranty shall not be valid ifSoftware has been subject to misuse, unauthorized modification or installation.MENTOR GRAPHICS' ENTIRE LIABILITY AND YOUR EXCLUSIVE REMEDYSHALL BE, AT MENTOR GRAPHICS' OPTION, EITHER (A) REFUND OF THEPRICE PAID UPON RETURN OF SOFTWARE TO MENTOR GRAPHICS OR (B)MODIFICATION OR REPLACEMENT OF SOFTWARE THAT DOES NOTMEET THIS LIMITED WARRANTY, PROVIDED YOU HAVE OTHERWI<strong>SE</strong>COMPLIED WITH THIS AGREEMENT. MENTOR GRAPHICS MAKES NO<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-299WARRANTIES WITH RESPECT TO: (A) <strong>SE</strong>RVICES; (B) SOFTWARE WHICHIS LICEN<strong>SE</strong>D TO YOU FOR A LIMITED TERM OR LICEN<strong>SE</strong>D AT NO COST;OR (C) EXPERIMENTAL BETA CODE; ALL OF WHICH ARE PROVIDED “ASIS.”5.2. THE WARRANTIES <strong>SE</strong>T FORTH IN THIS <strong>SE</strong>CTION 5 ARE EXCLUSIVE.NEITHER MENTOR GRAPHICS NOR ITS LICENSORS MAKE ANY OTHERWARRANTIES, EXPRESS, IMPLIED OR STATUTORY, WITH RESPECT TOSOFTWARE OR OTHER MATERIAL PROVIDED UNDER THIS AGREEMENT.MENTOR GRAPHICS AND ITS LICENSORS SPECIFICALLY DISCLAIM ALLIMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR APARTICULAR PURPO<strong>SE</strong> AND NON-INFRINGEMENT OF INTELLECTUALPROPERTY.6. LIMITATION OF LIABILITY. EXCEPT WHERE THIS EXCLUSION ORRESTRICTION OF LIABILITY WOULD BE VOID OR INEFFECTIVE UNDERAPPLICABLE LAW, IN NO EVENT SHALL MENTOR GRAPHICS OR ITSLICENSORS BE LIABLE FOR INDIRECT, SPECIAL, INCIDENTAL, ORCON<strong>SE</strong>QUENTIAL DAMAGES (INCLUDING LOST PROFITS OR SAVINGS)WHETHER BA<strong>SE</strong>D ON CONTRACT, TORT OR ANY OTHER LEGAL THEORY,EVEN IF MENTOR GRAPHICS OR ITS LICENSORS HAVE BEEN ADVI<strong>SE</strong>D OFTHE POSSIBILITY OF SUCH DAMAGES. IN NO EVENT SHALL MENTORGRAPHICS' OR ITS LICENSORS' LIABILITY UNDER THIS AGREEMENTEXCEED THE AMOUNT PAID BY YOU FOR THE SOFTWARE OR <strong>SE</strong>RVICEGIVING RI<strong>SE</strong> TO THE CLAIM. IN THE CA<strong>SE</strong> WHERE NO AMOUNT WAS PAID,MENTOR GRAPHICS AND ITS LICENSORS SHALL HAVE NO LIABILITY FORANY DAMAGES WHATSOEVER.7. LIFE ENDANGERING ACTIVITIES. NEITHER MENTOR GRAPHICS NOR ITSLICENSORS SHALL BE LIABLE FOR ANY DAMAGES RESULTING FROM OR INCONNECTION WITH THE U<strong>SE</strong> OF SOFTWARE IN ANY APPLICATION WHERETHE FAILURE OR INACCURACY OF THE SOFTWARE MIGHT RESULT INDEATH OR PERSONAL INJURY.8. INDEMNIFICATION. YOU AGREE TO INDEMNIFY AND HOLD HARMLESSMENTOR GRAPHICS AND ITS LICENSORS FROM ANY CLAIMS, LOSS, COST,DAMAGE, EXPEN<strong>SE</strong>, OR LIABILITY, INCLUDING ATTORNEYS' FEES, ARISINGOUT OF OR IN CONNECTION WITH YOUR U<strong>SE</strong> OF SOFTWARE AS DESCRIBEDIN <strong>SE</strong>CTION 7.9. INFRINGEMENT.9.1. Mentor Graphics will defend or settle, at its option and expense, any action broughtagainst you alleging that Software infringes a patent or copyright or misappropriates atrade secret in the United States, Canada, Japan, or member state of the EuropeanPatent Office. Mentor Graphics will pay any costs and damages finally awardedagainst you that are attributable to the infringement action. You understand and agreethat as conditions to Mentor Graphics' obligations under this section you must:(a) notify Mentor Graphics promptly in writing of the action; (b) provide MentorGraphics all reasonable information and assistance to defend or settle the action; and(c) grant Mentor Graphics sole authority and control of the defense or settlement ofthe action.9.2. If an infringement claim is made, Mentor Graphics may, at its option and expense:(a) replace or modify Software so that it becomes noninfringing; (b) procure for you<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-300 License Agreementthe right to continue using Software; or (c) require the return of Software and refundto you any license fee paid, less a reasonable allowance for use.9.3. Mentor Graphics has no liability to you if infringement is based upon: (a) thecombination of Software with any product not furnished by Mentor Graphics; (b) themodification of Software other than by Mentor Graphics; (c) the use of other than acurrent unaltered release of Software; (d) the use of Software as part of an infringingprocess; (e) a product that you make, use or sell; (f) any Beta Code contained inSoftware; (g) any Software provided by Mentor Graphics’ licensors who do notprovide such indemnification to Mentor Graphics’ customers; or (h) infringement byyou that is deemed willful. In the case of (h) you shall reimburse Mentor Graphics forits attorney fees and other costs related to the action upon a final judgment.9.4. THIS <strong>SE</strong>CTION 9 STATES THE ENTIRE LIABILITY OF MENTOR GRAPHICSAND ITS LICENSORS AND YOUR SOLE AND EXCLUSIVE REMEDY WITHRESPECT TO ANY ALLEGED PATENT OR COPYRIGHT INFRINGEMENT ORTRADE <strong>SE</strong>CRET MISAPPROPRIATION BY ANY SOFTWARE LICEN<strong>SE</strong>DUNDER THIS AGREEMENT.10. TERM. This Agreement remains effective until expiration or termination. ThisAgreement will immediately terminate upon notice if you exceed the scope of licensegranted or otherwise fail to comply with the provisions of Sections 1, 2, or 4. For anyother material breach under this Agreement, Mentor Graphics may terminate thisAgreement upon 30 days written notice if you are in material breach and fail to cure suchbreach within the 30-day notice period. If Software was provided for limited term use,this Agreement will automatically expire at the end of the authorized term. Upon anytermination or expiration, you agree to cease all use of Software and return it to MentorGraphics or certify deletion and destruction of Software, including all copies, to MentorGraphics’ reasonable satisfaction.11. EXPORT. Software is subject to regulation by local laws and United States governmentagencies, which prohibit export or diversion of certain products, information about theproducts, and direct products of the products to certain countries and certain persons. Youagree that you will not export any Software or direct product of Software in any mannerwithout first obtaining all necessary approval from appropriate local and United Statesgovernment agencies.12. RESTRICTED RIGHTS NOTICE. Software was developed entirely at private expenseand is commercial computer software provided with RESTRICTED RIGHTS. Use,duplication or disclosure by the U.S. Government or a U.S. Government subcontractor issubject to the restrictions set forth in the license agreement under which Software wasobtained pursuant to DFARS 227.7202-3(a) or as set forth in subparagraphs (c)(1) and (2)of the Commercial Computer Software - Restricted Rights clause at FAR 52.227-19, asapplicable. Contractor/manufacturer is Mentor Graphics Corporation, 8005 SWBoeckman Road, Wilsonville, Oregon 97070-7777 USA.13. THIRD PARTY BENEFICIARY. For any Software under this Agreement licensed byMentor Graphics from Microsoft or other licensors, Microsoft or the applicable licensor isa third party beneficiary of this Agreement with the right to enforce the obligations setforth herein.14. AUDIT RIGHTS. With reasonable prior notice, Mentor Graphics shall have the right toaudit during your normal business hours all records and accounts as may containinformation regarding your compliance with the terms of this Agreement. MentorGraphics shall keep in confidence all information gained as a result of any audit. Mentor<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-301Graphics shall only use or disclose such information as necessary to enforce its rightsunder this Agreement.15. CONTROLLING LAW AND JURISDICTION. THIS AGREEMENT SHALL BEGOVERNED BY AND CONSTRUED UNDER THE LAWS OF THE STATE OFOREGON, USA, IF YOU ARE LOCATED IN NORTH OR SOUTH AMERICA, ANDTHE LAWS OF IRELAND IF YOU ARE LOCATED OUTSIDE OF NORTH ANDSOUTH AMERICA. All disputes arising out of or in relation to this Agreement shall besubmitted to the exclusive jurisdiction of Dublin, Ireland when the laws of Ireland apply,or Wilsonville, Oregon when the laws of Oregon apply. This section shall not restrictMentor Graphics’ right to bring an action against you in the jurisdiction where your placeof business is located. The United Nations Convention on Contracts for the InternationalSale of Goods does not apply to this Agreement.16. <strong>SE</strong>VERABILITY. If any provision of this Agreement is held by a court of competentjurisdiction to be void, invalid, unenforceable or illegal, such provision shall be severedfrom this Agreement and the remaining provisions will remain in full force and effect.17. PAYMENT TERMS AND MISCELLANEOUS. You will pay amounts invoiced, inthe currency specified on the applicable invoice, within 30 days from the date of suchinvoice. This Agreement contains the parties' entire understanding relating to its subjectmatter and supersedes all prior or contemporaneous agreements, including but not limitedto any purchase order terms and conditions, except valid license agreements related to thesubject matter of this Agreement (which are physically signed by you and an authorizedagent of Mentor Graphics) either referenced in the purchase order or otherwise governingthis subject matter. This Agreement may only be modified in writing by authorizedrepresentatives of the parties. Waiver of terms or excuse of breach must be in writing andshall not constitute subsequent consent, waiver or excuse. The prevailing party in anylegal action regarding the subject matter of this Agreement shall be entitled to recover, inaddition to other relief, reasonable attorneys' fees and expenses.Rev. 040401, Part Number 221417<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


GR-302 License Agreement<strong>ModelSim</strong> <strong>SE</strong> <strong>GUI</strong> <strong>Reference</strong>


IndexCR = Command <strong>Reference</strong>, UM = User’s Manual, GR = <strong>GUI</strong> <strong>Reference</strong>Symbols#, comment character UM-476$disable_signal_spy UM-395$enable_signal_spy UM-396+acc option, design object visibility UM-129+typdelays CR-359, CR-370.so, shared object fileloading PLI/VPI C applications UM-549loading PLI/VPI C++ applications UM-555{} CR-14’hasX, hasX CR-23Numerics0-In toolssetting environment variable UM-4981076, IEEE Std UM-30differences between versions UM-741364, IEEE Std UM-30, UM-1152001, keywords, disabling CR-36064-bit libraries UM-6464-bit platformschoosing over 32-bit UM-49964-bit timenow variable UM-521Tcl time commands UM-48164-bit vsim, using with 32-bit FLI apps UM-579A+acc option, design object visibility UM-129abort command CR-44absolute time, using @ CR-17ACC routines UM-572accelerated packages UM-63accesshierarchical objects UM-381limitations in mixed designs UM-199Active Processes pane GR-120see also windows, Active Processes paneadd button command CR-45Add file to Project dialog GR-52Add Folder dialog GR-55add list command CR-48add log command CR-182add memory command CR-51add watch command CR-52add wave command CR-53add_cmdhelp command CR-57add_menu command CR-58add_menucb command CR-60add_menuitem simulator command CR-61add_separator command CR-62add_submenu command CR-63aggregates, SystemC UM-185alias command CR-64analogsignal formatting CR-54, GR-237supported signal types GR-237annotating differences, wave compare UM-295annotating interconnect delays, v2k_int_delays CR-387api_version in error message UM-193architecture simulator state variable UM-520archivesdescribed UM-57archives, library CR-352argc simulator state variable UM-520argumentspassing to a DO file UM-487arguments, accessing commandl-line UM-189arithmetic package warnings, disabling UM-517array of sc_signal UM-185arraysindexes CR-10slices CR-11, CR-14AssertFile .ini file variable UM-509AssertionFormat .ini file variable UM-509AssertionFormatBreak .ini file variable UM-509AssertionFormatError .ini file variable UM-509AssertionFormatFail .ini file variable UM-509AssertionFormatFatal .ini file variable UM-510AssertionFormatNote .ini file variable UM-510AssertionFormatWarning .ini file variable UM-510assertionsconfiguring from the <strong>GUI</strong> GR-95file and line number UM-509message display GR-95messagesturning off UM-517setting format of messages UM-509testing for with onbreak command CR-206warnings, locating UM-509attributes, of signals, using in expressions CR-23auto find bp command UM-352


Indexauto step mode, C Debug UM-353Bbad magic number error message UM-239balloon dialog, toggling on/off GR-254balloon popupC Debug GR-109base (radix)List window UM-274Memory window GR-181Wave window UM-269batch simulations CR-177JobSpy UM-408batch_mode command CR-65batch-mode simulations UM-28halting CR-409bd (breakpoint delete) command CR-66binary radix, mapping to std_logic values CR-28BindAtCompile .ini file variable UM-506binding, VHDL, default UM-80bitwise format UM-294blocking assignments UM-136bookmark add wave command CR-67bookmark delete wave command CR-68bookmark goto wave command CR-69bookmark list wave command CR-70bookmarksSource window GR-202Wave window UM-264bp (breakpoint) command CR-71brackets, escaping CR-14breakon signal value CR-406stop simulation run GR-42BreakOnAssertion .ini file variable UM-510breakpointsC code UM-349conditional CR-406continuing simulation after CR-245deleting CR-66, GR-201, GR-256listing CR-71setting CR-71, GR-201setting automatically in C code UM-353signal breakpoints (when statements) CR-406Source window, viewing in GR-197time-basedin when statements CR-410.bsm file UM-313buffered/unbuffered output UM-513bus contention checking CR-80configuring CR-82disabling CR-83bus float checkingconfiguring CR-85disabling CR-86enabling CR-84bussesescape characters in CR-14RTL-level, reconstructing UM-249user-defined CR-54, UM-279buswise format UM-294buttonadding to windows GR-118buttons, adding to the Main window toolbar CR-45CC applicationscompiling and linking UM-549debugging UM-345C callstackmoving down CR-229moving up CR-211C Debug UM-345auto find bp UM-352auto step mode UM-353debugging functions during elaboration UM-356debugging functions when exiting UM-360function entry points, finding UM-352initialization mode UM-356menu reference GR-37, GR-38registered function calls, identifying UM-353running from a DO file UM-348Stop on quit mode UM-360C Debug setup dialog GR-109C debugging CR-75C++ applicationscompiling and linking UM-555cancelling scheduled events, performance UM-111case choice, must be locally static CR-308case sensitivitynamed port associations UM-218VHDL vs. Verilog CR-14causality, tracing in Dataflow window UM-306cd (change directory) command CR-74cdbg command CR-75cdbg_wait_for_starting command UM-348cell libraries UM-148cells


Indexhiding in Dataflow window GR-146, GR-147change command CR-77change directory, disabled GR-24Change Memory dialog GR-177Change Selected Variable dialog GR-164change_menu_cmd command CR-79chasing X UM-307check contention add command CR-80check contention config command CR-82check contention off command CR-83check float add command CR-84check float config command CR-85check float off command CR-86check stable off command CR-87check stable on command CR-88-check_synthesis argument CR-306warning message UM-530CheckPlusargs .ini file variable (VLOG) UM-510checkpoint command CR-89checkpoint/restore UM-87, UM-144checkpointing a running simulation UM-88, UM-145CheckpointCompressMode .ini file variable UM-510CheckSynthesis .ini file variable UM-506class member selection, syntax CR-11class of sc_signal UM-185cleanupSystemC state-based code UM-180clean-up of SystemC state-based code UM-180clock change, sampling signals at UM-283clocked comparison UM-291Code Coverage$coverage_save system function UM-153by instance UM-318columns in workspace GR-122condition coverage UM-318, UM-342coverage clear command CR-124coverage exclude command CR-125coverage reload command CR-127coverage report command CR-128coverage save command CR-132Current Exclusions pane GR-127data types supported UM-319Details pane GR-129display filter toolbar GR-133enabling with vcom or vlog UM-321enabling with vsim UM-322excluding lines/files UM-331exclusion filter files UM-332expression coverage UM-318, UM-343important notes UM-320Instance Coverage pane GR-128Main window coverage data UM-323merge utility UM-341merging report files CR-127merging reports CR-314missed branches GR-126missed coverage GR-126pragma exclusions UM-331reports UM-335Source window data UM-324source window details GR-130statistics in Main window UM-323toggle coverage UM-318excluding signals CR-274toggle coverage in Signals window UM-326toggle details GR-129vcover report command CR-317vcover utility UM-341Workspace pane GR-122Code profiling UM-363collapsing ports, and coverage reporting UM-328collapsing time and delta steps UM-247colorization, in Source window GR-203columnshide/showing in <strong>GUI</strong> GR-267moving GR-267sorting by GR-267Combine Selected Signals dialog GR-158combining signals, busses CR-54, UM-279command history GR-34command line args, accessingvsim sc_arg command CR-388CommandHistory .ini file variable UM-510command-line arguments, accessing UM-189command-line mode UM-27commands CR-31–CR-431.main clear CR-43abort CR-44add button CR-45add list CR-48add memory CR-51add watch CR-52add wave CR-53add_menu CR-58add_menucb CR-60add_menuitem CR-61add_separator CR-62add_submenu CR-63alias CR-64batch_mode CR-65bd (breakpoint delete) CR-66


Indexbookmark add wave CR-67bookmark delete wave CR-68bookmark goto wave CR-69bookmark list wave CR-70bp (breakpoint) CR-71cd (change directory) CR-74cdbg CR-75change CR-77change_menu_cmd CR-79check contention add CR-80check contention config CR-82check contention off CR-83check float add CR-84check float config CR-85check float off CR-86check stable off CR-87check stable on CR-88checkpoint CR-89compare add CR-90compare annotate CR-94, CR-97compare clock CR-95compare close CR-101compare delete CR-100compare info CR-102compare list CR-103compare open CR-115compare options CR-104compare reload CR-108compare savediffs CR-111compare saverules CR-112compare see CR-113compare start CR-110configure CR-119coverage clear CR-124coverage exclude CR-125coverage reload CR-127coverage report CR-128coverage save CR-132dataset alias CR-133dataset clear CR-134dataset close CR-135dataset config CR-136dataset info CR-137dataset list CR-138dataset open CR-139dataset rename CR-140, CR-141dataset snapshot CR-142delete CR-144describe CR-145disable_menu CR-147disable_menuitem CR-148disablebp CR-146do CR-149down CR-150drivers CR-152dumplog64 CR-153echo CR-154edit CR-155enable_menu CR-157enable_menuitem CR-158enablebp CR-156environment CR-159event watching in DO file UM-487examine CR-160exit CR-164find CR-165force CR-169gdb dir CR-172getactivecursortime CR-173getactivemarkertime CR-174help CR-175history CR-176jobspy CR-177layout CR-178lecho CR-179left CR-180log CR-182lshift CR-184lsublist CR-185macro_option CR-186mem compare CR-187mem display CR-188mem list CR-190mem load CR-191mem save CR-194mem search CR-196next CR-199noforce CR-200nolog CR-201notation conventions CR-8notepad CR-203noview CR-204nowhen CR-205onbreak CR-206onElabError CR-207onerror CR-208pause CR-209play CR-210pop CR-211power add CR-212power report CR-213power reset CR-214


Indexprintenv CR-215, CR-216profile clear CR-217profile interval CR-218profile off CR-219profile on CR-220profile option CR-221profile reload CR-222profile report CR-223property list CR-226property wave CR-227push CR-229pwd CR-230quietly CR-231quit CR-232qverilog CR-233radix CR-234readers CR-235record CR-236report CR-237restart CR-239restore CR-241resume CR-242right CR-243run CR-245sccom CR-247scgenmod CR-251sdfcom CR-253search CR-254searchlog CR-256seetime CR-258setenv CR-259shift CR-260show CR-261status CR-264step CR-265stop CR-266system UM-479tb (traceback) CR-267tcheck_set CR-268tcheck_status CR-270toggle add CR-272toggle disable CR-274toggle enable CR-275toggle report CR-276toggle reset CR-278transcribe CR-279transcript CR-280transcript file CR-281TreeUpdate CR-422tssi2mti CR-282unsetenv CR-283up CR-284variables referenced in CR-16vcd add CR-286vcd checkpoint CR-287vcd comment CR-288vcd dumpports CR-289vcd dumpportsall CR-291vcd dumpportsflush CR-292vcd dumpportslimit CR-293vcd dumpportsoff CR-294vcd dumpportson CR-295vcd file CR-296vcd files CR-298vcd flush CR-300vcd limit CR-301vcd off CR-302vcd on CR-303vcom CR-305vcover convert CR-313vcover merge CR-314vcover rank CR-316vcover report CR-317vdel CR-322vdir CR-323verror CR-324vgencomp CR-325view CR-327virtual count CR-330virtual define CR-331virtual delete CR-332virtual describe CR-333virtual expand CR-334virtual function CR-335virtual hide CR-338virtual log CR-339virtual nohide CR-341virtual nolog CR-342virtual region CR-344virtual save CR-345virtual show CR-346virtual signal CR-347virtual type CR-350vlib CR-352vlog CR-354vmake CR-363vmap CR-364vopt CR-365vsim CR-372VSIM Tcl commands UM-480vsimDate CR-391vsimId CR-391


IndexvsimVersion CR-391wave CR-393wave create CR-396wave edit CR-399wave export CR-402wave import CR-403wave modify CR-404WaveActivateNextPane CR-422WaveRestoreCursors CR-422WaveRestoreZoom CR-422when CR-406where CR-411wlf2log CR-412wlf2vcd CR-414wlfman CR-415wlfrecover CR-419write cell_report CR-420write format CR-421write list CR-423write preferences CR-424write report CR-425write timing CR-426write transcript CR-427write tssi CR-428write wave CR-430comment characterTcl and DO files UM-476comment characters in VSIM commands CR-8compareadd region UM-290add signals UM-289by signal UM-289clocked UM-291difference markers UM-294displayed in List window UM-296icons UM-296method UM-291options UM-293pathnames UM-294reference dataset UM-287reference region UM-290tab UM-288test dataset UM-288timing differences UM-294tolerance UM-291values UM-295wave window display UM-294compare add command CR-90compare annotate command CR-94, CR-97compare by region UM-290compare clock command CR-95compare close command CR-101compare delete command CR-100compare info command CR-102compare list command CR-103Compare Memory dialog GR-179compare open command CR-115compare options command CR-104compare reload command CR-108compare savediffs command CR-111compare saverules command CR-112compare see command CR-113compare signal, virtualrestrictions UM-279compare simulations UM-237compare start command CR-110compatibility, of vendor libraries CR-323compilationSDF files UM-440compile orderauto generate UM-46changing UM-46SystemVerilog packages UM-118Compile Order dialog GR-77Compile Source Files dialogdialogsCompile Source Files GR-67compiler directives UM-157IEEE Std 1364-2000 UM-157XL compatible compiler directives UM-159Compiler Options dialog GR-68compilingoverview UM-25changing order in the <strong>GUI</strong> UM-46gensrc errors during UM-194graphic interface to GR-67grouping files UM-47order, changing in projects UM-46properties, in projects UM-52range checking in VHDL CR-310, UM-73SystemC CR-247, CR-251, UM-169converting sc_main() UM-169exporting top level module UM-170for source level debug UM-172invoking sccom UM-172linking the compiled source UM-177modifying source code UM-169replacing sc_start() UM-169using sccom vs. raw C++ compiler UM-175Verilog CR-354, UM-116incremental compilation UM-118XL ’uselib compiler directive UM-123


IndexXL compatible options UM-122VHDL CR-305, UM-72at a specified line number CR-307selected design units (-just eapbc) CR-307standard package (-s) CR-310VITAL packages UM-98compiling C code, gcc UM-550component declarationgenerating SystemC from Verilog or VHDL UM-234generating VHDL from Verilog UM-215vgencomp for SystemC UM-234vgencomp for VHDL UM-215component, default binding rules UM-80Compressing filesVCD tasks UM-460compressing filesVCD files CR-289, CR-298concatenationdirectives CR-27of signals CR-26, CR-347ConcurrentFileLimit .ini file variable UM-510conditional breakpoints CR-406configuration simulator state variable UM-520configurationsinstantiation in mixed designs UM-214Verilog UM-125configurations, simulating CR-372configure command CR-119connectivity, exploring UM-303constantsin case statements CR-308values of, displaying CR-145, CR-160construction parameters, SystemC UM-189contention checking CR-80context menuList window GR-152context menusLibrary tab UM-59context sensitivity UM-275control function, SystemC UM-201control_foreign_signal() function UM-199conversion, radix CR-234convert real to time UM-102convert time to real UM-101coveragemerging data UM-340saving raw data UM-340see also Code Coveragesetting default mode UM-336coverage clear command CR-124coverage exclude command CR-125coverage reload command CR-127coverage report command CR-128Coverage Report dialog GR-99coverage reports UM-335reporting all signals UM-328sample reports UM-337xml format UM-336coverage save command CR-132$coverage_save system function UM-153covreport.xsl UM-336CppOptions .ini file variable (sccom) UM-507CppPath .ini file variable (sccom) UM-507Create a New Library dialog GR-46Create Project dialog GR-45Create Project File dialog GR-51current exclusionspragmas UM-331Current Exclusions pane GR-127cursorsadding, deleting, locking, naming UM-259link to Dataflow window UM-302measuring time with UM-259trace events with UM-306Wave window UM-259Customize Toolbar dialog GR-118customizingadding buttons CR-45via preference variables GR-269Ddeltasexplained UM-81daemonJobSpy UM-410data typesCode Coverage UM-319Dataflow Options dialog GR-146Dataflow Page Setup dialog GR-144Dataflow window UM-300, GR-134automatic cell hiding GR-146, GR-147menu bar GR-135options GR-146, GR-147pan UM-305zoom UM-305see also windows, Dataflow windowdataflow.bsm file UM-313dataset alias command CR-133Dataset Browser UM-244, GR-57


Indexdialog GR-57dataset clear command CR-134dataset close command CR-135dataset config command CR-136dataset info command CR-137dataset list command CR-138dataset open command CR-139dataset rename command CR-140, CR-141Dataset Snapshot UM-246dataset snapshot command CR-142datasets UM-237environment command, specifying with CR-159managing UM-244openingdialogsOpen File GR-47reference UM-287restrict dataset prefix display UM-245test UM-288DatasetSeparator .ini file variable UM-510debuggable SystemC objects UM-181debuggingC code UM-345debugging the design, overview UM-26declarations, hiding implicit with explicit CR-312default bindingBindAtCompile .ini file variable UM-506disabling UM-80default binding rules UM-80default coverage mode, setting UM-336Default editor, changing UM-498default SystemC parameter values, overriding UM-189DefaultForceKind .ini file variable UM-511DefaultRadix .ini file variable UM-511DefaultRestartOptions variable UM-511, UM-518+define+ CR-355Define Clock dialog GR-186delaydelta delays UM-81interconnect CR-377modes for Verilog models UM-148SDF files UM-437stimulus delay, specifying GR-185+delay_mode_distributed CR-355, CR-367+delay_mode_path CR-355, CR-367+delay_mode_unit CR-355, CR-367+delay_mode_zero CR-355, CR-367’delayed CR-23DelayFileOpen .ini file variable UM-511delaying test signal, Waveform Comparison GR-242delete command CR-144deleting library contents UM-59delta collapsing UM-247delta simulator state variable UM-520deltascollapsing in the List window GR-160collapsing in WLF files CR-381hiding in the List window CR-120, GR-160in List window UM-280referencing simulator iterationas a simulator state variable UM-520dependencies, checking CR-323dependent design units UM-72describe command CR-145descriptions of HDL items GR-201design librarycreating UM-58logical name, assigning UM-60mapping search rules UM-61resource type UM-56VHDL design units UM-72working type UM-56design loading, interrupting CR-372design object icons, described GR-14Design Optimization dialog GR-78design portability and SystemC UM-173design units UM-56report of units simulated CR-425Verilogadding to a library CR-354detailscode coverage GR-129dialogs GR-57Add file to Project GR-52Add Folder GR-55C Debug setup GR-109Change Memory GR-177Change Selected Variable GR-164Combine Selected Signals GR-158Compare Memory GR-179Compile Order GR-77Compiler Options GR-68Coverage Report GR-99Create a New Library GR-46Create Project GR-45Create Project File GR-51Customize Toolbar GR-118Dataflow Options GR-146Dataflow Page Setup GR-144Define Clock GR-186Design Optimization GR-78Export Memory GR-175File Breakpoint GR-108


IndexFilter instance list GR-102Find in dataflow GR-145Find in List GR-153Find in Locals GR-165Find in memory GR-180Find in Process GR-121Force Selected Signal GR-184List Signal Properties GR-156List Signal Search GR-154Load Coverage Data GR-98Macro GR-112Modify Breakpoints GR-105Modify Display Properties GR-159Optimization Configuration GR-53Preferences GR-114Print GR-141Print Postscript GR-143Profile Report GR-103, GR-195Project Compiler Settings GR-58Project Settings GR-65Properties (memory) GR-181Restart GR-97Runtime Options GR-94Signal Breakpoints GR-107Simulation Configuration GR-54Start Simulation GR-85SystemC Link dialog GR-76directoriesmapping libraries CR-364moving libraries UM-61directory, changing, disabled GR-24disable_menu command CR-147disable_menuitem command CR-148disable_signal_spy UM-383disablebp command CR-146DisableOpt .ini file variable UM-503, UM-506distributed delay mode UM-149dividersadding from command line CR-53Wave window UM-271DLL files, loading UM-549, UM-555do command CR-149DO files (macros) CR-149error handling UM-489executing at startup UM-498, UM-513parameters, passing to UM-487Tcl source command UM-490dockingwindow panes GR-263documentation UM-35DOPATH environment variable UM-498down command CR-150DPIexport TFs UM-529DPI export TFs UM-529DPI use flow UM-547drag & drop preferences GR-113driversDataflow Window UM-303show in Dataflow window UM-284Wave window UM-284drivers command CR-152drivers, multiple on unresolved signal GR-61, GR-70dump files, viewing in the simulator CR-304dumplog64 command CR-153dumpports tasks, VCD files UM-459Eecho command CR-154edges, finding CR-180, CR-243edit command CR-155Editingin notepad windows UM-587in the Main window UM-587in the Source window UM-587EDITOR environment variable UM-498editor, default, changing UM-498elab_defer_fli argument UM-85, UM-142elaboration filecreating UM-84, UM-141loading UM-84, UM-141modifying stimulus UM-84, UM-141resimulating the same design UM-83, UM-140simulating with PLI or FLI models UM-85, UM-142embedded wave viewer UM-304empty port name warning UM-529enable_menu command CR-157enable_menuitem command CR-158enable_signal_spy UM-384enablebp command CR-156encryption+protect argument CR-359‘protect compiler directive UM-158-nodebug argument (vcom) CR-308-nodebug argument (vlog) CR-357, CR-368securing pre-compiled libraries UM-68end_of_construction() function UM-189end_of_simulation() function UM-189ENDFILE function UM-94


IndexENDLINE function UM-94‘endprotect compiler directive UM-158entitiesdefault binding rules UM-80entities, specifying for simulation CR-388entity simulator state variable UM-520enumerated typesuser defined CR-350environment command CR-159environment variables UM-498accessed during startup UM-595reading into Verilog code CR-355referencing from command line UM-501referencing with VHDL FILE variable UM-500setting in Windows UM-500specifying library locations in modelsim.ini fileUM-503specifying UNIX editor CR-155state of CR-216TranscriptFile, specifying location of UM-513used in Solaris linking for FLI UM-549, UM-555using in pathnames CR-14using with location mapping UM-65variable substitution using Tcl UM-479environment, displaying or changing pathname CR-159errorcan’t locate C compiler UM-529Error .ini file variable UM-515errors"api_version" in UM-193bad magic number UM-239getting details about messages CR-324getting more information UM-524libswift entry not found UM-533multiple definition UM-194onerror command CR-208out-of-line function UM-194SDF, disabling CR-379severity level, changing UM-524SystemC loading UM-192Tcl_init error UM-530void function UM-194VSIM license lost UM-533escape character CR-14EVCD filesexporting GR-432importing GR-433event orderchanging in Verilog CR-354, CR-366in optimized designs UM-130in Verilog simulation UM-134event queues UM-134event watching commands, placement of UM-487events, tracing UM-306examine command CR-160examine tooltiptoggling on/off GR-254exclusion filter files UM-332excluding udp truth table rows UM-333exclusionslines and files UM-331exit codes UM-527exit command CR-164expand net UM-303Explicit .ini file variable UM-506Export Memory dialog GR-175export TFs, in DPI UM-529Exporting SystemC modulesto Verilog UM-225exporting SystemC modulesto VHDL UM-235exporting top SystemC module UM-170Expression Builder UM-267configuring a List trigger with UM-281saving expressions to Tcl variable UM-267extended identifiers CR-15in mixed designs UM-214, UM-234F-f CR-356, CR-367F8 function key UM-589features, new UM-273field descriptionscoverage reports UM-337FIFOs, viewing SystemC UM-187File Breakpoint dialog GR-108File compressionVCD tasks UM-460file compressionSDF files UM-437VCD files CR-289, CR-298file formatMTI memory data GR-176file I/OTextIO package UM-91VCD files UM-453file-line breakpoints GR-201filesopening in <strong>GUI</strong> GR-47files, grouping for compile UM-47


Indexfilterprocesses GR-120Filter instance list dialog GR-102filteringsignals in Objects window GR-183filtersfor Code Coverage UM-332find command CR-165Find in dataflow dialog GR-145Find in List dialog GR-153Find in Locals dialog GR-165Find in memory dialog GR-180Find in Process dialog GR-121Find in Transcript dialogdialogsFind in Transcript GR-56fixed-point types, in SystemCcompiling for support UM-188construction parameters for UM-189FLI UM-103debugging UM-345folders, in projects UM-50font scalingfor dual monitors GR-34fontscontrolling in X-sessions GR-15scaling GR-15force command CR-169defaults UM-518Force Selected Signal dialog GR-184foreign language interface UM-103foreign model loadingSmartModels UM-600foreign module declarationVerilog example CR-252, UM-221VHDL example UM-230foreign module declaration, SystemC UM-220format file UM-276List window CR-421Wave window CR-421, UM-276FPGA libraries, importing UM-67function calls, identifying with C Debug UM-353Functional coveragemerging databases offline CR-314functionsSystemCcontrol UM-201observe UM-201unsupported UM-188G-g C++ compiler option UM-183g++, alternate installations UM-173gdbsetting source directory CR-172gdb debugger UM-346gdb dir command CR-172generate statements, Veilog UM-126GenerateFormat .ini file variable UM-511GenerateLoopIterationMax .ini file variable UM-503GenerateRecursionDepthMax .ini variable UM-503genericsassigning or overriding values with -g and -G CR-374examining generic values CR-160limitation on assigning composite types CR-375SystemC instantiating VHDL UM-230VHDL UM-204get_resolution() VHDL function UM-99getactivecursortime command CR-173getactivemarkertime command CR-174glitchesdisabling generationfrom command line CR-383from <strong>GUI</strong> GR-87global visibilityPLI/FLI shared objects CR-375, UM-562GlobalSharedObjectsList .ini file variable UM-511graphic interface UM-251, UM-299, GR-11UNIX support UM-29grayed-out menu options UM-275Grid EngineJobSpy integration UM-420grouping files for compile UM-47grouping objects, Monitor window GR-207<strong>GUI</strong> preferences, saving GR-270<strong>GUI</strong>_expression_format CR-21<strong>GUI</strong> expression builder UM-267syntax CR-22Hhardware model interface UM-610’hasX CR-23Hazard .ini file variable (VLOG) UM-503hazards-hazards argument to vlog CR-356, CR-367-hazards argument to vsim CR-384limitations on detection UM-137


Indexhelp command CR-175hierarchical referencesSystemC/HDL designs UM-201hierarchical references, mixed-language UM-199hierarchydriving signals in UM-385, UM-397forcing signals in UM-100, UM-391, UM-403referencing signals in UM-100, UM-388, UM-400releasing signals in UM-100, UM-393, UM-405viewing signal names without GR-253highlighting, in Source window GR-203historyof commandsshortcuts for reuse CR-18, UM-585history command CR-176hm_entity UM-611HOME environment variable UM-498HOME_0IN environment variable UM-498HP aCC, restrictions on compiling with UM-174II/OTextIO package UM-91VCD files UM-453iconsshapes and meanings GR-14ieee .ini file variable UM-503IEEE libraries UM-63IEEE Std 1076 UM-30differences between versions UM-74IEEE Std 1364 UM-30, UM-115IEEE Std P1800 UM-30IgnoreError .ini file variable UM-511IgnoreFailure .ini file variable UM-511IgnoreNote .ini file variable UM-511IgnoreVitalErrors .ini file variable UM-506IgnoreWarning .ini file variable UM-511implicit operator, hiding with vcom -explicit CR-312importing EVCD files, waveform editor GR-433importing FPGA libraries UM-67importing memory patterns GR-172+incdir+ CR-356Incremental .ini file variable UM-504incremental compilationautomatic UM-119manual UM-119with Verilog UM-118index checking UM-73indexed arrays, escaping square brackets CR-14$init_signal_driver UM-397init_signal_driver UM-385$init_signal_spy UM-400init_signal_spy UM-100, UM-388init_usertfs function UM-358, UM-542initialization of SystemC state-based code UM-180initialization sequence UM-597inliningVerilog modules UM-77, UM-127VHDL subprograms UM-73instancecode coverage UM-318instantiation in mixed-language designVerilog from VHDL UM-214VHDL from Verilog UM-218instantiation in SystemC-Verilog designSystemC from Verilog UM-225Verilog from SystemC UM-220instantiation in SystemC-VHDL designVHDL from SystemC UM-228instantiation in VHDL-SystemC designSystemC from VHDL UM-234interconnect delays CR-377, UM-450annotating per Verilog 2001 CR-387internal signals, adding to a VCD file CR-286interrupting design loading CR-372IOPATHmatching to specify path delays UM-444iteration_limit, infinite zero-delay loops UM-82IterationLimit .ini file variable UM-512JJobSpycommand syntax CR-177daemon UM-410overview UM-408jobspy CR-177jobspy command CR-177Kkeyboard shortcutsList window UM-590Main window UM-587Source window UM-587Wave window UM-591keywordsdisabling 2001 keywords CR-360enabling SystemVerilog keywords CR-359


IndexLSystemVerilog UM-116-L work UM-121language templates GR-199language versions, VHDL UM-74layout command CR-178lecho command CR-179left command CR-180libraries64-bit and 32-bit in same library UM-64archives CR-352creating UM-58dependencies, checking CR-323design libraries, creating CR-352, UM-58design library types UM-56design units UM-56group use, setting up UM-61IEEE UM-63importing FPGA libraries UM-67including precompiled modules GR-79, GR-89listing contents CR-323mappingfrom the command line UM-60from the <strong>GUI</strong> UM-60hierarchically UM-516search rules UM-61modelsim_lib UM-99moving UM-61multiple libraries with common modules UM-121naming UM-60predefined UM-62refreshing library images CR-310, CR-359, UM-64resource libraries UM-56std library UM-62Synopsys UM-63vendor supplied, compatibility of CR-323Verilog CR-384, UM-120, UM-203VHDL library clause UM-62working libraries UM-56working vs resource UM-24working with contents of UM-59library map file, Verilog configurations UM-125library mapping, overview UM-25library maps, Verilog 2001 UM-125library simulator state variable UM-520library, definition UM-24libsm UM-600libswift UM-600entry not found error UM-533License .ini file variable UM-512licensingLicense variable in .ini file UM-512linking SystemC source UM-177lint-style checks CR-357List panesee also pane, List paneList Signal Properties dialog GR-156List Signal Search dialog GR-154List window UM-257, GR-149adding items to CR-48context menu GR-152<strong>GUI</strong> changes UM-283setting triggers UM-281waveform comparison UM-296see also windows, List windowLM_LICEN<strong>SE</strong>_FILE environment variable UM-498Load Coverage Data dialog GR-98loading designs, interrupting CR-372loading the design, overview UM-26Locals window GR-163see also windows, Locals windowlocation maps, referencing source files UM-65locations mapsspecifying source files with UM-65lock message UM-529locking cursors UM-259log command CR-182log filelog command CR-182nolog command CR-201overview UM-237QuickSim II format CR-412redirecting with -l CR-376virtual log command CR-339virtual nolog command CR-342see also WLF filesLogic ModelingSmartModelcommand channel UM-604SmartModel Windowslmcwin commands UM-605memory arrays UM-606long simulationssaving at intervals UM-246LSFJobSpy integration UM-420lshift command CR-184lsublist command CR-185


IndexMMacro dialog GR-112macro_option command CR-186MacroNestingLevel simulator state variable UM-520macros (DO files) UM-487breakpoints, executing at CR-72creating from a saved transcript GR-19depth of nesting, simulator state variable UM-520error handling UM-489executing CR-149forcing signals, nets, or registers CR-169parametersas a simulator state variable (n) UM-520passing CR-149, UM-487total number passed UM-520relative directories CR-149shifting parameter values CR-260startup macros UM-517.main clear command CR-43Main window GR-16code coverage UM-323<strong>GUI</strong> changes UM-274see also windows, Main windowmanuals UM-35mappingdata types UM-202librariesfrom the command line UM-60hierarchically UM-516symbolsDataflow window UM-313SystemC in mixed designs UM-213SystemC to Verilog UM-209SystemC to VHDL UM-213Verilog states in mixed designs UM-203Verilog states in SystemC designs UM-208Verilog to SytemC, port and data types UM-208Verilog to VHDL data types UM-202VHDL to SystemC UM-205VHDL to Verilog data types UM-204mapping libraries, library mapping UM-60mapping signals, waveform editor GR-433master slave library (SystemC), including CR-249math_complex package UM-63math_real package UM-63+maxdelays CR-357, CR-368mc_scan_plusargs()using with an elaboration file UM-85, UM-142mc_scan_plusargs, PLI routine CR-386MDI frame GR-20, UM-275MDI panetab groups GR-20mem compare command CR-187mem display command CR-188mem list command CR-190mem load command CR-191mem save command CR-194mem search command CR-196memoriesdisplaying the contents of GR-166initializing GR-172loading memory patterns GR-172MTI memory data file GR-176navigating to memory locations GR-180navigation GR-169saving formats GR-168saving memory data to a file GR-175selecting memory instances GR-168sparse memory modeling UM-160viewing contents GR-168viewing multiple instances GR-168memorymodeling in VHDL UM-104memory allocation profiler UM-364Memory Declaration, View menu UM-291memory leak, cancelling scheduled events UM-111Memory pane GR-166paneMemory panesee also Memory panememory tabmemories you can view GR-167Memory window GR-166<strong>GUI</strong> changes UM-288modifying display GR-181see also windows, Memory windowmemory windowadd memory command CR-51adding items to CR-51memory, comparing contents CR-187memory, displaying contents CR-188memory, listing CR-190memory, loading contents CR-191memory, saving contents CR-194memory, searching for patterns CR-196menu options grayed-out UM-275menusDataflow window GR-135List window GR-151Main window GR-23Profiler windows GR-193


IndexSource window GR-204Wave window GR-214merging coverage data UM-340merging coverage reports CR-314message system UM-524messages UM-523bad magic number UM-239echoing CR-154empty port name warning UM-529exit codes UM-527getting more information CR-324, UM-524loading, disabling with -quiet CR-359, CR-369loading, disbling with -quiet CR-310lock message UM-529long description UM-524message system variables UM-515metavalue detected UM-530redirecting UM-513sensitivity list warning UM-530suppressing warnings from arithmetic packagesUM-517Tcl_init error UM-530too few port connections UM-532turning off assertion messages UM-517VSIM license lost UM-533warning, suppressing UM-526metavalue detected warning UM-530MGC_LOCATION_MAP env variable UM-65MGC_LOCATION_MAP variable UM-499+mindelays CR-357, CR-368MinGW gcc UM-550, UM-556missed coveragebranches GR-126Missed Coverage pane GR-126mixed-language simulation UM-198access limitations UM-199mnemonics, assigning to signal values CR-350mode, setting default coverage UM-336MODEL_TECH environment variable UM-498MODEL_TECH_TCL environment variable UM-498modeling memory in VHDL UM-104MODELSIM environment variable UM-499modelsim.inifound by the tool UM-597default to VHDL93 UM-518delay file opening with UM-518environment variables in UM-516force command default, setting UM-518hierarchical library mapping UM-516opening VHDL files UM-518restart command defaults, setting UM-518startup file, specifying with UM-517transcript file created from UM-516turning off arithmetic package warnings UM-517turning off assertion messages UM-517modelsim.tcl GR-271modelsim_lib UM-99path to UM-503MODELSIM_PREFERENCES variableUM-499, GR-270MODELSIM_TCL environment variable UM-499modes of operation UM-27Modified field, Project tab UM-45Modify Breakpoints dialog GR-105Modify Display Properties dialog GR-159moduleshandling multiple, common names UM-121with unnamed ports UM-217Monitor windowgrouping/ungrouping objects GR-207monitor window GR-206monitors, dual, font scaling GR-34mouse shortcutsMain window UM-587Source window UM-587Wave window UM-591.mpf file UM-38loading from the command line UM-54order of access during startup UM-594MTI memory data file GR-176mti_cosim_trace environment variable UM-499mti_inhibit_inline attribute UM-73MTI_SYSTEMC macro UM-173MTI_TF_LIMIT environment variable UM-499MTI_VCO_MODE environment variable UM-499multiple document interface GR-20, UM-275multiple drivers on unresolved signal GR-61, GR-70Multiple simulations UM-237multi-source interconnect delays CR-377Nn simulator state variable UM-520name case sensitivity, VHDL vs. Verilog CR-14Name fieldProject tab UM-45name visibility in Verilog generates UM-126names, modules with the same UM-121ncsim, one step simulation CR-233negative pulsesdriving an error state CR-387


Indexnegative timing$setuphold/$recovery UM-155algorithm for calculating delays UM-138check limits UM-138extending check limits CR-384netsDataflow window, displaying in UM-300, GR-134drivers of, displaying CR-152readers of, displaying CR-235stimulus CR-169values ofdisplaying in Objects window GR-182examining CR-160saving as binary log file UM-238waveforms, viewing GR-209new features UM-273next and previous edges, finding UM-592next command CR-199Nlview widget Symlib format UM-313no space in time literal GR-61, GR-70-no_risefall_delaynets CR-385NoCaseStaticError .ini file variable UM-506NoDebug .ini file variable (VCOM) UM-506NoDebug .ini file variable (VLOG) UM-504-nodebug argument (vcom) CR-308-nodebug argument (vlog) CR-357, CR-368noforce command CR-200NoIndexCheck .ini file variable UM-506+nolibcell CR-357, CR-368nolog command CR-201NOMMAP environment variable UM-499non-blocking assignments UM-136NoOthersStaticError .ini file variable UM-506NoRangeCheck .ini file variable UM-506Note .ini file variable UM-515notepad command CR-203Notepad windows, text editing UM-587-notrigger argument UM-283noview command CR-204NoVital .ini file variable UM-506NoVitalCheck .ini file variable UM-506Now simulator state variable UM-520now simulator state variable UM-520+nowarn CR-358, CR-369nowhen command CR-205numeric_bit package UM-63numeric_std package UM-63disabling warning messages UM-517NumericStdNoWarnings .ini file variable UM-512Oobjectdefined UM-34object_list_file, WLF files CR-415Objects window GR-182see also windows, Objects windowobserve function, SystemC UM-201observe_foreign_signal() function UM-199onbreak command CR-206onElabError command CR-207onerror command CR-208Open File dialog GR-47opening files GR-47operating systems supported, See Installation GuideOptimization Configuration dialog GR-53Optimization Configurations UM-49optimizationsdesign object visibility UM-129disabling for Verilog designs CR-358, CR-369disabling for VHDL designs CR-309disabling process merging CR-305event order issues UM-130timing checks UM-130Verilog designs UM-77, UM-127VHDL subprogram inlining UM-73via the gui GR-78vopt command CR-365optimize for std_logic_1164 GR-61, GR-70Optimize_1164 .ini file variable UM-506OptionFile entry in project files GR-64, GR-73order of eventschanging in Verilog CR-354, CR-366in optimized designs UM-130ordering files for compile UM-46organizing projects with folders UM-50organizing windows, MDI pane GR-20OSCI simulator, differences with vsim UM-188others .ini file variable UM-503overview, simulation tasks UM-23PP1800, IEEE Std UM-30packagesstandard UM-62textio UM-62util UM-99VITAL 1995 UM-96VITAL 2000 UM-96


Indexpage setupDataflow window UM-312Wave window UM-277, GR-228pan, Dataflow window UM-305panesdocking and undocking GR-263Memory panes GR-166parameter supportSystemC instantiating Verilog UM-222Verilog instantiating SystemC UM-225parametersmaking optional UM-488using with macros CR-149, UM-487path delay mode UM-149path delays,matching to IOPATH statements UM-444pathnamescomparisons UM-294hiding in Wave window UM-269in VSIM commands CR-10spaces in CR-9PathSeparator .ini file variable UM-512pause command CR-209PedanticErrors .ini file variable UM-506performancecancelling scheduled events UM-111improving for Verilog simulations UM-77, UM-127vopt command CR-365platformschoosing 32- versus 64-bit UM-499platforms supported, See Installation Guideplay command CR-210PLIloading shared objects with global symbol visibilityCR-375, UM-562specifying which apps to load UM-543Veriuser entry UM-543PLI/VPI UM-162, UM-541debugging UM-345tracing UM-580PLIOBJS environment variable UM-499, UM-543pop command CR-211popuptoggling waveform popup on/off UM-295, GR-254port collapsing, toggle coverage UM-328Port driver data, capturing UM-465ports, unnamed, in mixed designs UM-217ports, VHDL and Verilog UM-202Postscriptsaving a waveform in UM-277saving the Dataflow display in UM-310power add command CR-212power report command CR-213power reset command CR-214pragmas UM-331precedence of variables UM-519precision, simulator resolution UM-131, UM-200PrefCoverage(DefaultCoverageMode) UM-336PrefCoverage(pref_InitFilterFrom) UM-333Preference dialog GR-114preference variables.ini files, located in UM-502editing GR-269saving GR-269preferencesdrag and drop GR-113saving GR-269PrefMain(EnableCommandHelp) GR-19PrefMain(ShowFilePane) preference variable GR-18PrefMemory(ExpandPackedMem) variable GR-167primitives, symbols in Dataflow window UM-313Print dialog GR-141Print Postscript dialog GR-143printenv command CR-215, CR-216printingDataflow window display UM-310waveforms in the Wave window UM-277processesoptimizations, disabling merging CR-305without wait statements GR-61, GR-70profile clear command CR-217profile interval command CR-218profile off command CR-219profile on command CR-220profile option command CR-221profile reload command CR-222profile report command CR-223, UM-378Profile Report dialog GR-103, GR-195Profiler UM-363%parent fields UM-371clear profile data UM-367enabling memory profiling UM-365enabling statistical sampling UM-367getting started UM-365handling large files UM-366Hierarchical View UM-371interpreting data UM-369memory allocation UM-364memory allocation profiling UM-367profile report command UM-378Profile Report dialog UM-379, GR-103Ranked View UM-370report option UM-378


Indexreporting GR-103results, viewing UM-370statistical sampling UM-364Structural View UM-373unsupported on Opteron UM-363view_profile command UM-370viewing profile details UM-374Programming Language Interface UM-162, UM-541Project Compiler Settings dialog GR-58Project Settings dialog GR-65project tabinformation in UM-45sorting UM-45projects UM-37accessing from the command line UM-54adding files to UM-41benefits UM-38close UM-44code coverage settings UM-321compile order UM-46changing UM-46compiler properties in UM-52compiling files UM-43creating UM-40creating simulation configurations UM-48delete UM-44folders in UM-50grouping files in UM-47loading a design UM-44MODELSIM environment variable UM-499open and existing UM-44override mapping for work directory with vcom CR-249, CR-311override mapping for work directory with vlog CR-233, CR-360overview UM-38propagation, preventing X propagation CR-377Properties (memory) dialog GR-181property list command CR-226property wave command CR-227Protect .ini file variable (VLOG) UM-504‘protect compiler directive UM-158protected types UM-104pulse error state CR-387push command CR-229pwd command CR-230Qquick referencetable of simulation tasks UM-23QuickSim II logfile format CR-412Quiet .ini file variableVCOM UM-506Quiet .ini file variable (VLOG) UM-504quietly command CR-231quit command CR-232qverilog command CR-233DPI support UM-548Rrace condition, problems with event order UM-134radixchanging in Objects, Locals, Dataflow, List, andWave windows CR-234character strings, displaying CR-350default, DefaultRadix variable UM-511List window UM-274of signals being examined CR-161of signals in Wave window CR-55specifying in Memory window GR-181Wave window UM-269radix command CR-234range checking UM-73disabling CR-309enabling CR-310readers and drivers UM-303readers command CR-235real type, converting to time UM-102rebuilding supplied libraries UM-63reconstruct RTL-level design busses UM-249record command CR-236record field selection, syntax CR-11records, values of, changing GR-164$recovery UM-155redirecting messages, TranscriptFile UM-513reference region UM-290refreshing library images CR-310, CR-359, UM-64registered function calls UM-353registersvalues ofdisplaying in Objects window GR-182saving as binary log file UM-238waveforms, viewing GR-209reportsimulator control UM-498simulator state UM-498report command CR-237reporting


Indexcode coverage UM-335variable settings CR-16RequireConfigForAllDefaultBinding variable UM-506resolutionin SystemC simulation UM-179mixed designs UM-200returning as a real UM-99specifying with -t argument CR-379verilog simulation UM-131VHDL simulation UM-79Resolution .ini file variable UM-512resolution simulator state variable UM-520resource libraries UM-62restart command CR-239defaults UM-518in <strong>GUI</strong> GR-32toolbar button GR-42, GR-133, GR-220Restart dialog GR-97restore command CR-241results, saving simulations UM-237resume command CR-242right command CR-243RTL-level design bussesreconstructing UM-249run command CR-245RunLength .ini file variable UM-513Runtime Options dialog GR-94Ssavingsimulation options in a project UM-48waveforms UM-237saving <strong>GUI</strong> preferences GR-270saving memory, dialog GR-175saving simulations UM-87, UM-144sc_argc() function UM-189sc_argv() function UM-189sc_clock() functions, moving UM-169sc_cycle() function UM-188sc_fifo UM-187sc_fix and sc_ufix UM-189sc_fixed and sc_ufixed UM-189sc_foreign_module UM-229and parameters UM-222sc_initialize(), removing calls UM-188sc_main() function UM-188sc_main() function, converting UM-169SC_MODULE_EXPORT macro UM-170sc_signed and sc_unsigned UM-189sc_start() function UM-188sc_start() function, replacing in SystemC UM-188sc_start(), replacing UM-169ScalarOpts .ini file variable UM-504, UM-506scaling fonts GR-15sccomusing sccom vs. raw C++ compiler UM-175sccom command CR-247sccom -link command UM-177, UM-235sccomLogfile .ini file variable (sccom) UM-508sccomVerbose .ini file variable (sccom) UM-508scgenmod command CR-251scgenmod, using UM-220, UM-228-sclib command CR-370, CR-388scope, setting region environment CR-159ScTimeUnit .ini file variable UM-513SCV library, including CR-249SDFcompiled SDF CR-253, UM-440controlling missing instance messages CR-379disabling individual checks CR-268disabling timing checks UM-450errors and warnings UM-439errors on loading, disabling CR-379instance specification UM-438interconnect delays UM-450mixed VHDL and Verilog designs UM-449specification with the <strong>GUI</strong> UM-439troubleshooting UM-451Verilog$sdf_annotate system task UM-443optional conditions UM-448optional edge specifications UM-447rounded timing values UM-448SDF to Verilog construct matching UM-444VHDLresolving errors UM-442SDF to VHDL generic matching UM-441warning messages, disabling CR-379$sdf_done UM-154sdfcom command CR-253search command CR-254search libraries CR-384, GR-79, GR-89searchingbinary signal values in the <strong>GUI</strong> CR-28Expression Builder UM-267in the source window GR-202List windowsignal values, transitions, and names CR-21,CR-150, CR-284next and previous edge in Wave window CR-180,


IndexCR-243Verilog libraries UM-120, UM-218Wave windowsignal values, edges and names CR-180, CR-243, GR-231searchlog command CR-256seetime command CR-258sensitivity list warning UM-530setenv command CR-259$setuphold UM-155severity, changing level for errors UM-524shared librarybuilding in SystemC UM-177, GR-31shared objectsloading FLI applicationssee FLI <strong>Reference</strong> manualloading PLI/VPI C applications UM-549loading PLI/VPI C++ applications UM-555loading with global symbol visibility CR-375, UM-562shift command CR-260Shortcutstext editing UM-587shortcutscommand history CR-18, UM-585command line caveat CR-17, UM-585List window UM-590Main window UM-587Source window UM-587Wave window UM-591show command CR-261show driversDataflow window UM-303Wave window UM-284show source lines with errors GR-60, GR-69Show_ WarnMatchCadence .ini file variable UM-504Show_BadOptionWarning .ini file variable UM-504Show_Lint .ini file variableVCOM UM-506Show_Lint .ini file variable (VLOG) UM-504Show_source .ini file variableVCOM UM-507Show_source .ini file variable (VLOG) UM-504Show_VitalChecksOpt .ini file variable UM-507Show_VitalChecksWarning .ini file variable UM-507Show_WarnCantDoCoverage .ini file variable UM-504Show_WarnCantDoCoverage variable UM-507Show_Warning1 .ini file variable UM-507Show_Warning10 .ini file variable UM-507Show_Warning2 .ini file variable UM-507Show_Warning3 .ini file variable UM-507Show_Warning4 .ini file variable UM-507Show_Warning5 .ini file variable UM-507Show_Warning9 .ini file variable UM-507Show_WarnLocallyStaticError variable UM-507ShowUnassociatedScNameWarning variable UM-513ShowUndebuggableScTypeWarning variable UM-513Signal Breakpoints dialog GR-107signal interactionVerilog and SystemC UM-205Signal Spy UM-100, UM-388disable UM-383, UM-395enable UM-384, UM-396overview UM-382$signal_force UM-403signal_force UM-100, UM-391$signal_release UM-405signal_release UM-100, UM-393signalsalternative names in the List window (-label) CR-48alternative names in the Wave window (-label) CR-54applying stimulus to GR-184attributes of, using in expressions CR-23breakpoints CR-406combining into a user-defined bus CR-54, UM-279Dataflow window, displaying in UM-300, GR-134drivers of, displaying CR-152driving in the hierarchy UM-385environment of, displaying CR-159filtering in the Objects window GR-183finding CR-165force time, specifying CR-170hierarchydriving in UM-385, UM-397referencing in UM-100, UM-388, UM-400releasing anywhere in UM-393releasing in UM-100, UM-405log file, creating CR-182names of, viewing without hierarchy GR-253pathnames in VSIM commands CR-10radixspecifying for examine CR-161specifying in List window CR-49specifying in Wave window CR-55readers of, displaying CR-235sampling at a clock change UM-283states of, displaying as mnemonics CR-350stimulus CR-169transitions, searching for UM-263types, selecting which to view GR-183unresolved, multiple drivers on GR-61, GR-70


Indexvalues ofdisplaying in Objects window GR-182examining CR-160forcing anywhere in the hierarchy UM-100,UM-391, UM-403replacing with text CR-350saving as binary log file UM-238waveforms, viewing GR-209Signals (Objects) window UM-292simulatingbatch mode UM-27command-line mode UM-27Comparing simulations UM-237default run length GR-95delays, specifying time units for CR-17design unit, specifying CR-372elaboration file UM-83, UM-140graphic interface to GR-85iteration limit GR-95mixed language designscompilers UM-199libraries UM-199resolution limit in UM-200mixed Verilog and SystemC designschannel and port type mapping UM-205SystemC sc_signal data type mapping UM-206Verilog port direction UM-208Verilog state mapping UM-208mixed Verilog and VHDL designsVerilog parameters UM-202Verilog state mapping UM-203VHDL and Verilog ports UM-202VHDL generics UM-204mixed VHDL and SystemC designsSystemC state mapping UM-213VHDL port direction UM-212VHDL port type mapping UM-210VHDL sc_signal data type mapping UM-210ncsim style CR-233one step CR-233saving dataflow display as a Postscript file UM-310saving options in a project UM-48saving simulations CR-182, CR-381, UM-237saving waveform as a Postscript file UM-277speeding-up with the Profiler UM-363stepping through a simulation CR-265stimulus, applying to signals and nets GR-184stopping simulation in batch mode CR-409SystemC UM-163, UM-178usage flow for SystemC only UM-168time resolution GR-86Verilog UM-131delay modes UM-148hazard detection UM-137optimizing performance UM-77, UM-127resolution limit UM-131XL compatible simulator options UM-138VHDL UM-79viewing results in List pane GR-149viewing results in List window UM-257VITAL packages UM-98simulating the design, overview UM-26simulationbasic steps for UM-24Simulation Configurationcreating UM-48dialog GR-54simulation farmsJobSpy UM-408jobspy CR-177simulation task overview UM-23simulationsevent order in UM-134saving results CR-141, CR-142, UM-237saving results at intervals UM-246saving with checkpoint UM-87, UM-144simulator resolutionmixed designs UM-200returning as a real UM-99SystemC UM-179Verilog UM-131VHDL UM-79vsim -t argument CR-379simulator state variables UM-520simulator version CR-380, CR-391simulator, difference from OSCI UM-188simultaneous events in Verilogchanging order CR-354, CR-366sizetf callback function UM-568sm_entity UM-601SmartModelscreating foreign architectures with sm_entity UM-601invoking SmartModel specific commands UM-604linking to UM-600lmcwin commands UM-605memory arrays UM-606Verilog interface UM-607VHDL interface UM-600so, shared object fileloading PLI/VPI C applications UM-549loading PLI/VPI C++ applications UM-555


Indexsoftware version GR-40source balloonC Debug GR-109source code pragmas UM-331source code, security UM-68, UM-158source directory, setting GR-24, GR-204source files, referencing with location maps UM-65source files, specifying with location maps UM-65source highlighting, customizing GR-203source librariesarguments supporting UM-122source lines with errorsshowing GR-60, GR-69Source window GR-197code coverage data UM-324colorization GR-203tab stops in GR-203see also windows, Source windowsource-level debugSystemC, enabling UM-183spaces in pathnames CR-9sparse memorieslisting with write report CR-425sparse memory modeling UM-160SparseMemThreshhold .ini file variable UM-504specify path delays CR-387matching to IOPATH statements UM-444speeding-up the simulation UM-363square brackets, escaping CR-14stability checkingdisabling CR-87enabling CR-88Standard Developer’s Kit User Manual UM-35standards supported UM-30Start Simulation dialog GR-85start_of_simulation() function UM-189startupalternate to startup.do (vsim -do) CR-373environment variables access during UM-595files accessed during UM-594macro in the modelsim.ini file UM-513macros UM-517startup macro in command-line mode UM-27using a startup file UM-517Startup .ini file variable UM-513state variables UM-520statistical sampling profiler UM-364status barMain window GR-22status command CR-264Status fieldProject tab UM-45std .ini file variable UM-503std_arith packagedisabling warning messages UM-517std_developerskit .ini file variable UM-503Std_logicmapping to binary radix CR-28std_logic_arith package UM-63std_logic_signed package UM-63std_logic_textio UM-63std_logic_unsigned package UM-63StdArithNoWarnings .ini file variable UM-513STDOUT environment variable UM-499step command CR-265steps for simulation, overview UM-24stimulusapplying to signals and nets GR-184modifying for elaboration file UM-84, UM-141stop command CR-266struct of sc_signal UM-185subprogram inlining UM-73subprogram write is ambiguous error, fixing UM-93Support UM-36Suppress .ini file variable UM-515symbol mappingDataflow window UM-313symbolic constants, displaying CR-350symbolic link to design libraries (UNIX) UM-61symbolic names, assigning to signal values CR-350Synopsis hardware modeler UM-610synopsys .ini file variable UM-503Synopsys libraries UM-63syntax highlighting GR-203synthesisrule compliance checking CR-306, UM-506, GR-60, GR-69system callsVCD UM-459Verilog UM-150system commands UM-479system tasksproprietary UM-153VCD UM-459Verilog UM-150Verilog-XL compatible UM-154SystemCaggregates of signals/ports UM-185class and structure member naming syntax CR-11compiling for source level debug UM-172compiling optimized code UM-172component declaration for instantiation UM-234


Indexconstruction parameters UM-189control function UM-201converting sc_main() UM-169exporting sc_main, example UM-170exporting top level module UM-170fixed-point types UM-189foreign module declaration UM-220generic support, instantiating VHDL UM-230hierarchical references in mixed designs UM-201instantiation criteria in Verilog design UM-225instantiation criteria in VHDL design UM-234Link dialog GR-76linking the compiled source UM-177maintaining design portability UM-173mapping states in mixed designs UM-213VHDL UM-213master slave library, including CR-249mixed designs with Verilog UM-198mixed designs with VHDL UM-198observe function UM-201parameter support, Verilog instances UM-222prim channel aggregates UM-185replacing sc_start() UM-169sc_clock(), moving to SC_CTOR UM-169sc_fifo UM-187simulating UM-178source code, modifying for vsim UM-169specifying shared library path, command CR-370,CR-388stack space for threads UM-192state-based code, initializing and cleanup UM-180troubleshooting UM-192unsupported functions UM-188verification library, including CR-249viewable/debuggable objects UM-181viewing FIFOs UM-187virtual functions UM-180SystemC modulesexporting for use in Verilog UM-225exporting for use in VHDL UM-235SystemVerilogenabling with -sv argument CR-359keyword considerations UM-116suppported implementation details UM-30SystemVerilog DPIregistering DPIapplications UM-546specifying the DPI file to load UM-561Ttab groups GR-20tab stopsSource window GR-203tb command CR-267tcheck_set command CR-268tcheck_status command CR-270Tcl UM-472–UM-482command separator UM-478command substitution UM-477command syntax UM-474evaluation order UM-478history shortcuts CR-18, UM-585Man Pages in Help menu GR-40preference variables GR-269relational expression evaluation UM-478time commands UM-481variablein when commands CR-407substitution UM-479VSIM Tcl commands UM-480Tcl_init error message UM-530Technical support and updates UM-36temp files, VSOUT UM-501test signaldelaying GR-242testbench, accessing internal objectsfrom UM-381text and command syntax UM-34Text editing UM-587TEXTIObuffer, flushing UM-95TextIO packagealternative I/O files UM-95containing hexadecimal numbers UM-94dangling pointers UM-94ENDFILE function UM-94ENDLINE function UM-94file declaration UM-91implementation issues UM-93providing stimulus UM-95standard input UM-92standard output UM-92WRITE procedure UM-93WRITE_STRING procedure UM-93TF routines UM-574, UM-576TFMPCdisabling warning CR-386explanation UM-532timeabsolute, using @ CR-17


Indexmeasuring in Wave window UM-259resolution in SystemC UM-179simulation time units CR-17time resolution as a simulator state variable UM-520time collapsing CR-381, UM-247time literal, missing space GR-61, GR-70time resolutionin mixed designs UM-200in Verilog UM-131in VHDL UM-79settingwith the <strong>GUI</strong> GR-86with vsim command CR-379time typeconverting to real UM-101time unitin SystemC UM-179time, time units, simulation time CR-17timescale directive warningdisabling CR-386investigating UM-132timing$setuphold/$recovery UM-155annotation UM-437differences shown by comparison UM-294disabling checks CR-358, CR-369, UM-450disabling checks for entire design CR-378disabling individual checks CR-268in optimized designs UM-130negative check limitsdescribed UM-138extending CR-384status of individual checks CR-270title, Main window, changing CR-380TMPDIR environment variable UM-499to_real VHDL function UM-101to_time VHDL function UM-102toggle add command CR-272toggle coverage UM-326excluding signals CR-274port collapsing UM-328viewing in Signals window UM-326toggle disable command CR-274toggle enable command CR-275toggle report command CR-276toggle reset command CR-278toggle statisticsenabling CR-272reporting CR-276resetting CR-278toggling waveform popup on/off UM-295, GR-254toleranceleading edge UM-291trailing edge UM-291too few port connections, explanation UM-532tool structure UM-22toolbarDataflow window GR-138Main window GR-41Wave window GR-218waveform editor GR-221tooltip, toggling waveform popup GR-254tracingevents UM-306source of unknown UM-307transcribe command CR-279transcriptclearing CR-43disable file creation UM-516, GR-19file name, specifed in modelsim.ini UM-516redirecting with -l CR-376reducing file size CR-281saving GR-19using as a DO file GR-19transcript command CR-280transcript file command CR-281TranscriptFile .ini file variable UM-513transitions, signal, finding CR-180, CR-243TreeUpdate command CR-422triggers, in the List window UM-281triggers, in the List window, setting UM-280, GR-160troubleshootingSystemC UM-192unexplained behaviors, SystemC UM-192TSCALE, disabling warning CR-386TSSI CR-428in VCD files UM-465tssi2mti command CR-282typeconverting real to time UM-102converting time to real UM-101Type field, Project tab UM-45types, fixed-point in SystemC UM-188U-u CR-360unbound component GR-61, GR-70UnbufferedOutput .ini file variable UM-513undeclared nets, reporting an error CR-357undefined symbol, error UM-192


Indexunexplained behavior during simulation UM-192unexplained simulation behavior UM-192ungrouping objects, Monitor window GR-207unit delay mode UM-149unknowns, tracing UM-307unnamed ports, in mixed designs UM-217unresolved signals, multiple drivers on GR-61, GR-70unsetenv command CR-283unsupported functions in SystemC UM-188up command CR-284UpCase .ini file variable UM-504use 1076-1993 language standard GR-59, GR-69use clause, specifying a library UM-62use explicit declarations only GR-60, GR-69use flowCode Coverage UM-318SystemC-only designs UM-168UseCsupV2 .ini file variable UM-513user hook Tcl variable GR-119user-defined bus CR-54, UM-248, UM-279UserTimeUnit .ini file variable UM-513UseScv .ini file variable (sccom) UM-508util package UM-99V-v CR-360v2k_int_delays CR-387valuesdescribe HDL items CR-145examine HDL item values CR-160of HDL items GR-201replacing signal values with strings CR-350variable settings report CR-16variablesdescribing CR-145environment variables UM-498LM_LICEN<strong>SE</strong>_FILE UM-498precedence between .ini and .tcl UM-519reading from the .ini file UM-515referencing in commands CR-16setting environment variables UM-498simulator state variablescurrent settings report UM-498iteration number UM-520name of entity or module as a variable UM-520resolution UM-520simulation time UM-520value ofchanging from command line CR-77changing with the <strong>GUI</strong> GR-164examining CR-160values ofdisplaying in Objects window GR-182saving as binary log file UM-238Variables (Locals) window UM-296variables, Tcl, user hook GR-119vcd add command CR-286vcd checkpoint command CR-287vcd comment command CR-288vcd dumpports command CR-289vcd dumpportsall command CR-291vcd dumpportsflush command CR-292vcd dumpportslimit command CR-293vcd dumpportsoff command CR-294vcd dumpportson command CR-295vcd file command CR-296VCD files UM-453adding items to the file CR-286capturing port driver data CR-289, UM-465case sensitivity UM-454converting to WLF files CR-304creating CR-286, UM-454dumping variable values CR-287dumpports tasks UM-459exporting created waveforms GR-432flushing the buffer contents CR-300from VHDL source to VCD output UM-461generating from WLF files CR-414inserting comments CR-288internal signals, adding CR-286specifying maximum file size CR-301specifying name of CR-298specifying the file name CR-296state mapping CR-296, CR-298stimulus, using as UM-456supported TSSI states UM-465turn off VCD dumping CR-302turn on VCD dumping CR-303VCD system tasks UM-459viewing files from another tool CR-304vcd files command CR-298vcd flush command CR-300vcd limit command CR-301vcd off command CR-302vcd on command CR-303vcd2wlf command CR-304vcomenabling code coverage UM-321vcom command CR-305vcover command UM-341


Indexvcover convert command CR-313vcover merge command CR-314vcover rank command CR-316vcover report command CR-317vcover utility UM-341vdel command CR-322vdir command CR-323vector elements, initializing CR-77vendor libraries, compatibility of CR-323VerilogACC routines UM-572capturing port driver data with -dumpports CR-296,UM-465cell libraries UM-148compiler directives UM-157compiling and linking PLI C applications UM-549compiling and linking PLI C++ applications UM-555compiling design units UM-116compiling with XL ’uselib compiler directive UM-123component declaration UM-215configurations UM-125event order in simulation UM-134generate statements UM-126instantiation criteria in mixed-language design UM-214instantiation criteria in SystemC design UM-220instantiation of VHDL design units UM-218language templates GR-199library usage UM-120mapping states in mixed designs UM-203mapping states in SystemC designs UM-208mixed designs with SystemC UM-198mixed designs with VHDL UM-198parameter support, instantiating SystemC UM-225parameters UM-202port direction UM-208sc_signal data type mapping UM-206SDF annotation UM-443sdf_annotate system task UM-443simulating UM-131delay modes UM-148XL compatible options UM-138simulation hazard detection UM-137simulation resolution limit UM-131SmartModel interface UM-607source code viewing GR-197standards UM-30system tasks UM-150TF routines UM-574, UM-576to SystemC, channel and port type mapping UM-205XL compatible compiler options UM-122XL compatible routines UM-578XL compatible system tasks UM-154verilog .ini file variable UM-503Verilog 2001disabling support CR-360, UM-504Verilog PLI/VPI64-bit support in the PLI UM-579compiling and linking PLI/VPI C applications UM-549compiling and linking PLI/VPI C++ applicationsUM-555debugging PLI/VPI code UM-580PLI callback reason argument UM-566PLI support for VHDL objects UM-571registering PLI applications UM-542registering VPI applications UM-544specifying the PLI/VPI file to load UM-561Verilog-XLcompatibility with UM-113, UM-539Veriuser .ini file variable UM-513, UM-543Veriuser, specifying PLI applications UM-543veriuser.c file UM-570verror command CR-324versionobtaining via Help menu GR-40obtaining with vsim command CR-380obtaining with vsim commands CR-391vgencomp command CR-325VHDLcompiling design units UM-72creating a design library UM-72delay file opening UM-518dependency checking UM-72field naming syntax CR-11file opening delay UM-518foreign language interface UM-103hardware model interface UM-610instantiation criteria in SystemC design UM-228instantiation from Verilog UM-218instantiation of Verilog UM-202language templates GR-199language versions UM-74library clause UM-62mixed designs with SystemC UM-198mixed designs with Verilog UM-198object support in PLI UM-571optimizationsinlining UM-73


Indexport direction UM-212port type mapping UM-210sc_signal data type mapping UM-210simulating UM-79SmartModel interface UM-600source code viewing GR-197standards UM-30timing check disabling UM-79VITAL package UM-63VHDL utilities UM-99, UM-100, UM-388, UM-400get_resolution() UM-99to_real() UM-101to_time() UM-102VHDL-1987, compilation problems UM-74VHDL-1993, enabling support for CR-305, UM-507VHDL-2002, enabling support for CR-305, UM-507VHDL93 .ini file variable UM-507view command CR-327view_profile command UM-370viewinglibrary contents UM-59waveforms CR-381, UM-237viewing FIFOs UM-187virtual compare signal, restrictions UM-279virtual count commands CR-330virtual define command CR-331virtual delete command CR-332virtual describe command CR-333virtual expand commands CR-334virtual function command CR-335virtual functions in SystemC UM-180virtual hide command CR-338, UM-249virtual log command CR-339virtual nohide command CR-341virtual nolog command CR-342virtual objects UM-248virtual functions UM-249virtual regions UM-250virtual signals UM-248virtual types UM-250virtual region command CR-344, UM-250virtual regionsreconstruct the RTL hierarchy in gate-level designUM-250virtual save command CR-345, UM-249virtual show command CR-346virtual signal command CR-347, UM-248virtual signalsreconstruct RTL-level design busses UM-249reconstruct the original RTL hierarchy UM-249virtual hide command UM-249virtual type command CR-350visibilitycolumn in structure tab UM-242VITALcompiling and simulating with accelerated VITALpackages UM-98compliance warnings UM-97disabling optimizations for debugging UM-98specification and source code UM-96VITAL packages UM-96vital95 .ini file variable UM-503vlib command CR-352vlogenabling code coverage UM-321vlog command CR-354vlog.opt file GR-64, GR-73vlog95compat .ini file variable UM-504VlogZeroInOptions .ini file variable UM-504vmake command CR-363vmap command CR-364voptgui access GR-78vopt command CR-365, UM-77, UM-127VoptFlow .ini file variable UM-514VoptZeroIn .ini file variable UM-504VoptZeroInOptions .ini file variable UM-505VPI, registering applications UM-544VPI/PLI UM-162, UM-541compiling and linking C applications UM-549compiling and linking C++ applications UM-555vsim build date and version CR-391vsim command CR-372VSIM license lost UM-533vsim, differences with OSCI simulator UM-188VSOUT temp file UM-501WWarning .ini file variable UM-515WARNING[8], -lint argument to vlog CR-357warningsdisabling at time 0 UM-517empty port name UM-529exit codes UM-527getting more information UM-524messages, long description UM-524metavalue detected UM-530SDF, disabling CR-379severity level, changing UM-524suppressing VCOM warning messages CR-309,


IndexCR-358, CR-369, UM-526suppressing VLOG warning messages CR-358, CR-369, UM-526suppressing VSIM warning messages CR-386, UM-526Tcl initialization error 2 UM-530too few port connections UM-532turning off warnings from arithmetic packages UM-517waiting for lock UM-529watch windowadd watch command CR-52adding items to CR-52watching a signal value GR-206watching signal values CR-52wave commands CR-393wave create command CR-396wave edit command CR-399wave export command CR-402wave import command CR-403Wave Log Format (WLF) file UM-237wave log format (WLF) file CR-381of binary signal values CR-182see also WLF fileswave modify command CR-404wave viewer, Dataflow window UM-304Wave window UM-254, GR-209adding items to CR-53compare waveforms UM-294docking and undocking UM-255, GR-210in the Dataflow window UM-304saving layout UM-276toggling waveform popup on/off UM-295, GR-254values column UM-295see also windows, Wave windowWaveActivateNextPane command CR-422Waveform Comparison CR-90add region UM-290adding signals UM-289annotating differences UM-295clocked comparison UM-291compare by region UM-290compare by signal UM-289compare options UM-293compare tab UM-288comparison method UM-291comparison method tab UM-291created waveforms, using with GR-434delaying the test signal GR-242difference markers UM-294flattened designs UM-298hierarchical designs UM-298icons UM-296introduction UM-285leading edge tolerance UM-291List window display UM-296pathnames UM-294reference dataset UM-287reference region UM-290test dataset UM-288timing differences UM-294trailing edge tolerance UM-291values column UM-295Wave window display UM-294waveform editorcreating waveforms GR-427creating waves CR-396editing commands CR-399editing waveforms GR-428importing vcd stimulus file CR-403mapping signals GR-433modifying existing waves CR-404saving stimulus files GR-432saving waves CR-402simulating GR-431toolbar buttons GR-221Waveform Compare, using with GR-434waveform logfilelog command CR-182overview UM-237see also WLF fileswaveform popup UM-295, GR-254waveforms UM-237optimize viewing of UM-514optimizing viewing of CR-382saving and viewing CR-182, UM-238viewing GR-209WaveRestoreCursors command CR-422WaveRestoreZoom command CR-422WaveSignalNameWidth .ini file variable UM-514when command CR-406when statementtime-based breakpoints CR-410where command CR-411wildcard charactersfor pattern matching in simulator commands CR-16windowsActive Processes pane GR-120buttons, adding to GR-118code coverage statistics UM-323Dataflow window UM-300, GR-134toolbar GR-138


Indexzooming UM-305List window UM-257, GR-149display properties of UM-274formatting HDL items UM-274output file CR-423saving data to a file UM-278saving the format of CR-421setting triggers UM-280, UM-281, GR-160Locals window GR-163Main window GR-16adding user-defined buttons CR-45status bar GR-22text editing UM-587time and delta display GR-22toolbar GR-41Memory window GR-166monitor GR-206Objects window GR-182openingfrom command line CR-327with the <strong>GUI</strong> GR-29Signals windowVHDL and Verilog items viewed in GR-182Source window GR-197text editing UM-587viewing HDL source code GR-197Variables windowVHDL and Verilog items viewed in GR-163Wave window UM-254, GR-209adding HDL items to UM-258cursor measurements UM-259display properties UM-269display range (zoom), changing UM-263format file, saving UM-276path elements, changing CR-121, UM-514time cursors UM-259zooming UM-263WLF filescollapsing deltas CR-381collapsing events UM-247collapsing time steps CR-381converting to VCD CR-414creating from VCD CR-304filtering, combining CR-415limiting size CR-382log command CR-182optimizing waveform viewing CR-382, UM-514overview UM-238repairing CR-419saving CR-141, CR-142, UM-239saving at intervals UM-246specifying name CR-381wlf2log command CR-412wlf2vcd command CR-414WLFCacheSize .ini file variable UM-514WLFCollapseMode .ini file variable UM-514WLFFilename .ini file variable UM-514wlfman command CR-415wlfrecover command CR-419work library UM-56creating UM-58workspace GR-17code coverage GR-122Files tab GR-122write cell_report command CR-420write format command CR-421write list command CR-423write preferences command CR-424WRITE procedure, problems with UM-93write report command CR-425write timing command CR-426write transcript command CR-427write tssi command CR-428write wave command CR-430XXtracing unknowns UM-307.Xdefaults file, controlling fonts GR-15X propagationdisabling for entire design CR-377disabling X generation on specific instances CR-268xml formatcoverage reports UM-336X-sessioncontrolling fonts GR-15Y-y CR-360Zzero delay elements UM-81zero delay mode UM-149zero-delay loop, infinite UM-82zero-delay oscillation UM-82zero-delay race condition UM-134


IndexzoomDataflow window UM-305from Wave toolbar buttons UM-263saving range with bookmarks UM-264wave windowreturning current range CR-393with the mouse UM-263zooming window panes GR-265

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!