12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>vChapter 5: Using NC-Verilog with <strong>Synopsys</strong> <strong>Models</strong>9. Execute the ncvlog, ncelab, and ncsim commands as follows:% ncvlog -w worklib model_mx.v model_mx_bw.v tsetbench.v \-incdir $LMC_HOME/sim/pli/src% ncelab -messages worklib.testbench \-loadpli1 swiftpli:swift_boot \-access +rw% ncsim worklib.testbenchFor in<strong>for</strong>mation on LMTV commands that you can use with DWMM models onNC-Verilog, refer to “LMTV Commands” on page 271.Using Hardware <strong>Models</strong> with NC-VerilogThis section explains how to use Release 3.5a of ModelAccess <strong>for</strong> Verilog to interfacehardware models with NC-Verilog. You do not need to edit and use the Makefile.nc tobuild a standalone version of the simulator to link to the hardware modeler. Note thatdynamic linking is supported only on version 2.8 and above of NC-Verilog on HP-UXand Solaris.1. Make sure NC-Verilog is set up properly and all required environment variables areset, as explained in “Setting Environment Variables” on page 89.2. There is no need to build a Verilog executable. You can use the one from$CDS_INST_DIR/tools/bin by adding it to your path statement.3. Set your SHLIB_PATH or LD_LIBRARY_PATH variable to point to the directoriesthat contain the ModelAccess libraries. Solaris users also need to add the/usr/dt/lib and /usr/openwin/lib libraries.HP-UX% setenv SHLIB_PATH \hardware_model_iinstall_path/sms/ma_verilog/lib/pa_hp102:$CDS_INST_DIR/tools/libSolaris% setenv LD_LIBRARY_PATH \hardware_model_install_path/sms/ma_verilog/lib/sun4.solaris:\$CDS_INST_DIR/tools/lib:/usr/dt/lib:/usr/openwin/lib4. Invoke the simulator as shown in the following example:% ncverilog testbench.v model.v +loadpli1=mav:mav_bootOctober 6, 2003 <strong>Synopsys</strong>, Inc. 97

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!