12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>vChapter 5: Using NC-Verilog with <strong>Synopsys</strong> <strong>Models</strong>5Using NC-Verilog with<strong>Synopsys</strong> <strong>Models</strong>OverviewThis chapter explains how to use Smart<strong>Models</strong>, Flex<strong>Models</strong>, DesignWare Memory<strong>Models</strong>, and hardware models with NC-Verilog. The procedures are organized into thefollowing major sections:● “Setting Environment Variables” on page 89● “Using Smart<strong>Models</strong> with NC-Verilog” on page 91● “Using Flex<strong>Models</strong> with NC-Verilog” on page 92● “Using DesignWare Memory <strong>Models</strong> with NC-Verilog” on page 95● “Using Hardware <strong>Models</strong> with NC-Verilog” on page 97Setting Environment VariablesFirst, set the basic environment variables. If you are not using one of the model types,skip that step. In some cases, the procedures in this chapter include steps <strong>for</strong> settingadditional environment variables.1. Set the LMC_HOME environment variable to point to the location of yourSmartModel, FlexModel, or DesignWare Memory Model installation tree, asfollows:% setenv LMC_HOME path_to_models_installationOctober 6, 2003 <strong>Synopsys</strong>, Inc. 89

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!