12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 4: Using ModelSim VHDL with <strong>Synopsys</strong> <strong>Models</strong>ExampleFor example, the following hm_entity invocation:% hm_entity TILS299.MDL > TILS299.vhdgenerates a .vhd file that looks like the following:library ieee;use ieee.std_logic_1164.all;entity TILS299 isgeneric( DelayRange : STRING := "Max" );port ( G2 : in std_logic;CLR : in std_logic;SR : in std_logic;CLK : in std_logic;S0 : in std_logic;G1 : in std_logic;SL : in std_logic;S1 : in std_logic;QA : out std_logic;QH : out std_logic;H : inout std_logic;E : inout std_logic;G : inout std_logic;A : inout std_logic;C : inout std_logic;B : inout std_logic;F : inout std_logic;D : inout std_logic );end;architecture Hardware of TILS299 isattribute FOREIGN : STRING;attribute FOREIGN of Hardware : architecture is "hm_init$MODEL_TECH/libhm.sl; TILS299.MDL";beginend Hardware;October 6, 2003 <strong>Synopsys</strong>, Inc. 87

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!