12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: Using ModelSim VHDL with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>4. Invoke the simulator as shown in the following example:% vsim5. When the window comes up, select the testbench to load.6. Use the View/Wave pull-down menu to get the wave window. In the wave window,use File/Load Format wave.do to get the wave<strong>for</strong>ms. After the wave<strong>for</strong>m viewercomes up and the vsim prompt appears, enter “run 10000".7. You can also use some of the hardware model utilities listed below, but thecommands must be entered at the simulator command prompt because they are notVHDL statements. For the TILS299 example, you can also put these commands intothe .do file. Here is an example wave.do file:lm_vectors on /tb_tils299/U0 TEST.VECadd wave -logic {/clk}add wave -logic {/clr}add wave -logic {/s1}add wave -logic {/s0}add wave -logic {/g1}add wave -logic {/g2}add wave -logic {/sr}add wave -logic {/sl}add wave -logic {/qa}add wave -logic {/qh}add wave -literal {/t}hm_entity Command ReferenceThe hm_entity script creates .vhd files <strong>for</strong> hardware models.Syntaxhm_entity [options] shell_software_filenameArguments-xeDo not generate entity declaration.-xaDo not generate architecture body.-c Generate component declaration-93 Use extended identifiers where needed86 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!