12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 4: Using ModelSim VHDL with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Using DesignWare Memory <strong>Models</strong> withModelSim VHDLDesignWare Memory <strong>Models</strong> (DWMM) are SWIFT-compatible binary simulationmodels that have an _mx extension (<strong>for</strong> example, model_mx).To use DWMM models with ModelSim-VHDL, follow these steps:1. Make sure ModelSim VHDL is set up properly and all required environmentvariables are set, as explained in “Setting Environment Variables” on page 73.2. Map the slm_lib logical library, as shown in the following example:% vlib slm_lib% vmap slm_lib slm_libThis step also creates a modelsim.ini file in the current working directory.3. Open the modelsim.ini file in a text editor, and edit the line <strong>for</strong> Resolution, as shownin the following example:Resolution = 100psThen uncomment the libsm and libswift lines <strong>for</strong> the plat<strong>for</strong>m you are using:; ModelSim’s interface to Logic Modeling’s SmartModel SWIFT software;libsm = $MODEL_TECH/libsm.sl; libsm = $MODEL_TECH/libsm.dll; Logic Modeling’s SmartModel SWIFT software (HP 9000 Series 700); libswift = $LMC_HOME/lib/hp700.lib/libswift.sl; Logic Modeling’s SmartModel SWIFT software (IBM RISC System/6000); libswift = $LMC_HOME/lib/ibmrs.lib/swift.o; Logic Modeling’s SmartModel SWIFT software (Sun4 Solaris); libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so; Logic Modeling’s SmartModel SWIFT software (Sun4 SunOS); do setenv LD_LIBRARY_PATH $LMC_HOME/lib/sun4SunOS.lib; and run "vsim.swift".; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll4. To create the model’s bit-blasted VHDL wrapper, run the ModelSim sm_entityscript, as shown in the following example:% $MTI_HOME/bin/sm_entity -c model_mx > model_mx.vhdThis step generates entity and component declarations <strong>for</strong> the model.NoteIf you want to use more than one DWMM model in your simulation, refer tothe “sm_entity Command Reference” on page 79.82 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!