12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 4: Using ModelSim VHDL with <strong>Synopsys</strong> <strong>Models</strong>sm_entity Command ReferenceThe command reference <strong>for</strong> sm_entity is as follows.Syntaxsm_entity [options] [Smart<strong>Models</strong>]Arguments-readRead SmartModel names from standard input.-xeDo not generate entity declarations.-xaDo not generate architecture bodies.-c Generate component declarations.-allSelect all models in the SmartModel Library.-v Display progress messages.By default, sm_entity generates an entity and architecture. Optionally, you can includethe component declaration (-c), exclude the entity (-xe), or exclude the architecture(-xa).Using Flex<strong>Models</strong> with ModelSim VHDLTo use Flex<strong>Models</strong> with ModelSim VHDL, follow this procedure.1. Make sure ModelSim VHDL is set up properly and all required environmentvariables are set, as explained in “Setting Environment Variables” on page 73.2. Map the slm_lib logical library, as shown in the following example:% vlib slm_lib% vmap slm_lib slm_libThis step also creates a modelsim.ini file in the current working directory.3. Open the modelsim.ini file in a text editor, and edit the line <strong>for</strong> Resolution, as shownin the following example:Resolution = 100psOctober 6, 2003 <strong>Synopsys</strong>, Inc. 79

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!