12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: Using ModelSim VHDL with <strong>Synopsys</strong> <strong>Models</strong><strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>6. Instantiate the SmartModel component in your testbench by specifying the requiredSWIFT parameters in the generic map. Here is an example instantiation <strong>for</strong> theTTL373 model, with the library and use statements, the instance (U1), and theTimingVersion and DelayRange options specified in the generic map <strong>for</strong> theTTL373 SmartModel Library component.Use the SmartModel Library (slm_lib) just as you would use any other VHDLresource library. Here is an example:library IEEE;use IEEE.STD_LOGIC_1164.ALL;library SLM_LIB;use SLM_LIB.COMPONENTS.ALL;entity TestBench isend TestBench;architecture ArchTestBench of TestBench issignal A, B, C: STD_LOGIC;U1 : TTL373 generic map (TimingVersion => "SN74LS373",DelayRange => "Typ")port map (A => D1, B => D2, C => Q1);P1 : processbegin........For more in<strong>for</strong>mation on SmartModel configuration parameters, refer to “UsingSmart<strong>Models</strong> with SWIFT <strong>Simulator</strong>s” on page 18.7. Compile the top-level testbench to a work library (MYWORK) as shown in thefollowing example:% vlib MYWORK% vcom -work MYWORK top.vhd8. Invoke the simulator by running vsim, as shown in the following example:% vsim -lib MYWORK CFGTESTFor in<strong>for</strong>mation on how to use ModelSim VHDL, refer to the “ModelSim User’sManual.” Note, the design name or configuration name does not necessarily have tobe CFGTEST.78 <strong>Synopsys</strong>, Inc. October 6, 2003

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!