12.07.2015 Views

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

Simulator Configuration Guide for Synopsys Models

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

<strong>Simulator</strong> <strong>Configuration</strong> <strong>Guide</strong>Chapter 4: Using ModelSim VHDL with <strong>Synopsys</strong> <strong>Models</strong>4Using ModelSim VHDL with <strong>Synopsys</strong><strong>Models</strong>OverviewThis chapter explains how to use Smart<strong>Models</strong>, Flex<strong>Models</strong>, DesignWare Memory<strong>Models</strong>, and hardware models with ModelSim VHDL. The procedures are organizedinto the following major sections:● “Setting Environment Variables” on page 73● “Using Smart<strong>Models</strong> with ModelSim VHDL” on page 75● “Using Flex<strong>Models</strong> with ModelSim VHDL” on page 79● “Using DesignWare Memory <strong>Models</strong> with ModelSim VHDL” on page 82● “Using Hardware <strong>Models</strong> with ModelSim VHDL” on page 84Setting Environment VariablesFirst, set the basic environment variables. If you are not using one of the model typesspecified, skip that step. In some cases, the procedures that follow in this chapter includesteps <strong>for</strong> setting additional environment variables.1. Set the LMC_HOME environment variable to point to the location of yourSmartModel, FlexModel, or DesignWare Memory Model installation tree, asfollows:% setenv LMC_HOME path_to_models_installationOctober 6, 2003 <strong>Synopsys</strong>, Inc. 73

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!